From cda138102e8b32a0ccd958297f1bfd32600a8f98 Mon Sep 17 00:00:00 2001 From: electronut Date: Sun, 19 Apr 2020 21:22:13 +0530 Subject: [PATCH 1/3] added picosoc gpio --- README.md | 2 + ice40up5k/picosoc_gpio/.gitignore | 17 + ice40up5k/picosoc_gpio/Makefile | 76 +++ ice40up5k/picosoc_gpio/README.md | 9 + ice40up5k/picosoc_gpio/custom_ops.S | 102 +++ ice40up5k/picosoc_gpio/firmware_lice40up.c | 176 ++++++ ice40up5k/picosoc_gpio/ice40up5k_spram.v | 91 +++ .../picosoc_gpio/icebreaker_sections.lds | 50 ++ ice40up5k/picosoc_gpio/lice40up.pcf | 22 + ice40up5k/picosoc_gpio/lice40up.v | 178 ++++++ ice40up5k/picosoc_gpio/lice40up_sections.lds | 50 ++ ice40up5k/picosoc_gpio/picosoc.core | 27 + ice40up5k/picosoc_gpio/picosoc.v | 259 ++++++++ ice40up5k/picosoc_gpio/sections.lds | 71 +++ ice40up5k/picosoc_gpio/simpleuart.v | 137 +++++ ice40up5k/picosoc_gpio/spiflash.core | 24 + ice40up5k/picosoc_gpio/spiflash.v | 409 +++++++++++++ ice40up5k/picosoc_gpio/spiflash_tb.v | 366 +++++++++++ ice40up5k/picosoc_gpio/spimemio.v | 579 ++++++++++++++++++ ice40up5k/picosoc_gpio/start.S | 202 ++++++ ice40up5k/picosoc_gpio/start.s | 203 ++++++ 21 files changed, 3050 insertions(+) create mode 100644 ice40up5k/picosoc_gpio/.gitignore create mode 100644 ice40up5k/picosoc_gpio/Makefile create mode 100644 ice40up5k/picosoc_gpio/README.md create mode 100644 ice40up5k/picosoc_gpio/custom_ops.S create mode 100644 ice40up5k/picosoc_gpio/firmware_lice40up.c create mode 100644 ice40up5k/picosoc_gpio/ice40up5k_spram.v create mode 100644 ice40up5k/picosoc_gpio/icebreaker_sections.lds create mode 100644 ice40up5k/picosoc_gpio/lice40up.pcf create mode 100644 ice40up5k/picosoc_gpio/lice40up.v create mode 100644 ice40up5k/picosoc_gpio/lice40up_sections.lds create mode 100644 ice40up5k/picosoc_gpio/picosoc.core create mode 100644 ice40up5k/picosoc_gpio/picosoc.v create mode 100644 ice40up5k/picosoc_gpio/sections.lds create mode 100644 ice40up5k/picosoc_gpio/simpleuart.v create mode 100644 ice40up5k/picosoc_gpio/spiflash.core create mode 100644 ice40up5k/picosoc_gpio/spiflash.v create mode 100644 ice40up5k/picosoc_gpio/spiflash_tb.v create mode 100644 ice40up5k/picosoc_gpio/spimemio.v create mode 100644 ice40up5k/picosoc_gpio/start.S create mode 100644 ice40up5k/picosoc_gpio/start.s diff --git a/README.md b/README.md index 9a609a0..1505aaf 100644 --- a/README.md +++ b/README.md @@ -21,6 +21,8 @@ These projects use the Lattice iCE40HX1k and the *icestorm* open source FPGA too - **ultrasonic** - talking to ultrasonic sensor HC-SR04 and displaying distance on a 4-digit 7-segment display + **picosoc_gpio** - simpe RISC-V based Picosoc demo that shows how to enable interrupts. + ## Migen Examples - **blinky** - a simple blinky in Migen/Python diff --git a/ice40up5k/picosoc_gpio/.gitignore b/ice40up5k/picosoc_gpio/.gitignore new file mode 100644 index 0000000..46c32b1 --- /dev/null +++ b/ice40up5k/picosoc_gpio/.gitignore @@ -0,0 +1,17 @@ +/spiflash_tb.vcd +/spiflash_tb.vvp +/lice40up5k.asc +/lice40up5k.bin +/lice40up5k.json +/lice40up5k.log +/lice40up5k.rpt +/lice40up5k_syn.v +/lice40up5k_syn_tb.vvp +/lice40up5k_tb.vvp +/lice40up5k_fw.elf +/lice40up5k_fw.hex +/lice40up5k_fw.bin +/lice40up5k_sections.lds +/testbench.vcd +/cmos.log + diff --git a/ice40up5k/picosoc_gpio/Makefile b/ice40up5k/picosoc_gpio/Makefile new file mode 100644 index 0000000..a110747 --- /dev/null +++ b/ice40up5k/picosoc_gpio/Makefile @@ -0,0 +1,76 @@ + +CROSS=riscv32-unknown-elf- +CFLAGS= + +PICORV32_DIR=../picorv32 +PICOSOC_DIR=$(PICORV32_DIR) + +# ---- Lattice iCE40 Ultra Plus Breakout Board ---- + +lice40upsim: lice40up_tb.vvp lice40up_fw.hex + vvp -N $< +firmware=lice40up_fw.hex + +lice40upsynsim: lice40up_syn_tb.vvp lice40up_fw.hex + vvp -N $< +firmware=lice40up_fw.hex + +lice40up.json: lice40up.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v $(PICORV32_DIR)/picorv32.v + yosys -ql lice40up.log -p 'synth_ice40 -top lice40up -json lice40up.json' $^ + +lice40up_tb.vvp: lice40up_tb.v lice40up.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v $(PICORV32_DIR)/picorv32.v spiflash.v + iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` + +lice40up_syn_tb.vvp: lice40up_tb.v lice40up_syn.v spiflash.v + iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` + +lice40up_syn.v: lice40up.json + yosys -p 'read_json lice40up.json; write_verilog lice40up_syn.v' + +lice40up.asc: lice40up.pcf lice40up.json + nextpnr-ice40 --freq 13 --up5k --asc lice40up.asc --pcf lice40up.pcf --json lice40up.json + +lice40up.bin: lice40up.asc + icetime -d up5k -c 12 -mtr lice40up.rpt lice40up.asc + icepack lice40up.asc lice40up.bin + +lice40upprog: lice40up.bin lice40up_fw.bin + iceprog lice40up.bin + iceprog -o 1M lice40up_fw.bin + +lice40upprog_fw: lice40up_fw.bin + iceprog -o 1M lice40up_fw.bin + +lice40up_sections.lds: sections.lds + $(CROSS)cpp -P -DLICE40UP -o $@ $^ + +lice40up_fw.elf: lice40up_sections.lds start.s firmware_lice40up.c + $(CROSS)gcc $(CFLAGS) -DLICE40UP -march=rv32ic -Wl,-Bstatic,-T,lice40up_sections.lds,--strip-debug -ffreestanding -nostdlib -o lice40up_fw.elf start.s firmware_lice40up.c + +lice40up_fw.hex: lice40up_fw.elf + $(CROSS)objcopy -O verilog lice40up_fw.elf lice40up_fw.hex + +lice40up_fw.bin: lice40up_fw.elf + $(CROSS)objcopy -O binary lice40up_fw.elf lice40up_fw.bin + +# ---- Testbench for SPI Flash Model ---- + +spiflash_tb: spiflash_tb.vvp firmware.hex + vvp -N $< + +spiflash_tb.vvp: spiflash.v spiflash_tb.v + iverilog -s testbench -o $@ $^ + +# ---- ASIC Synthesis Tests ---- + +cmos.log: spimemio.v simpleuart.v picosoc.v $(PICORV32_DIR)/picorv32.v + yosys -l cmos.log -p 'synth -top picosoc; abc -g cmos2; opt -fast; stat' $^ + +# ---- Clean ---- + +clean: + rm -f testbench.vvp testbench.vcd spiflash_tb.vvp spiflash_tb.vcd + rm -f lice40up_fw.elf lice40up_fw.hex lice40up_fw.bin + rm -f lice40up.json lice40up.log lice40up.asc lice40up.rpt lice40up.bin + rm -f lice40up_syn.v lice40up_syn_tb.vvp lice40up_tb.vvp + +.PHONY: spiflash_tb clean +.PHONY: lice40upprog lice40upprog_fw lice40upsim lice40upsynsim \ No newline at end of file diff --git a/ice40up5k/picosoc_gpio/README.md b/ice40up5k/picosoc_gpio/README.md new file mode 100644 index 0000000..5f809e1 --- /dev/null +++ b/ice40up5k/picosoc_gpio/README.md @@ -0,0 +1,9 @@ +## picosoc_gpio + +A simple demo of interrupts on RISC-V Picosoc on iCEUP5k FPGA. + +Install picorv32 from: + +https://github.com/cliffordwolf/picorv32 + +Ensure that you set `PICORV32_DIR` to the correct path in the Makefile. diff --git a/ice40up5k/picosoc_gpio/custom_ops.S b/ice40up5k/picosoc_gpio/custom_ops.S new file mode 100644 index 0000000..71889b9 --- /dev/null +++ b/ice40up5k/picosoc_gpio/custom_ops.S @@ -0,0 +1,102 @@ +// This is free and unencumbered software released into the public domain. +// +// Anyone is free to copy, modify, publish, use, compile, sell, or +// distribute this software, either in source code form or as a compiled +// binary, for any purpose, commercial or non-commercial, and by any +// means. + +#define regnum_q0 0 +#define regnum_q1 1 +#define regnum_q2 2 +#define regnum_q3 3 + +#define regnum_x0 0 +#define regnum_x1 1 +#define regnum_x2 2 +#define regnum_x3 3 +#define regnum_x4 4 +#define regnum_x5 5 +#define regnum_x6 6 +#define regnum_x7 7 +#define regnum_x8 8 +#define regnum_x9 9 +#define regnum_x10 10 +#define regnum_x11 11 +#define regnum_x12 12 +#define regnum_x13 13 +#define regnum_x14 14 +#define regnum_x15 15 +#define regnum_x16 16 +#define regnum_x17 17 +#define regnum_x18 18 +#define regnum_x19 19 +#define regnum_x20 20 +#define regnum_x21 21 +#define regnum_x22 22 +#define regnum_x23 23 +#define regnum_x24 24 +#define regnum_x25 25 +#define regnum_x26 26 +#define regnum_x27 27 +#define regnum_x28 28 +#define regnum_x29 29 +#define regnum_x30 30 +#define regnum_x31 31 + +#define regnum_zero 0 +#define regnum_ra 1 +#define regnum_sp 2 +#define regnum_gp 3 +#define regnum_tp 4 +#define regnum_t0 5 +#define regnum_t1 6 +#define regnum_t2 7 +#define regnum_s0 8 +#define regnum_s1 9 +#define regnum_a0 10 +#define regnum_a1 11 +#define regnum_a2 12 +#define regnum_a3 13 +#define regnum_a4 14 +#define regnum_a5 15 +#define regnum_a6 16 +#define regnum_a7 17 +#define regnum_s2 18 +#define regnum_s3 19 +#define regnum_s4 20 +#define regnum_s5 21 +#define regnum_s6 22 +#define regnum_s7 23 +#define regnum_s8 24 +#define regnum_s9 25 +#define regnum_s10 26 +#define regnum_s11 27 +#define regnum_t3 28 +#define regnum_t4 29 +#define regnum_t5 30 +#define regnum_t6 31 + +// x8 is s0 and also fp +#define regnum_fp 8 + +#define r_type_insn(_f7, _rs2, _rs1, _f3, _rd, _opc) \ +.word (((_f7) << 25) | ((_rs2) << 20) | ((_rs1) << 15) | ((_f3) << 12) | ((_rd) << 7) | ((_opc) << 0)) + +#define picorv32_getq_insn(_rd, _qs) \ +r_type_insn(0b0000000, 0, regnum_ ## _qs, 0b100, regnum_ ## _rd, 0b0001011) + +#define picorv32_setq_insn(_qd, _rs) \ +r_type_insn(0b0000001, 0, regnum_ ## _rs, 0b010, regnum_ ## _qd, 0b0001011) + +#define picorv32_retirq_insn() \ +r_type_insn(0b0000010, 0, 0, 0b000, 0, 0b0001011) + +#define picorv32_maskirq_insn(_rd, _rs) \ +r_type_insn(0b0000011, 0, regnum_ ## _rs, 0b110, regnum_ ## _rd, 0b0001011) + +#define picorv32_waitirq_insn(_rd) \ +r_type_insn(0b0000100, 0, 0, 0b100, regnum_ ## _rd, 0b0001011) + +#define picorv32_timer_insn(_rd, _rs) \ +r_type_insn(0b0000101, 0, regnum_ ## _rs, 0b110, regnum_ ## _rd, 0b0001011) + diff --git a/ice40up5k/picosoc_gpio/firmware_lice40up.c b/ice40up5k/picosoc_gpio/firmware_lice40up.c new file mode 100644 index 0000000..62e7064 --- /dev/null +++ b/ice40up5k/picosoc_gpio/firmware_lice40up.c @@ -0,0 +1,176 @@ +#include +#include + + +// a pointer to this is a null pointer, but the compiler does not +// know that because "sram" is a linker symbol from sections.lds. +extern uint32_t sram; + +#define reg_spictrl (*(volatile uint32_t*)0x02000000) +#define reg_uart_clkdiv (*(volatile uint32_t*)0x02000004) +#define reg_uart_data (*(volatile uint32_t*)0x02000008) +#define reg_leds (*(volatile uint32_t*)0x03000000) +#define reg_btns (*(volatile uint32_t*)0x03000004) + + +// -------------------------------------------------------- + +void putchar(char c) +{ + if (c == '\n') + putchar('\r'); + reg_uart_data = c; +} + +void print(const char *p) +{ + while (*p) + putchar(*(p++)); +} + +void print_hex(uint32_t v, int digits) +{ + for (int i = 7; i >= 0; i--) { + char c = "0123456789abcdef"[(v >> (4*i)) & 15]; + if (c == '0' && i >= digits) continue; + putchar(c); + digits = i; + } +} + +void print_dec(uint32_t v) +{ + if (v >= 1000) { + print(">=1000"); + return; + } + + if (v >= 900) { putchar('9'); v -= 900; } + else if (v >= 800) { putchar('8'); v -= 800; } + else if (v >= 700) { putchar('7'); v -= 700; } + else if (v >= 600) { putchar('6'); v -= 600; } + else if (v >= 500) { putchar('5'); v -= 500; } + else if (v >= 400) { putchar('4'); v -= 400; } + else if (v >= 300) { putchar('3'); v -= 300; } + else if (v >= 200) { putchar('2'); v -= 200; } + else if (v >= 100) { putchar('1'); v -= 100; } + + if (v >= 90) { putchar('9'); v -= 90; } + else if (v >= 80) { putchar('8'); v -= 80; } + else if (v >= 70) { putchar('7'); v -= 70; } + else if (v >= 60) { putchar('6'); v -= 60; } + else if (v >= 50) { putchar('5'); v -= 50; } + else if (v >= 40) { putchar('4'); v -= 40; } + else if (v >= 30) { putchar('3'); v -= 30; } + else if (v >= 20) { putchar('2'); v -= 20; } + else if (v >= 10) { putchar('1'); v -= 10; } + + if (v >= 9) { putchar('9'); v -= 9; } + else if (v >= 8) { putchar('8'); v -= 8; } + else if (v >= 7) { putchar('7'); v -= 7; } + else if (v >= 6) { putchar('6'); v -= 6; } + else if (v >= 5) { putchar('5'); v -= 5; } + else if (v >= 4) { putchar('4'); v -= 4; } + else if (v >= 3) { putchar('3'); v -= 3; } + else if (v >= 2) { putchar('2'); v -= 2; } + else if (v >= 1) { putchar('1'); v -= 1; } + else putchar('0'); +} + +char getchar_prompt(char *prompt) +{ + int32_t c = -1; + + uint32_t cycles_begin, cycles_now, cycles; + __asm__ volatile ("rdcycle %0" : "=r"(cycles_begin)); + + reg_leds = ~0; + + if (prompt) + print(prompt); + + while (c == -1) { + __asm__ volatile ("rdcycle %0" : "=r"(cycles_now)); + cycles = cycles_now - cycles_begin; + if (cycles > 12000000) { + if (prompt) + print(prompt); + cycles_begin = cycles_now; + reg_leds = ~reg_leds; + } + c = reg_uart_data; + } + + reg_leds = 0; + return c; +} + +char getchar() +{ + return getchar_prompt(0); +} + +static void delay_ms(uint16_t delayms) +{ + uint32_t N = 25*delayms; + for (uint32_t i = 0; i < N; i++) { + // do nothing + } +} + + +void test_leds() +{ + print("testing LEDs...\n\n"); + + reg_leds = 0xff; + + for(uint8_t i = 0; i < 10; i++) { + reg_leds = 0x0; + delay_ms(1000); + reg_leds = 0x2 ; + delay_ms(1000); + } +} + +uint32_t set_irq_mask(uint32_t mask); asm ( + ".global set_irq_mask\n" + "set_irq_mask:\n" + ".word 0x0605650b\n" + "ret\n" +); + +//void irq_handler(uint32_t irqs, uint32_t *regs) +uint32_t* irq_handler(uint32_t irqs, uint32_t *regs) +//uint32_t *irq(uint32_t *regs, uint32_t irqs) +//uint32_t* irq(uint32_t irqs, uint32_t* regs) +{ + if ((irqs & (1<<5)) != 0) { + print("i\n"); + //reg_leds ^= 0x01; + } + + return regs; +} + +void main() { + // test with UART 115200 8N1 + reg_uart_clkdiv = 104; + + set_irq_mask(0x00); + + print("\n"); + print(" ____ _ ____ ____\n"); + print(" | _ \\(_) ___ ___/ ___| ___ / ___|\n"); + print(" | |_) | |/ __/ _ \\___ \\ / _ \\| |\n"); + print(" | __/| | (_| (_) |__) | (_) | |___\n"); + print(" |_| |_|\\___\\___/____/ \\___/ \\____|\n"); + + // blink the user LED + uint32_t led_timer = 0; + + while (1) { + reg_leds = led_timer >> 16; + led_timer = led_timer + 1; + } +} diff --git a/ice40up5k/picosoc_gpio/ice40up5k_spram.v b/ice40up5k/picosoc_gpio/ice40up5k_spram.v new file mode 100644 index 0000000..6edb23b --- /dev/null +++ b/ice40up5k/picosoc_gpio/ice40up5k_spram.v @@ -0,0 +1,91 @@ + +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +module ice40up5k_spram #( + // We current always use the whole SPRAM (128 kB) + parameter integer WORDS = 32768 +) ( + input clk, + input [3:0] wen, + input [21:0] addr, + input [31:0] wdata, + output [31:0] rdata +); + + wire cs_0, cs_1; + wire [31:0] rdata_0, rdata_1; + + assign cs_0 = !addr[14]; + assign cs_1 = addr[14]; + assign rdata = addr[14] ? rdata_1 : rdata_0; + + SB_SPRAM256KA ram00 ( + .ADDRESS(addr[13:0]), + .DATAIN(wdata[15:0]), + .MASKWREN({wen[1], wen[1], wen[0], wen[0]}), + .WREN(wen[1]|wen[0]), + .CHIPSELECT(cs_0), + .CLOCK(clk), + .STANDBY(1'b0), + .SLEEP(1'b0), + .POWEROFF(1'b1), + .DATAOUT(rdata_0[15:0]) + ); + + SB_SPRAM256KA ram01 ( + .ADDRESS(addr[13:0]), + .DATAIN(wdata[31:16]), + .MASKWREN({wen[3], wen[3], wen[2], wen[2]}), + .WREN(wen[3]|wen[2]), + .CHIPSELECT(cs_0), + .CLOCK(clk), + .STANDBY(1'b0), + .SLEEP(1'b0), + .POWEROFF(1'b1), + .DATAOUT(rdata_0[31:16]) + ); + + SB_SPRAM256KA ram10 ( + .ADDRESS(addr[13:0]), + .DATAIN(wdata[15:0]), + .MASKWREN({wen[1], wen[1], wen[0], wen[0]}), + .WREN(wen[1]|wen[0]), + .CHIPSELECT(cs_1), + .CLOCK(clk), + .STANDBY(1'b0), + .SLEEP(1'b0), + .POWEROFF(1'b1), + .DATAOUT(rdata_1[15:0]) + ); + + SB_SPRAM256KA ram11 ( + .ADDRESS(addr[13:0]), + .DATAIN(wdata[31:16]), + .MASKWREN({wen[3], wen[3], wen[2], wen[2]}), + .WREN(wen[3]|wen[2]), + .CHIPSELECT(cs_1), + .CLOCK(clk), + .STANDBY(1'b0), + .SLEEP(1'b0), + .POWEROFF(1'b1), + .DATAOUT(rdata_1[31:16]) + ); + +endmodule diff --git a/ice40up5k/picosoc_gpio/icebreaker_sections.lds b/ice40up5k/picosoc_gpio/icebreaker_sections.lds new file mode 100644 index 0000000..8fe5c8a --- /dev/null +++ b/ice40up5k/picosoc_gpio/icebreaker_sections.lds @@ -0,0 +1,50 @@ +MEMORY +{ + FLASH (rx) : ORIGIN = 0x00100000, LENGTH = 0x400000 + RAM (xrw) : ORIGIN = 0x00000000, LENGTH = 0x20000 +} +SECTIONS { + .text : + { + . = ALIGN(4); + *(.text) + *(.text*) + *(.rodata) + *(.rodata*) + *(.srodata) + *(.srodata*) + . = ALIGN(4); + _etext = .; + _sidata = _etext; + } >FLASH + .data : AT ( _sidata ) + { + . = ALIGN(4); + _sdata = .; + _ram_start = .; + . = ALIGN(4); + *(.data) + *(.data*) + *(.sdata) + *(.sdata*) + . = ALIGN(4); + _edata = .; + } >RAM + .bss : + { + . = ALIGN(4); + _sbss = .; + *(.bss) + *(.bss*) + *(.sbss) + *(.sbss*) + *(COMMON) + . = ALIGN(4); + _ebss = .; + } >RAM + .heap : + { + . = ALIGN(4); + _heap_start = .; + } >RAM +} diff --git a/ice40up5k/picosoc_gpio/lice40up.pcf b/ice40up5k/picosoc_gpio/lice40up.pcf new file mode 100644 index 0000000..e82b33f --- /dev/null +++ b/ice40up5k/picosoc_gpio/lice40up.pcf @@ -0,0 +1,22 @@ +# 12 MHz clock +set_io clk 35 + +# RS232 +set_io ser_rx 6 # 13B +set_io ser_tx 9 # 16A + +# SPI Flash +set_io flash_clk 15 +set_io flash_csb 16 +set_io flash_io0 14 +set_io flash_io1 17 +set_io flash_io2 12 +set_io flash_io3 13 + +# Onboard LEDs +set_io ledr_n 41 +set_io ledg_n 40 +set_io ledb_n 39 + +# external push button +set_io -pullup yes BTN1 23 # 37A diff --git a/ice40up5k/picosoc_gpio/lice40up.v b/ice40up5k/picosoc_gpio/lice40up.v new file mode 100644 index 0000000..aaf849f --- /dev/null +++ b/ice40up5k/picosoc_gpio/lice40up.v @@ -0,0 +1,178 @@ +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +`ifdef PICOSOC_V +`error "icebreaker.v must be read before picosoc.v!" +`endif + +`define PICOSOC_MEM ice40up5k_spram + +module lice40up ( + input clk, + + output ser_tx, + input ser_rx, + + output ledr_n, + output ledg_n, + output ledb_n, + + input BTN1, + + output flash_csb, + output flash_clk, + inout flash_io0, + inout flash_io1, + inout flash_io2, + inout flash_io3 +); + parameter integer MEM_WORDS = 32768; + + reg [5:0] reset_cnt = 0; + wire resetn = &reset_cnt; + + always @(posedge clk) begin + reset_cnt <= reset_cnt + !resetn; + end + + wire [7:0] leds; + + assign ledr_n = !leds[0]; + assign ledg_n = !leds[1]; + assign ledb_n = !leds[2]; + + wire flash_io0_oe, flash_io0_do, flash_io0_di; + wire flash_io1_oe, flash_io1_do, flash_io1_di; + wire flash_io2_oe, flash_io2_do, flash_io2_di; + wire flash_io3_oe, flash_io3_do, flash_io3_di; + + SB_IO #( + .PIN_TYPE(6'b 1010_01), + .PULLUP(1'b 0) + ) flash_io_buf [3:0] ( + .PACKAGE_PIN({flash_io3, flash_io2, flash_io1, flash_io0}), + .OUTPUT_ENABLE({flash_io3_oe, flash_io2_oe, flash_io1_oe, flash_io0_oe}), + .D_OUT_0({flash_io3_do, flash_io2_do, flash_io1_do, flash_io0_do}), + .D_IN_0({flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}) + ); + + wire iomem_valid; + reg iomem_ready; + wire [3:0] iomem_wstrb; + wire [31:0] iomem_addr; + wire [31:0] iomem_wdata; + reg [31:0] iomem_rdata; + + reg [31:0] gpio; + assign leds = gpio; + + wire [7:0] gpio_buttons; + assign BTN1 = gpio_buttons[0]; + assign gpio_buttons[7:1] = 7'd0; + + always @(posedge clk) begin + if (!resetn) begin + gpio <= 0; + end else begin + iomem_ready <= 0; + if (iomem_valid && !iomem_ready && iomem_addr[31:24] == 8'h 03) begin + iomem_ready <= 1; + if (iomem_addr[7:0] == 8'h00) begin + iomem_rdata <= gpio; + if (iomem_wstrb[0]) gpio[ 7: 0] <= iomem_wdata[ 7: 0]; + if (iomem_wstrb[1]) gpio[15: 8] <= iomem_wdata[15: 8]; + if (iomem_wstrb[2]) gpio[23:16] <= iomem_wdata[23:16]; + if (iomem_wstrb[3]) gpio[31:24] <= iomem_wdata[31:24]; + end else if (iomem_addr[7:0] == 8'h04) begin + iomem_rdata <= ~gpio_buttons; + end + end + end + end + + /* + // generate irq for gpio buttons + reg [1:0] btn_state; + always @(posedge clk) begin + + if (!resetn) begin + btn_state <= 2'b00; + end else begin + btn_state <= {btn_state[0], gpio_buttons[0]}; + end + end + + // trigger IRQ + wire irq_btn0 = ((btn_state == 2'b01) || (btn_state == 2'b10)) ? 1'b1 : 1'b0; + + */ + + reg [23:0] counter; + always @(posedge clk) begin + + if (!resetn) begin + counter <= 24'd0; + end else begin + counter <= counter + 1; + end + end + wire irq_btn0 = !counter ? 1'b1 : 1'b0; + + picosoc #( + .BARREL_SHIFTER(0), + .ENABLE_MULDIV(0), + .MEM_WORDS(MEM_WORDS) + ) soc ( + .clk (clk ), + .resetn (resetn ), + + .ser_tx (ser_tx ), + .ser_rx (ser_rx ), + + .flash_csb (flash_csb ), + .flash_clk (flash_clk ), + + .flash_io0_oe (flash_io0_oe), + .flash_io1_oe (flash_io1_oe), + .flash_io2_oe (flash_io2_oe), + .flash_io3_oe (flash_io3_oe), + + .flash_io0_do (flash_io0_do), + .flash_io1_do (flash_io1_do), + .flash_io2_do (flash_io2_do), + .flash_io3_do (flash_io3_do), + + .flash_io0_di (flash_io0_di), + .flash_io1_di (flash_io1_di), + .flash_io2_di (flash_io2_di), + .flash_io3_di (flash_io3_di), + + //.irq_5 (1'b0 ), + .irq_5 (irq_btn0), //(1'b0 ), + .irq_6 (1'b0 ), + .irq_7 (1'b0 ), + + .iomem_valid (iomem_valid ), + .iomem_ready (iomem_ready ), + .iomem_wstrb (iomem_wstrb ), + .iomem_addr (iomem_addr ), + .iomem_wdata (iomem_wdata ), + .iomem_rdata (iomem_rdata ) + ); +endmodule diff --git a/ice40up5k/picosoc_gpio/lice40up_sections.lds b/ice40up5k/picosoc_gpio/lice40up_sections.lds new file mode 100644 index 0000000..8fe5c8a --- /dev/null +++ b/ice40up5k/picosoc_gpio/lice40up_sections.lds @@ -0,0 +1,50 @@ +MEMORY +{ + FLASH (rx) : ORIGIN = 0x00100000, LENGTH = 0x400000 + RAM (xrw) : ORIGIN = 0x00000000, LENGTH = 0x20000 +} +SECTIONS { + .text : + { + . = ALIGN(4); + *(.text) + *(.text*) + *(.rodata) + *(.rodata*) + *(.srodata) + *(.srodata*) + . = ALIGN(4); + _etext = .; + _sidata = _etext; + } >FLASH + .data : AT ( _sidata ) + { + . = ALIGN(4); + _sdata = .; + _ram_start = .; + . = ALIGN(4); + *(.data) + *(.data*) + *(.sdata) + *(.sdata*) + . = ALIGN(4); + _edata = .; + } >RAM + .bss : + { + . = ALIGN(4); + _sbss = .; + *(.bss) + *(.bss*) + *(.sbss) + *(.sbss*) + *(COMMON) + . = ALIGN(4); + _ebss = .; + } >RAM + .heap : + { + . = ALIGN(4); + _heap_start = .; + } >RAM +} diff --git a/ice40up5k/picosoc_gpio/picosoc.core b/ice40up5k/picosoc_gpio/picosoc.core new file mode 100644 index 0000000..eb0988a --- /dev/null +++ b/ice40up5k/picosoc_gpio/picosoc.core @@ -0,0 +1,27 @@ +CAPI=2: + +name : ::picosoc:0 + +filesets: + picosoc: + files: + - simpleuart.v + - spimemio.v + - picosoc.v + file_type : verilogSource + depend : [picorv32] + +targets: + default: + filesets : [picosoc] + parameters : [PICORV32_REGS, PICOSOC_MEM] + +parameters: + PICORV32_REGS: + datatype : str + default : picosoc_regs + paramtype : vlogdefine + PICOSOC_MEM: + datatype : str + default : picosoc_mem + paramtype : vlogdefine diff --git a/ice40up5k/picosoc_gpio/picosoc.v b/ice40up5k/picosoc_gpio/picosoc.v new file mode 100644 index 0000000..e76e64a --- /dev/null +++ b/ice40up5k/picosoc_gpio/picosoc.v @@ -0,0 +1,259 @@ +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +`ifndef PICORV32_REGS +`ifdef PICORV32_V +`error "picosoc.v must be read before picorv32.v!" +`endif + +`define PICORV32_REGS picosoc_regs +`endif + +`ifndef PICOSOC_MEM +`define PICOSOC_MEM picosoc_mem +`endif + +// this macro can be used to check if the verilog files in your +// design are read in the correct order. +`define PICOSOC_V + +module picosoc ( + input clk, + input resetn, + + output iomem_valid, + input iomem_ready, + output [ 3:0] iomem_wstrb, + output [31:0] iomem_addr, + output [31:0] iomem_wdata, + input [31:0] iomem_rdata, + + input irq_5, + input irq_6, + input irq_7, + + output ser_tx, + input ser_rx, + + output flash_csb, + output flash_clk, + + output flash_io0_oe, + output flash_io1_oe, + output flash_io2_oe, + output flash_io3_oe, + + output flash_io0_do, + output flash_io1_do, + output flash_io2_do, + output flash_io3_do, + + input flash_io0_di, + input flash_io1_di, + input flash_io2_di, + input flash_io3_di +); + parameter [0:0] BARREL_SHIFTER = 1; + parameter [0:0] ENABLE_MULDIV = 1; + parameter [0:0] ENABLE_COMPRESSED = 1; + parameter [0:0] ENABLE_COUNTERS = 1; + parameter [0:0] ENABLE_IRQ_QREGS = 1; + + parameter integer MEM_WORDS = 256; + parameter [31:0] STACKADDR = (4*MEM_WORDS); // end of memory + parameter [31:0] PROGADDR_RESET = 32'h 0010_0000; // 1 MB into flash + parameter [31:0] PROGADDR_IRQ = 32'h 0010_0010;// 0000_0000; + + reg [31:0] irq; + wire irq_stall = 0; + wire irq_uart = 0; + + always @* begin + irq = 0; + irq[3] = irq_stall; + irq[4] = irq_uart; + irq[5] = irq_5; + irq[6] = irq_6; + irq[7] = irq_7; + end + + wire mem_valid; + wire mem_instr; + wire mem_ready; + wire [31:0] mem_addr; + wire [31:0] mem_wdata; + wire [3:0] mem_wstrb; + wire [31:0] mem_rdata; + + wire spimem_ready; + wire [31:0] spimem_rdata; + + reg ram_ready; + wire [31:0] ram_rdata; + + assign iomem_valid = mem_valid && (mem_addr[31:24] > 8'h 01); + assign iomem_wstrb = mem_wstrb; + assign iomem_addr = mem_addr; + assign iomem_wdata = mem_wdata; + + wire spimemio_cfgreg_sel = mem_valid && (mem_addr == 32'h 0200_0000); + wire [31:0] spimemio_cfgreg_do; + + wire simpleuart_reg_div_sel = mem_valid && (mem_addr == 32'h 0200_0004); + wire [31:0] simpleuart_reg_div_do; + + wire simpleuart_reg_dat_sel = mem_valid && (mem_addr == 32'h 0200_0008); + wire [31:0] simpleuart_reg_dat_do; + wire simpleuart_reg_dat_wait; + + assign mem_ready = (iomem_valid && iomem_ready) || spimem_ready || ram_ready || spimemio_cfgreg_sel || + simpleuart_reg_div_sel || (simpleuart_reg_dat_sel && !simpleuart_reg_dat_wait); + + assign mem_rdata = (iomem_valid && iomem_ready) ? iomem_rdata : spimem_ready ? spimem_rdata : ram_ready ? ram_rdata : + spimemio_cfgreg_sel ? spimemio_cfgreg_do : simpleuart_reg_div_sel ? simpleuart_reg_div_do : + simpleuart_reg_dat_sel ? simpleuart_reg_dat_do : 32'h 0000_0000; + + picorv32 #( + .STACKADDR(STACKADDR), + .PROGADDR_RESET(PROGADDR_RESET), + .PROGADDR_IRQ(PROGADDR_IRQ), + .BARREL_SHIFTER(BARREL_SHIFTER), + .COMPRESSED_ISA(ENABLE_COMPRESSED), + .ENABLE_COUNTERS(ENABLE_COUNTERS), + .ENABLE_MUL(ENABLE_MULDIV), + .ENABLE_DIV(ENABLE_MULDIV), + .ENABLE_IRQ(1), + .ENABLE_IRQ_QREGS(ENABLE_IRQ_QREGS) + ) cpu ( + .clk (clk ), + .resetn (resetn ), + .mem_valid (mem_valid ), + .mem_instr (mem_instr ), + .mem_ready (mem_ready ), + .mem_addr (mem_addr ), + .mem_wdata (mem_wdata ), + .mem_wstrb (mem_wstrb ), + .mem_rdata (mem_rdata ), + .irq (irq ) + ); + + spimemio spimemio ( + .clk (clk), + .resetn (resetn), + .valid (mem_valid && mem_addr >= 4*MEM_WORDS && mem_addr < 32'h 0200_0000), + .ready (spimem_ready), + .addr (mem_addr[23:0]), + .rdata (spimem_rdata), + + .flash_csb (flash_csb ), + .flash_clk (flash_clk ), + + .flash_io0_oe (flash_io0_oe), + .flash_io1_oe (flash_io1_oe), + .flash_io2_oe (flash_io2_oe), + .flash_io3_oe (flash_io3_oe), + + .flash_io0_do (flash_io0_do), + .flash_io1_do (flash_io1_do), + .flash_io2_do (flash_io2_do), + .flash_io3_do (flash_io3_do), + + .flash_io0_di (flash_io0_di), + .flash_io1_di (flash_io1_di), + .flash_io2_di (flash_io2_di), + .flash_io3_di (flash_io3_di), + + .cfgreg_we(spimemio_cfgreg_sel ? mem_wstrb : 4'b 0000), + .cfgreg_di(mem_wdata), + .cfgreg_do(spimemio_cfgreg_do) + ); + + simpleuart simpleuart ( + .clk (clk ), + .resetn (resetn ), + + .ser_tx (ser_tx ), + .ser_rx (ser_rx ), + + .reg_div_we (simpleuart_reg_div_sel ? mem_wstrb : 4'b 0000), + .reg_div_di (mem_wdata), + .reg_div_do (simpleuart_reg_div_do), + + .reg_dat_we (simpleuart_reg_dat_sel ? mem_wstrb[0] : 1'b 0), + .reg_dat_re (simpleuart_reg_dat_sel && !mem_wstrb), + .reg_dat_di (mem_wdata), + .reg_dat_do (simpleuart_reg_dat_do), + .reg_dat_wait(simpleuart_reg_dat_wait) + ); + + always @(posedge clk) + ram_ready <= mem_valid && !mem_ready && mem_addr < 4*MEM_WORDS; + + `PICOSOC_MEM #( + .WORDS(MEM_WORDS) + ) memory ( + .clk(clk), + .wen((mem_valid && !mem_ready && mem_addr < 4*MEM_WORDS) ? mem_wstrb : 4'b0), + .addr(mem_addr[23:2]), + .wdata(mem_wdata), + .rdata(ram_rdata) + ); +endmodule + +// Implementation note: +// Replace the following two modules with wrappers for your SRAM cells. + +module picosoc_regs ( + input clk, wen, + input [5:0] waddr, + input [5:0] raddr1, + input [5:0] raddr2, + input [31:0] wdata, + output [31:0] rdata1, + output [31:0] rdata2 +); + reg [31:0] regs [0:31]; + + always @(posedge clk) + if (wen) regs[waddr[4:0]] <= wdata; + + assign rdata1 = regs[raddr1[4:0]]; + assign rdata2 = regs[raddr2[4:0]]; +endmodule + +module picosoc_mem #( + parameter integer WORDS = 256 +) ( + input clk, + input [3:0] wen, + input [21:0] addr, + input [31:0] wdata, + output reg [31:0] rdata +); + reg [31:0] mem [0:WORDS-1]; + + always @(posedge clk) begin + rdata <= mem[addr]; + if (wen[0]) mem[addr][ 7: 0] <= wdata[ 7: 0]; + if (wen[1]) mem[addr][15: 8] <= wdata[15: 8]; + if (wen[2]) mem[addr][23:16] <= wdata[23:16]; + if (wen[3]) mem[addr][31:24] <= wdata[31:24]; + end +endmodule + diff --git a/ice40up5k/picosoc_gpio/sections.lds b/ice40up5k/picosoc_gpio/sections.lds new file mode 100644 index 0000000..be75d36 --- /dev/null +++ b/ice40up5k/picosoc_gpio/sections.lds @@ -0,0 +1,71 @@ +#if defined(ICEBREAKER) || defined(LICE40UP) +# define MEM_TOTAL 0x20000 /* 128 KB */ +#elif HX8KDEMO +# define MEM_TOTAL 0x200 /* 2 KB */ +#else +# error "Set -DICEBREAKER or -DHX8KDEMO when compiling firmware.c" +#endif + +MEMORY +{ + FLASH (rx) : ORIGIN = 0x00100000, LENGTH = 0x400000 /* entire flash, 4 MiB */ + RAM (xrw) : ORIGIN = 0x00000000, LENGTH = MEM_TOTAL +} + +SECTIONS { + /* The program code and other data goes into FLASH */ + .text : + { + . = ALIGN(4); + *(.text) /* .text sections (code) */ + *(.text*) /* .text* sections (code) */ + *(.rodata) /* .rodata sections (constants, strings, etc.) */ + *(.rodata*) /* .rodata* sections (constants, strings, etc.) */ + *(.srodata) /* .rodata sections (constants, strings, etc.) */ + *(.srodata*) /* .rodata* sections (constants, strings, etc.) */ + . = ALIGN(4); + _etext = .; /* define a global symbol at end of code */ + _sidata = _etext; /* This is used by the startup in order to initialize the .data secion */ + } >FLASH + + + /* This is the initialized data section + The program executes knowing that the data is in the RAM + but the loader puts the initial values in the FLASH (inidata). + It is one task of the startup to copy the initial values from FLASH to RAM. */ + .data : AT ( _sidata ) + { + . = ALIGN(4); + _sdata = .; /* create a global symbol at data start; used by startup code in order to initialise the .data section in RAM */ + _ram_start = .; /* create a global symbol at ram start for garbage collector */ + . = ALIGN(4); + *(.data) /* .data sections */ + *(.data*) /* .data* sections */ + *(.sdata) /* .sdata sections */ + *(.sdata*) /* .sdata* sections */ + . = ALIGN(4); + _edata = .; /* define a global symbol at data end; used by startup code in order to initialise the .data section in RAM */ + } >RAM + + /* Uninitialized data section */ + .bss : + { + . = ALIGN(4); + _sbss = .; /* define a global symbol at bss start; used by startup code */ + *(.bss) + *(.bss*) + *(.sbss) + *(.sbss*) + *(COMMON) + + . = ALIGN(4); + _ebss = .; /* define a global symbol at bss end; used by startup code */ + } >RAM + + /* this is to define the start of the heap, and make sure we have a minimum size */ + .heap : + { + . = ALIGN(4); + _heap_start = .; /* define a global symbol at heap start */ + } >RAM +} diff --git a/ice40up5k/picosoc_gpio/simpleuart.v b/ice40up5k/picosoc_gpio/simpleuart.v new file mode 100644 index 0000000..50808cb --- /dev/null +++ b/ice40up5k/picosoc_gpio/simpleuart.v @@ -0,0 +1,137 @@ +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +module simpleuart ( + input clk, + input resetn, + + output ser_tx, + input ser_rx, + + input [3:0] reg_div_we, + input [31:0] reg_div_di, + output [31:0] reg_div_do, + + input reg_dat_we, + input reg_dat_re, + input [31:0] reg_dat_di, + output [31:0] reg_dat_do, + output reg_dat_wait +); + reg [31:0] cfg_divider; + + reg [3:0] recv_state; + reg [31:0] recv_divcnt; + reg [7:0] recv_pattern; + reg [7:0] recv_buf_data; + reg recv_buf_valid; + + reg [9:0] send_pattern; + reg [3:0] send_bitcnt; + reg [31:0] send_divcnt; + reg send_dummy; + + assign reg_div_do = cfg_divider; + + assign reg_dat_wait = reg_dat_we && (send_bitcnt || send_dummy); + assign reg_dat_do = recv_buf_valid ? recv_buf_data : ~0; + + always @(posedge clk) begin + if (!resetn) begin + cfg_divider <= 1; + end else begin + if (reg_div_we[0]) cfg_divider[ 7: 0] <= reg_div_di[ 7: 0]; + if (reg_div_we[1]) cfg_divider[15: 8] <= reg_div_di[15: 8]; + if (reg_div_we[2]) cfg_divider[23:16] <= reg_div_di[23:16]; + if (reg_div_we[3]) cfg_divider[31:24] <= reg_div_di[31:24]; + end + end + + always @(posedge clk) begin + if (!resetn) begin + recv_state <= 0; + recv_divcnt <= 0; + recv_pattern <= 0; + recv_buf_data <= 0; + recv_buf_valid <= 0; + end else begin + recv_divcnt <= recv_divcnt + 1; + if (reg_dat_re) + recv_buf_valid <= 0; + case (recv_state) + 0: begin + if (!ser_rx) + recv_state <= 1; + recv_divcnt <= 0; + end + 1: begin + if (2*recv_divcnt > cfg_divider) begin + recv_state <= 2; + recv_divcnt <= 0; + end + end + 10: begin + if (recv_divcnt > cfg_divider) begin + recv_buf_data <= recv_pattern; + recv_buf_valid <= 1; + recv_state <= 0; + end + end + default: begin + if (recv_divcnt > cfg_divider) begin + recv_pattern <= {ser_rx, recv_pattern[7:1]}; + recv_state <= recv_state + 1; + recv_divcnt <= 0; + end + end + endcase + end + end + + assign ser_tx = send_pattern[0]; + + always @(posedge clk) begin + if (reg_div_we) + send_dummy <= 1; + send_divcnt <= send_divcnt + 1; + if (!resetn) begin + send_pattern <= ~0; + send_bitcnt <= 0; + send_divcnt <= 0; + send_dummy <= 1; + end else begin + if (send_dummy && !send_bitcnt) begin + send_pattern <= ~0; + send_bitcnt <= 15; + send_divcnt <= 0; + send_dummy <= 0; + end else + if (reg_dat_we && !send_bitcnt) begin + send_pattern <= {1'b1, reg_dat_di[7:0], 1'b0}; + send_bitcnt <= 10; + send_divcnt <= 0; + end else + if (send_divcnt > cfg_divider && send_bitcnt) begin + send_pattern <= {1'b1, send_pattern[9:1]}; + send_bitcnt <= send_bitcnt - 1; + send_divcnt <= 0; + end + end + end +endmodule diff --git a/ice40up5k/picosoc_gpio/spiflash.core b/ice40up5k/picosoc_gpio/spiflash.core new file mode 100644 index 0000000..1b7d153 --- /dev/null +++ b/ice40up5k/picosoc_gpio/spiflash.core @@ -0,0 +1,24 @@ +CAPI=2: + +name : ::spiflash:0 + +filesets: + model: + files : [spiflash.v] + file_type : verilogSource + tb: + files : [spiflash_tb.v] + file_type : verilogSource + +targets: + default: + default_tool : icarus + filesets : [model, "is_toplevel? (tb)"] + parameters : [firmware] + toplevel : [testbench] + +parameters : + firmware: + datatype : file + description : Initial SPI Flash contents (in verilog hex format) + paramtype : plusarg diff --git a/ice40up5k/picosoc_gpio/spiflash.v b/ice40up5k/picosoc_gpio/spiflash.v new file mode 100644 index 0000000..1ade543 --- /dev/null +++ b/ice40up5k/picosoc_gpio/spiflash.v @@ -0,0 +1,409 @@ +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +`timescale 1 ns / 1 ps + +// +// Simple SPI flash simulation model +// +// This model samples io input signals 1ns before the SPI clock edge and +// updates output signals 1ns after the SPI clock edge. +// +// Supported commands: +// AB, B9, FF, 03, BB, EB, ED +// +// Well written SPI flash data sheets: +// Cypress S25FL064L http://www.cypress.com/file/316661/download +// Cypress S25FL128L http://www.cypress.com/file/316171/download +// +// SPI flash used on iCEBreaker board: +// https://www.winbond.com/resource-files/w25q128jv%20dtr%20revb%2011042016.pdf +// + +module spiflash ( + input csb, + input clk, + inout io0, // MOSI + inout io1, // MISO + inout io2, + inout io3 +); + localparam verbose = 0; + localparam integer latency = 8; + + reg [7:0] buffer; + integer bitcount = 0; + integer bytecount = 0; + integer dummycount = 0; + + reg [7:0] spi_cmd; + reg [7:0] xip_cmd = 0; + reg [23:0] spi_addr; + + reg [7:0] spi_in; + reg [7:0] spi_out; + reg spi_io_vld; + + reg powered_up = 0; + + localparam [3:0] mode_spi = 1; + localparam [3:0] mode_dspi_rd = 2; + localparam [3:0] mode_dspi_wr = 3; + localparam [3:0] mode_qspi_rd = 4; + localparam [3:0] mode_qspi_wr = 5; + localparam [3:0] mode_qspi_ddr_rd = 6; + localparam [3:0] mode_qspi_ddr_wr = 7; + + reg [3:0] mode = 0; + reg [3:0] next_mode = 0; + + reg io0_oe = 0; + reg io1_oe = 0; + reg io2_oe = 0; + reg io3_oe = 0; + + reg io0_dout = 0; + reg io1_dout = 0; + reg io2_dout = 0; + reg io3_dout = 0; + + assign #1 io0 = io0_oe ? io0_dout : 1'bz; + assign #1 io1 = io1_oe ? io1_dout : 1'bz; + assign #1 io2 = io2_oe ? io2_dout : 1'bz; + assign #1 io3 = io3_oe ? io3_dout : 1'bz; + + wire io0_delayed; + wire io1_delayed; + wire io2_delayed; + wire io3_delayed; + + assign #1 io0_delayed = io0; + assign #1 io1_delayed = io1; + assign #1 io2_delayed = io2; + assign #1 io3_delayed = io3; + + // 16 MB (128Mb) Flash + reg [7:0] memory [0:16*1024*1024-1]; + + reg [1023:0] firmware_file; + initial begin + if (!$value$plusargs("firmware=%s", firmware_file)) + firmware_file = "firmware.hex"; + $readmemh(firmware_file, memory); + end + + task spi_action; + begin + spi_in = buffer; + + if (bytecount == 1) begin + spi_cmd = buffer; + + if (spi_cmd == 8'h ab) + powered_up = 1; + + if (spi_cmd == 8'h b9) + powered_up = 0; + + if (spi_cmd == 8'h ff) + xip_cmd = 0; + end + + if (powered_up && spi_cmd == 'h 03) begin + if (bytecount == 2) + spi_addr[23:16] = buffer; + + if (bytecount == 3) + spi_addr[15:8] = buffer; + + if (bytecount == 4) + spi_addr[7:0] = buffer; + + if (bytecount >= 4) begin + buffer = memory[spi_addr]; + spi_addr = spi_addr + 1; + end + end + + if (powered_up && spi_cmd == 'h bb) begin + if (bytecount == 1) + mode = mode_dspi_rd; + + if (bytecount == 2) + spi_addr[23:16] = buffer; + + if (bytecount == 3) + spi_addr[15:8] = buffer; + + if (bytecount == 4) + spi_addr[7:0] = buffer; + + if (bytecount == 5) begin + xip_cmd = (buffer == 8'h a5) ? spi_cmd : 8'h 00; + mode = mode_dspi_wr; + dummycount = latency; + end + + if (bytecount >= 5) begin + buffer = memory[spi_addr]; + spi_addr = spi_addr + 1; + end + end + + if (powered_up && spi_cmd == 'h eb) begin + if (bytecount == 1) + mode = mode_qspi_rd; + + if (bytecount == 2) + spi_addr[23:16] = buffer; + + if (bytecount == 3) + spi_addr[15:8] = buffer; + + if (bytecount == 4) + spi_addr[7:0] = buffer; + + if (bytecount == 5) begin + xip_cmd = (buffer == 8'h a5) ? spi_cmd : 8'h 00; + mode = mode_qspi_wr; + dummycount = latency; + end + + if (bytecount >= 5) begin + buffer = memory[spi_addr]; + spi_addr = spi_addr + 1; + end + end + + if (powered_up && spi_cmd == 'h ed) begin + if (bytecount == 1) + next_mode = mode_qspi_ddr_rd; + + if (bytecount == 2) + spi_addr[23:16] = buffer; + + if (bytecount == 3) + spi_addr[15:8] = buffer; + + if (bytecount == 4) + spi_addr[7:0] = buffer; + + if (bytecount == 5) begin + xip_cmd = (buffer == 8'h a5) ? spi_cmd : 8'h 00; + mode = mode_qspi_ddr_wr; + dummycount = latency; + end + + if (bytecount >= 5) begin + buffer = memory[spi_addr]; + spi_addr = spi_addr + 1; + end + end + + spi_out = buffer; + spi_io_vld = 1; + + if (verbose) begin + if (bytecount == 1) + $write(""); + $write("", spi_in, spi_out); + end + + end + endtask + + task ddr_rd_edge; + begin + buffer = {buffer, io3_delayed, io2_delayed, io1_delayed, io0_delayed}; + bitcount = bitcount + 4; + if (bitcount == 8) begin + bitcount = 0; + bytecount = bytecount + 1; + spi_action; + end + end + endtask + + task ddr_wr_edge; + begin + io0_oe = 1; + io1_oe = 1; + io2_oe = 1; + io3_oe = 1; + + io0_dout = buffer[4]; + io1_dout = buffer[5]; + io2_dout = buffer[6]; + io3_dout = buffer[7]; + + buffer = {buffer, 4'h 0}; + bitcount = bitcount + 4; + if (bitcount == 8) begin + bitcount = 0; + bytecount = bytecount + 1; + spi_action; + end + end + endtask + + always @(csb) begin + if (csb) begin + if (verbose) begin + $display(""); + $fflush; + end + buffer = 0; + bitcount = 0; + bytecount = 0; + mode = mode_spi; + io0_oe = 0; + io1_oe = 0; + io2_oe = 0; + io3_oe = 0; + end else + if (xip_cmd) begin + buffer = xip_cmd; + bitcount = 0; + bytecount = 1; + spi_action; + end + end + + always @(csb, clk) begin + spi_io_vld = 0; + if (!csb && !clk) begin + if (dummycount > 0) begin + io0_oe = 0; + io1_oe = 0; + io2_oe = 0; + io3_oe = 0; + end else + case (mode) + mode_spi: begin + io0_oe = 0; + io1_oe = 1; + io2_oe = 0; + io3_oe = 0; + io1_dout = buffer[7]; + end + mode_dspi_rd: begin + io0_oe = 0; + io1_oe = 0; + io2_oe = 0; + io3_oe = 0; + end + mode_dspi_wr: begin + io0_oe = 1; + io1_oe = 1; + io2_oe = 0; + io3_oe = 0; + io0_dout = buffer[6]; + io1_dout = buffer[7]; + end + mode_qspi_rd: begin + io0_oe = 0; + io1_oe = 0; + io2_oe = 0; + io3_oe = 0; + end + mode_qspi_wr: begin + io0_oe = 1; + io1_oe = 1; + io2_oe = 1; + io3_oe = 1; + io0_dout = buffer[4]; + io1_dout = buffer[5]; + io2_dout = buffer[6]; + io3_dout = buffer[7]; + end + mode_qspi_ddr_rd: begin + ddr_rd_edge; + end + mode_qspi_ddr_wr: begin + ddr_wr_edge; + end + endcase + if (next_mode) begin + case (next_mode) + mode_qspi_ddr_rd: begin + io0_oe = 0; + io1_oe = 0; + io2_oe = 0; + io3_oe = 0; + end + mode_qspi_ddr_wr: begin + io0_oe = 1; + io1_oe = 1; + io2_oe = 1; + io3_oe = 1; + io0_dout = buffer[4]; + io1_dout = buffer[5]; + io2_dout = buffer[6]; + io3_dout = buffer[7]; + end + endcase + mode = next_mode; + next_mode = 0; + end + end + end + + always @(posedge clk) begin + if (!csb) begin + if (dummycount > 0) begin + dummycount = dummycount - 1; + end else + case (mode) + mode_spi: begin + buffer = {buffer, io0}; + bitcount = bitcount + 1; + if (bitcount == 8) begin + bitcount = 0; + bytecount = bytecount + 1; + spi_action; + end + end + mode_dspi_rd, mode_dspi_wr: begin + buffer = {buffer, io1, io0}; + bitcount = bitcount + 2; + if (bitcount == 8) begin + bitcount = 0; + bytecount = bytecount + 1; + spi_action; + end + end + mode_qspi_rd, mode_qspi_wr: begin + buffer = {buffer, io3, io2, io1, io0}; + bitcount = bitcount + 4; + if (bitcount == 8) begin + bitcount = 0; + bytecount = bytecount + 1; + spi_action; + end + end + mode_qspi_ddr_rd: begin + ddr_rd_edge; + end + mode_qspi_ddr_wr: begin + ddr_wr_edge; + end + endcase + end + end +endmodule diff --git a/ice40up5k/picosoc_gpio/spiflash_tb.v b/ice40up5k/picosoc_gpio/spiflash_tb.v new file mode 100644 index 0000000..f300373 --- /dev/null +++ b/ice40up5k/picosoc_gpio/spiflash_tb.v @@ -0,0 +1,366 @@ +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +`timescale 1 ns / 1 ps + +module testbench; + reg flash_csb = 1; + reg flash_clk = 0; + + wire flash_io0; + wire flash_io1; + wire flash_io2; + wire flash_io3; + + reg flash_io0_oe = 0; + reg flash_io1_oe = 0; + reg flash_io2_oe = 0; + reg flash_io3_oe = 0; + + reg flash_io0_dout = 0; + reg flash_io1_dout = 0; + reg flash_io2_dout = 0; + reg flash_io3_dout = 0; + + assign flash_io0 = flash_io0_oe ? flash_io0_dout : 1'bz; + assign flash_io1 = flash_io1_oe ? flash_io1_dout : 1'bz; + assign flash_io2 = flash_io2_oe ? flash_io2_dout : 1'bz; + assign flash_io3 = flash_io3_oe ? flash_io3_dout : 1'bz; + + spiflash uut ( + .csb(flash_csb), + .clk(flash_clk), + .io0(flash_io0), + .io1(flash_io1), + .io2(flash_io2), + .io3(flash_io3) + ); + + localparam [23:0] offset = 24'h100000; + localparam [31:0] word0 = 32'h 00000093; + localparam [31:0] word1 = 32'h 00000193; + + reg [7:0] rdata; + integer errcount = 0; + + task expect; + input [7:0] data; + begin + if (data !== rdata) begin + $display("ERROR: Got %x (%b) but expected %x (%b).", rdata, rdata, data, data); + errcount = errcount + 1; + end + end + endtask + + task xfer_begin; + begin + #5; + flash_csb = 0; + $display("-- BEGIN"); + #5; + end + endtask + + task xfer_dummy; + begin + flash_io0_oe = 0; + flash_io1_oe = 0; + flash_io2_oe = 0; + flash_io3_oe = 0; + + #5; + flash_clk = 1; + #5; + flash_clk = 0; + #5; + end + endtask + + task xfer_end; + begin + #5; + flash_csb = 1; + flash_io0_oe = 0; + flash_io1_oe = 0; + flash_io2_oe = 0; + flash_io3_oe = 0; + $display("-- END"); + $display(""); + #5; + end + endtask + + task xfer_spi; + input [7:0] data; + integer i; + begin + flash_io0_oe = 1; + flash_io1_oe = 0; + flash_io2_oe = 0; + flash_io3_oe = 0; + + for (i = 0; i < 8; i=i+1) begin + flash_io0_dout = data[7-i]; + #5; + flash_clk = 1; + rdata[7-i] = flash_io1; + #5; + flash_clk = 0; + end + + $display("-- SPI SDR %02x %02x", data, rdata); + #5; + end + endtask + + task xfer_qspi_wr; + input [7:0] data; + integer i; + begin + flash_io0_oe = 1; + flash_io1_oe = 1; + flash_io2_oe = 1; + flash_io3_oe = 1; + + flash_io0_dout = data[4]; + flash_io1_dout = data[5]; + flash_io2_dout = data[6]; + flash_io3_dout = data[7]; + + #5; + flash_clk = 1; + + #5; + flash_clk = 0; + flash_io0_dout = data[0]; + flash_io1_dout = data[1]; + flash_io2_dout = data[2]; + flash_io3_dout = data[3]; + + #5; + flash_clk = 1; + #5; + flash_clk = 0; + + $display("-- QSPI SDR %02x --", data); + #5; + end + endtask + + task xfer_qspi_rd; + integer i; + begin + flash_io0_oe = 0; + flash_io1_oe = 0; + flash_io2_oe = 0; + flash_io3_oe = 0; + + #5; + flash_clk = 1; + rdata[4] = flash_io0; + rdata[5] = flash_io1; + rdata[6] = flash_io2; + rdata[7] = flash_io3; + + #5; + flash_clk = 0; + + #5; + flash_clk = 1; + rdata[0] = flash_io0; + rdata[1] = flash_io1; + rdata[2] = flash_io2; + rdata[3] = flash_io3; + + #5; + flash_clk = 0; + + $display("-- QSPI SDR -- %02x", rdata); + #5; + end + endtask + + task xfer_qspi_ddr_wr; + input [7:0] data; + integer i; + begin + flash_io0_oe = 1; + flash_io1_oe = 1; + flash_io2_oe = 1; + flash_io3_oe = 1; + + flash_io0_dout = data[4]; + flash_io1_dout = data[5]; + flash_io2_dout = data[6]; + flash_io3_dout = data[7]; + + #5; + flash_clk = 1; + flash_io0_dout = data[0]; + flash_io1_dout = data[1]; + flash_io2_dout = data[2]; + flash_io3_dout = data[3]; + + #5; + flash_clk = 0; + + $display("-- QSPI DDR %02x --", data); + #5; + end + endtask + + task xfer_qspi_ddr_rd; + integer i; + begin + flash_io0_oe = 0; + flash_io1_oe = 0; + flash_io2_oe = 0; + flash_io3_oe = 0; + + #5; + flash_clk = 1; + rdata[4] = flash_io0; + rdata[5] = flash_io1; + rdata[6] = flash_io2; + rdata[7] = flash_io3; + + #5; + flash_clk = 0; + rdata[0] = flash_io0; + rdata[1] = flash_io1; + rdata[2] = flash_io2; + rdata[3] = flash_io3; + + $display("-- QSPI DDR -- %02x", rdata); + #5; + end + endtask + + initial begin + $dumpfile("spiflash_tb.vcd"); + $dumpvars(0, testbench); + $display(""); + + $display("Reset (FFh)"); + xfer_begin; + xfer_spi(8'h ff); + xfer_end; + + $display("Power Up (ABh)"); + xfer_begin; + xfer_spi(8'h ab); + xfer_end; + + $display("Read Data (03h)"); + xfer_begin; + xfer_spi(8'h 03); + xfer_spi(offset[23:16]); + xfer_spi(offset[15:8]); + xfer_spi(offset[7:0]); + xfer_spi(8'h 00); expect(word0[7:0]); + xfer_spi(8'h 00); expect(word0[15:8]); + xfer_spi(8'h 00); expect(word0[23:16]); + xfer_spi(8'h 00); expect(word0[31:24]); + xfer_spi(8'h 00); expect(word1[7:0]); + xfer_spi(8'h 00); expect(word1[15:8]); + xfer_spi(8'h 00); expect(word1[23:16]); + xfer_spi(8'h 00); expect(word1[31:24]); + xfer_end; + + $display("Quad I/O Read (EBh)"); + xfer_begin; + xfer_spi(8'h eb); + xfer_qspi_wr(offset[23:16]); + xfer_qspi_wr(offset[15:8]); + xfer_qspi_wr(offset[7:0]); + xfer_qspi_wr(8'h a5); + repeat (8) xfer_dummy; + xfer_qspi_rd; expect(word0[7:0]); + xfer_qspi_rd; expect(word0[15:8]); + xfer_qspi_rd; expect(word0[23:16]); + xfer_qspi_rd; expect(word0[31:24]); + xfer_qspi_rd; expect(word1[7:0]); + xfer_qspi_rd; expect(word1[15:8]); + xfer_qspi_rd; expect(word1[23:16]); + xfer_qspi_rd; expect(word1[31:24]); + xfer_end; + + $display("Continous Quad I/O Read"); + xfer_begin; + xfer_qspi_wr(offset[23:16]); + xfer_qspi_wr(offset[15:8]); + xfer_qspi_wr(offset[7:0]); + xfer_qspi_wr(8'h ff); + repeat (8) xfer_dummy; + xfer_qspi_rd; expect(word0[7:0]); + xfer_qspi_rd; expect(word0[15:8]); + xfer_qspi_rd; expect(word0[23:16]); + xfer_qspi_rd; expect(word0[31:24]); + xfer_qspi_rd; expect(word1[7:0]); + xfer_qspi_rd; expect(word1[15:8]); + xfer_qspi_rd; expect(word1[23:16]); + xfer_qspi_rd; expect(word1[31:24]); + xfer_end; + + $display("DDR Quad I/O Read (EDh)"); + xfer_begin; + xfer_spi(8'h ed); + xfer_qspi_ddr_wr(offset[23:16]); + xfer_qspi_ddr_wr(offset[15:8]); + xfer_qspi_ddr_wr(offset[7:0]); + xfer_qspi_ddr_wr(8'h a5); + repeat (8) xfer_dummy; + xfer_qspi_ddr_rd; expect(word0[7:0]); + xfer_qspi_ddr_rd; expect(word0[15:8]); + xfer_qspi_ddr_rd; expect(word0[23:16]); + xfer_qspi_ddr_rd; expect(word0[31:24]); + xfer_qspi_ddr_rd; expect(word1[7:0]); + xfer_qspi_ddr_rd; expect(word1[15:8]); + xfer_qspi_ddr_rd; expect(word1[23:16]); + xfer_qspi_ddr_rd; expect(word1[31:24]); + xfer_end; + + $display("Continous DDR Quad I/O Read"); + xfer_begin; + xfer_qspi_ddr_wr(offset[23:16]); + xfer_qspi_ddr_wr(offset[15:8]); + xfer_qspi_ddr_wr(offset[7:0]); + xfer_qspi_ddr_wr(8'h ff); + repeat (8) xfer_dummy; + xfer_qspi_ddr_rd; expect(word0[7:0]); + xfer_qspi_ddr_rd; expect(word0[15:8]); + xfer_qspi_ddr_rd; expect(word0[23:16]); + xfer_qspi_ddr_rd; expect(word0[31:24]); + xfer_qspi_ddr_rd; expect(word1[7:0]); + xfer_qspi_ddr_rd; expect(word1[15:8]); + xfer_qspi_ddr_rd; expect(word1[23:16]); + xfer_qspi_ddr_rd; expect(word1[31:24]); + xfer_end; + + #5; + + if (errcount) begin + $display("FAIL"); + $stop; + end else begin + $display("PASS"); + end + end +endmodule diff --git a/ice40up5k/picosoc_gpio/spimemio.v b/ice40up5k/picosoc_gpio/spimemio.v new file mode 100644 index 0000000..0bbf532 --- /dev/null +++ b/ice40up5k/picosoc_gpio/spimemio.v @@ -0,0 +1,579 @@ +/* + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +module spimemio ( + input clk, resetn, + + input valid, + output ready, + input [23:0] addr, + output reg [31:0] rdata, + + output flash_csb, + output flash_clk, + + output flash_io0_oe, + output flash_io1_oe, + output flash_io2_oe, + output flash_io3_oe, + + output flash_io0_do, + output flash_io1_do, + output flash_io2_do, + output flash_io3_do, + + input flash_io0_di, + input flash_io1_di, + input flash_io2_di, + input flash_io3_di, + + input [3:0] cfgreg_we, + input [31:0] cfgreg_di, + output [31:0] cfgreg_do +); + reg xfer_resetn; + reg din_valid; + wire din_ready; + reg [7:0] din_data; + reg [3:0] din_tag; + reg din_cont; + reg din_qspi; + reg din_ddr; + reg din_rd; + + wire dout_valid; + wire [7:0] dout_data; + wire [3:0] dout_tag; + + reg [23:0] buffer; + + reg [23:0] rd_addr; + reg rd_valid; + reg rd_wait; + reg rd_inc; + + assign ready = valid && (addr == rd_addr) && rd_valid; + wire jump = valid && !ready && (addr != rd_addr+4) && rd_valid; + + reg softreset; + + reg config_en; // cfgreg[31] + reg config_ddr; // cfgreg[22] + reg config_qspi; // cfgreg[21] + reg config_cont; // cfgreg[20] + reg [3:0] config_dummy; // cfgreg[19:16] + reg [3:0] config_oe; // cfgreg[11:8] + reg config_csb; // cfgreg[5] + reg config_clk; // cfgref[4] + reg [3:0] config_do; // cfgreg[3:0] + + assign cfgreg_do[31] = config_en; + assign cfgreg_do[30:23] = 0; + assign cfgreg_do[22] = config_ddr; + assign cfgreg_do[21] = config_qspi; + assign cfgreg_do[20] = config_cont; + assign cfgreg_do[19:16] = config_dummy; + assign cfgreg_do[15:12] = 0; + assign cfgreg_do[11:8] = {flash_io3_oe, flash_io2_oe, flash_io1_oe, flash_io0_oe}; + assign cfgreg_do[7:6] = 0; + assign cfgreg_do[5] = flash_csb; + assign cfgreg_do[4] = flash_clk; + assign cfgreg_do[3:0] = {flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}; + + always @(posedge clk) begin + softreset <= !config_en || cfgreg_we; + if (!resetn) begin + softreset <= 1; + config_en <= 1; + config_csb <= 0; + config_clk <= 0; + config_oe <= 0; + config_do <= 0; + config_ddr <= 0; + config_qspi <= 0; + config_cont <= 0; + config_dummy <= 8; + end else begin + if (cfgreg_we[0]) begin + config_csb <= cfgreg_di[5]; + config_clk <= cfgreg_di[4]; + config_do <= cfgreg_di[3:0]; + end + if (cfgreg_we[1]) begin + config_oe <= cfgreg_di[11:8]; + end + if (cfgreg_we[2]) begin + config_ddr <= cfgreg_di[22]; + config_qspi <= cfgreg_di[21]; + config_cont <= cfgreg_di[20]; + config_dummy <= cfgreg_di[19:16]; + end + if (cfgreg_we[3]) begin + config_en <= cfgreg_di[31]; + end + end + end + + wire xfer_csb; + wire xfer_clk; + + wire xfer_io0_oe; + wire xfer_io1_oe; + wire xfer_io2_oe; + wire xfer_io3_oe; + + wire xfer_io0_do; + wire xfer_io1_do; + wire xfer_io2_do; + wire xfer_io3_do; + + reg xfer_io0_90; + reg xfer_io1_90; + reg xfer_io2_90; + reg xfer_io3_90; + + always @(negedge clk) begin + xfer_io0_90 <= xfer_io0_do; + xfer_io1_90 <= xfer_io1_do; + xfer_io2_90 <= xfer_io2_do; + xfer_io3_90 <= xfer_io3_do; + end + + assign flash_csb = config_en ? xfer_csb : config_csb; + assign flash_clk = config_en ? xfer_clk : config_clk; + + assign flash_io0_oe = config_en ? xfer_io0_oe : config_oe[0]; + assign flash_io1_oe = config_en ? xfer_io1_oe : config_oe[1]; + assign flash_io2_oe = config_en ? xfer_io2_oe : config_oe[2]; + assign flash_io3_oe = config_en ? xfer_io3_oe : config_oe[3]; + + assign flash_io0_do = config_en ? (config_ddr ? xfer_io0_90 : xfer_io0_do) : config_do[0]; + assign flash_io1_do = config_en ? (config_ddr ? xfer_io1_90 : xfer_io1_do) : config_do[1]; + assign flash_io2_do = config_en ? (config_ddr ? xfer_io2_90 : xfer_io2_do) : config_do[2]; + assign flash_io3_do = config_en ? (config_ddr ? xfer_io3_90 : xfer_io3_do) : config_do[3]; + + wire xfer_dspi = din_ddr && !din_qspi; + wire xfer_ddr = din_ddr && din_qspi; + + spimemio_xfer xfer ( + .clk (clk ), + .resetn (xfer_resetn ), + .din_valid (din_valid ), + .din_ready (din_ready ), + .din_data (din_data ), + .din_tag (din_tag ), + .din_cont (din_cont ), + .din_dspi (xfer_dspi ), + .din_qspi (din_qspi ), + .din_ddr (xfer_ddr ), + .din_rd (din_rd ), + .dout_valid (dout_valid ), + .dout_data (dout_data ), + .dout_tag (dout_tag ), + .flash_csb (xfer_csb ), + .flash_clk (xfer_clk ), + .flash_io0_oe (xfer_io0_oe ), + .flash_io1_oe (xfer_io1_oe ), + .flash_io2_oe (xfer_io2_oe ), + .flash_io3_oe (xfer_io3_oe ), + .flash_io0_do (xfer_io0_do ), + .flash_io1_do (xfer_io1_do ), + .flash_io2_do (xfer_io2_do ), + .flash_io3_do (xfer_io3_do ), + .flash_io0_di (flash_io0_di), + .flash_io1_di (flash_io1_di), + .flash_io2_di (flash_io2_di), + .flash_io3_di (flash_io3_di) + ); + + reg [3:0] state; + + always @(posedge clk) begin + xfer_resetn <= 1; + din_valid <= 0; + + if (!resetn || softreset) begin + state <= 0; + xfer_resetn <= 0; + rd_valid <= 0; + din_tag <= 0; + din_cont <= 0; + din_qspi <= 0; + din_ddr <= 0; + din_rd <= 0; + end else begin + if (dout_valid && dout_tag == 1) buffer[ 7: 0] <= dout_data; + if (dout_valid && dout_tag == 2) buffer[15: 8] <= dout_data; + if (dout_valid && dout_tag == 3) buffer[23:16] <= dout_data; + if (dout_valid && dout_tag == 4) begin + rdata <= {dout_data, buffer}; + rd_addr <= rd_inc ? rd_addr + 4 : addr; + rd_valid <= 1; + rd_wait <= rd_inc; + rd_inc <= 1; + end + + if (valid) + rd_wait <= 0; + + case (state) + 0: begin + din_valid <= 1; + din_data <= 8'h ff; + din_tag <= 0; + if (din_ready) begin + din_valid <= 0; + state <= 1; + end + end + 1: begin + if (dout_valid) begin + xfer_resetn <= 0; + state <= 2; + end + end + 2: begin + din_valid <= 1; + din_data <= 8'h ab; + din_tag <= 0; + if (din_ready) begin + din_valid <= 0; + state <= 3; + end + end + 3: begin + if (dout_valid) begin + xfer_resetn <= 0; + state <= 4; + end + end + 4: begin + rd_inc <= 0; + din_valid <= 1; + din_tag <= 0; + case ({config_ddr, config_qspi}) + 2'b11: din_data <= 8'h ED; + 2'b01: din_data <= 8'h EB; + 2'b10: din_data <= 8'h BB; + 2'b00: din_data <= 8'h 03; + endcase + if (din_ready) begin + din_valid <= 0; + state <= 5; + end + end + 5: begin + if (valid && !ready) begin + din_valid <= 1; + din_tag <= 0; + din_data <= addr[23:16]; + din_qspi <= config_qspi; + din_ddr <= config_ddr; + if (din_ready) begin + din_valid <= 0; + state <= 6; + end + end + end + 6: begin + din_valid <= 1; + din_tag <= 0; + din_data <= addr[15:8]; + if (din_ready) begin + din_valid <= 0; + state <= 7; + end + end + 7: begin + din_valid <= 1; + din_tag <= 0; + din_data <= addr[7:0]; + if (din_ready) begin + din_valid <= 0; + din_data <= 0; + state <= config_qspi || config_ddr ? 8 : 9; + end + end + 8: begin + din_valid <= 1; + din_tag <= 0; + din_data <= config_cont ? 8'h A5 : 8'h FF; + if (din_ready) begin + din_rd <= 1; + din_data <= config_dummy; + din_valid <= 0; + state <= 9; + end + end + 9: begin + din_valid <= 1; + din_tag <= 1; + if (din_ready) begin + din_valid <= 0; + state <= 10; + end + end + 10: begin + din_valid <= 1; + din_data <= 8'h 00; + din_tag <= 2; + if (din_ready) begin + din_valid <= 0; + state <= 11; + end + end + 11: begin + din_valid <= 1; + din_tag <= 3; + if (din_ready) begin + din_valid <= 0; + state <= 12; + end + end + 12: begin + if (!rd_wait || valid) begin + din_valid <= 1; + din_tag <= 4; + if (din_ready) begin + din_valid <= 0; + state <= 9; + end + end + end + endcase + + if (jump) begin + rd_inc <= 0; + rd_valid <= 0; + xfer_resetn <= 0; + if (config_cont) begin + state <= 5; + end else begin + state <= 4; + din_qspi <= 0; + din_ddr <= 0; + end + din_rd <= 0; + end + end + end +endmodule + +module spimemio_xfer ( + input clk, resetn, + + input din_valid, + output din_ready, + input [7:0] din_data, + input [3:0] din_tag, + input din_cont, + input din_dspi, + input din_qspi, + input din_ddr, + input din_rd, + + output dout_valid, + output [7:0] dout_data, + output [3:0] dout_tag, + + output reg flash_csb, + output reg flash_clk, + + output reg flash_io0_oe, + output reg flash_io1_oe, + output reg flash_io2_oe, + output reg flash_io3_oe, + + output reg flash_io0_do, + output reg flash_io1_do, + output reg flash_io2_do, + output reg flash_io3_do, + + input flash_io0_di, + input flash_io1_di, + input flash_io2_di, + input flash_io3_di +); + reg [7:0] obuffer; + reg [7:0] ibuffer; + + reg [3:0] count; + reg [3:0] dummy_count; + + reg xfer_cont; + reg xfer_dspi; + reg xfer_qspi; + reg xfer_ddr; + reg xfer_ddr_q; + reg xfer_rd; + reg [3:0] xfer_tag; + reg [3:0] xfer_tag_q; + + reg [7:0] next_obuffer; + reg [7:0] next_ibuffer; + reg [3:0] next_count; + + reg fetch; + reg next_fetch; + reg last_fetch; + + always @(posedge clk) begin + xfer_ddr_q <= xfer_ddr; + xfer_tag_q <= xfer_tag; + end + + assign din_ready = din_valid && resetn && next_fetch; + + assign dout_valid = (xfer_ddr_q ? fetch && !last_fetch : next_fetch && !fetch) && resetn; + assign dout_data = ibuffer; + assign dout_tag = xfer_tag_q; + + always @* begin + flash_io0_oe = 0; + flash_io1_oe = 0; + flash_io2_oe = 0; + flash_io3_oe = 0; + + flash_io0_do = 0; + flash_io1_do = 0; + flash_io2_do = 0; + flash_io3_do = 0; + + next_obuffer = obuffer; + next_ibuffer = ibuffer; + next_count = count; + next_fetch = 0; + + if (dummy_count == 0) begin + casez ({xfer_ddr, xfer_qspi, xfer_dspi}) + 3'b 000: begin + flash_io0_oe = 1; + flash_io0_do = obuffer[7]; + + if (flash_clk) begin + next_obuffer = {obuffer[6:0], 1'b 0}; + next_count = count - |count; + end else begin + next_ibuffer = {ibuffer[6:0], flash_io1_di}; + end + + next_fetch = (next_count == 0); + end + 3'b 01?: begin + flash_io0_oe = !xfer_rd; + flash_io1_oe = !xfer_rd; + flash_io2_oe = !xfer_rd; + flash_io3_oe = !xfer_rd; + + flash_io0_do = obuffer[4]; + flash_io1_do = obuffer[5]; + flash_io2_do = obuffer[6]; + flash_io3_do = obuffer[7]; + + if (flash_clk) begin + next_obuffer = {obuffer[3:0], 4'b 0000}; + next_count = count - {|count, 2'b00}; + end else begin + next_ibuffer = {ibuffer[3:0], flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}; + end + + next_fetch = (next_count == 0); + end + 3'b 11?: begin + flash_io0_oe = !xfer_rd; + flash_io1_oe = !xfer_rd; + flash_io2_oe = !xfer_rd; + flash_io3_oe = !xfer_rd; + + flash_io0_do = obuffer[4]; + flash_io1_do = obuffer[5]; + flash_io2_do = obuffer[6]; + flash_io3_do = obuffer[7]; + + next_obuffer = {obuffer[3:0], 4'b 0000}; + next_ibuffer = {ibuffer[3:0], flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}; + next_count = count - {|count, 2'b00}; + + next_fetch = (next_count == 0); + end + 3'b ??1: begin + flash_io0_oe = !xfer_rd; + flash_io1_oe = !xfer_rd; + + flash_io0_do = obuffer[6]; + flash_io1_do = obuffer[7]; + + if (flash_clk) begin + next_obuffer = {obuffer[5:0], 2'b 00}; + next_count = count - {|count, 1'b0}; + end else begin + next_ibuffer = {ibuffer[5:0], flash_io1_di, flash_io0_di}; + end + + next_fetch = (next_count == 0); + end + endcase + end + end + + always @(posedge clk) begin + if (!resetn) begin + fetch <= 1; + last_fetch <= 1; + flash_csb <= 1; + flash_clk <= 0; + count <= 0; + dummy_count <= 0; + xfer_tag <= 0; + xfer_cont <= 0; + xfer_dspi <= 0; + xfer_qspi <= 0; + xfer_ddr <= 0; + xfer_rd <= 0; + end else begin + fetch <= next_fetch; + last_fetch <= xfer_ddr ? fetch : 1; + if (dummy_count) begin + flash_clk <= !flash_clk && !flash_csb; + dummy_count <= dummy_count - flash_clk; + end else + if (count) begin + flash_clk <= !flash_clk && !flash_csb; + obuffer <= next_obuffer; + ibuffer <= next_ibuffer; + count <= next_count; + end + if (din_valid && din_ready) begin + flash_csb <= 0; + flash_clk <= 0; + + count <= 8; + dummy_count <= din_rd ? din_data : 0; + obuffer <= din_data; + + xfer_tag <= din_tag; + xfer_cont <= din_cont; + xfer_dspi <= din_dspi; + xfer_qspi <= din_qspi; + xfer_ddr <= din_ddr; + xfer_rd <= din_rd; + end + end + end +endmodule diff --git a/ice40up5k/picosoc_gpio/start.S b/ice40up5k/picosoc_gpio/start.S new file mode 100644 index 0000000..05dd6a4 --- /dev/null +++ b/ice40up5k/picosoc_gpio/start.S @@ -0,0 +1,202 @@ +// This is free and unencumbered software released into the public domain. +// +// Anyone is free to copy, modify, publish, use, compile, sell, or +// distribute this software, either in source code form or as a compiled +// binary, for any purpose, commercial or non-commercial, and by any +// means. + + +#include "custom_ops.S" + +.section .text +.global init + +reset_vec: + // no more than 16 bytes here ! + picorv32_waitirq_insn(zero) + picorv32_maskirq_insn(zero, zero) + j start + +.balign 16 +irq_vec: + + picorv32_setq_insn(q2, x1) // q2 = ra + picorv32_setq_insn(q3, sp) // q3 = stack pointer + + // x2 is the stack pointer + // stack normally is consumed from top downwards, but + // irq handler uses stack right at bottom of stack range + // (leaving top of stack for normal programs).. + + addi sp, zero, 0 + + picorv32_getq_insn(x1, q0) + sw x1, 0*4(sp) // Q0 = return address from IRQ vector + + picorv32_getq_insn(x1, q2) + sw x1, 1*4(sp) // Q2 = X1 = RA + + picorv32_getq_insn(x1, q3) + sw x1, 2*4(sp) // Q3 = SP + + sw x3, 3*4(sp) + sw x4, 4*4(sp) + sw x5, 5*4(sp) + sw x6, 6*4(sp) + sw x7, 7*4(sp) + sw x8, 8*4(sp) + sw x9, 9*4(sp) + sw x10, 10*4(sp) + sw x11, 11*4(sp) + sw x12, 12*4(sp) + sw x13, 13*4(sp) + sw x14, 14*4(sp) + sw x15, 15*4(sp) + sw x16, 16*4(sp) + sw x17, 17*4(sp) + sw x18, 18*4(sp) + sw x19, 19*4(sp) + sw x20, 20*4(sp) + sw x21, 21*4(sp) + sw x22, 22*4(sp) + sw x23, 23*4(sp) + sw x24, 24*4(sp) + sw x25, 25*4(sp) + sw x26, 26*4(sp) + sw x27, 27*4(sp) + sw x28, 28*4(sp) + sw x29, 29*4(sp) + sw x30, 30*4(sp) + sw x31, 31*4(sp) + + /* call interrupt handler C function */ + + // arg1 = pointer to stored registers + mv x11, sp + + // arg0 = interrupt type bitmask + picorv32_getq_insn(x10, q1) // Q1 contains bitmask of interrupts that were triggered + + // make some room on the stack for the interrupt handler + addi sp, sp, 384 // 128 bytes for register file, 256 bytes for interrupt handler stack + + // jump to irq handler + jal ra, irq_handler + +cleanup: + + //addi sp, sp, -384 + addi sp, zero, 0 + + /* restore registers */ + lw x1, 0*4(sp) + picorv32_setq_insn(q0, x1) // Q0 + + lw x1, 1*4(sp) + picorv32_setq_insn(q3, x1) // RA + + lw x1, 2*4(sp) + picorv32_setq_insn(q2, x1) // SP + + // the following was essential or interrupts hang + picorv32_getq_insn(x1, q2) + picorv32_getq_insn(x2, q3) + + lw x3, 3*4(sp) + lw x4, 4*4(sp) + lw x5, 5*4(sp) + lw x6, 6*4(sp) + lw x7, 7*4(sp) + lw x8, 8*4(sp) + lw x9, 9*4(sp) + lw x10, 10*4(sp) + lw x11, 11*4(sp) + lw x12, 12*4(sp) + lw x13, 13*4(sp) + lw x14, 14*4(sp) + lw x15, 15*4(sp) + lw x16, 16*4(sp) + lw x17, 17*4(sp) + lw x18, 18*4(sp) + lw x19, 19*4(sp) + lw x20, 20*4(sp) + lw x21, 21*4(sp) + lw x22, 22*4(sp) + lw x23, 23*4(sp) + lw x24, 24*4(sp) + lw x25, 25*4(sp) + lw x26, 26*4(sp) + lw x27, 27*4(sp) + lw x28, 28*4(sp) + lw x29, 29*4(sp) + lw x30, 30*4(sp) + lw x31, 31*4(sp) + + picorv32_retirq_insn() + +/* Main program + **********************************/ + +start: + # zero initialize entire scratchpad memory + li a0, 0x00000000 + li a1, 0x00001000 +setmemloop: + sw a0, 0(a0) + addi a0, a0, 4 + blt a0, a1, setmemloop + + # copy data section + la a0, _sidata + la a1, _sdata + la a2, _edata + bge a1, a2, end_init_data +loop_init_data: + lw a3, 0(a0) + sw a3, 0(a1) + addi a0, a0, 4 + addi a1, a1, 4 + blt a1, a2, loop_init_data +end_init_data: + + # zero-initialize register file + addi x1, zero, 0 + # x2 (sp) is initialized by reset + addi x3, zero, 0 + addi x4, zero, 0 + addi x5, zero, 0 + addi x6, zero, 0 + addi x7, zero, 0 + addi x8, zero, 0 + addi x9, zero, 0 + addi x10, zero, 0 + addi x11, zero, 0 + addi x12, zero, 0 + addi x13, zero, 0 + addi x14, zero, 0 + addi x15, zero, 0 + addi x16, zero, 0 + addi x17, zero, 0 + addi x18, zero, 0 + addi x19, zero, 0 + addi x20, zero, 0 + addi x21, zero, 0 + addi x22, zero, 0 + addi x23, zero, 0 + addi x24, zero, 0 + addi x25, zero, 0 + addi x26, zero, 0 + addi x27, zero, 0 + addi x28, zero, 0 + addi x29, zero, 0 + addi x30, zero, 0 + addi x31, zero, 0 + + lui sp, %hi(0x400); + addi sp, sp, %lo(0x400); + # call main + call main +loop: + j loop + +.balign 4 diff --git a/ice40up5k/picosoc_gpio/start.s b/ice40up5k/picosoc_gpio/start.s new file mode 100644 index 0000000..cd53d1a --- /dev/null +++ b/ice40up5k/picosoc_gpio/start.s @@ -0,0 +1,203 @@ +# 1 "start.S" +# 1 "" +# 1 "" +# 31 "" +# 1 "/usr/include/stdc-predef.h" 1 3 4 +# 32 "" 2 +# 1 "start.S" +# 9 "start.S" +# 1 "custom_ops.S" 1 +# 10 "start.S" 2 + +.section .text +.global init + +reset_vec: + + .word (((0b0000100) << 25) | ((0) << 20) | ((0) << 15) | ((0b100) << 12) | ((0) << 7) | ((0b0001011) << 0)) + .word (((0b0000011) << 25) | ((0) << 20) | ((0) << 15) | ((0b110) << 12) | ((0) << 7) | ((0b0001011) << 0)) + j start + +.balign 16 +irq_vec: + + .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((2) << 7) | ((0b0001011) << 0)) + .word (((0b0000001) << 25) | ((0) << 20) | ((2) << 15) | ((0b010) << 12) | ((3) << 7) | ((0b0001011) << 0)) + + + + + + + addi sp, zero, 0 + + .word (((0b0000000) << 25) | ((0) << 20) | ((0) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) + sw x1, 0*4(sp) + + .word (((0b0000000) << 25) | ((0) << 20) | ((2) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) + sw x1, 1*4(sp) + + .word (((0b0000000) << 25) | ((0) << 20) | ((3) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) + sw x1, 2*4(sp) + + sw x3, 3*4(sp) + sw x4, 4*4(sp) + sw x5, 5*4(sp) + sw x6, 6*4(sp) + sw x7, 7*4(sp) + sw x8, 8*4(sp) + sw x9, 9*4(sp) + sw x10, 10*4(sp) + sw x11, 11*4(sp) + sw x12, 12*4(sp) + sw x13, 13*4(sp) + sw x14, 14*4(sp) + sw x15, 15*4(sp) + sw x16, 16*4(sp) + sw x17, 17*4(sp) + sw x18, 18*4(sp) + sw x19, 19*4(sp) + sw x20, 20*4(sp) + sw x21, 21*4(sp) + sw x22, 22*4(sp) + sw x23, 23*4(sp) + sw x24, 24*4(sp) + sw x25, 25*4(sp) + sw x26, 26*4(sp) + sw x27, 27*4(sp) + sw x28, 28*4(sp) + sw x29, 29*4(sp) + sw x30, 30*4(sp) + sw x31, 31*4(sp) + + + + + mv x11, sp + + + .word (((0b0000000) << 25) | ((0) << 20) | ((1) << 15) | ((0b100) << 12) | ((10) << 7) | ((0b0001011) << 0)) + + + addi sp, sp, 384 + + + jal ra, irq_handler + +cleanup: + + + addi sp, zero, 0 + + + lw x1, 0*4(sp) + .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((0) << 7) | ((0b0001011) << 0)) + + lw x1, 1*4(sp) + .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((3) << 7) | ((0b0001011) << 0)) + + lw x1, 2*4(sp) + .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((2) << 7) | ((0b0001011) << 0)) + + + .word (((0b0000000) << 25) | ((0) << 20) | ((2) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) + .word (((0b0000000) << 25) | ((0) << 20) | ((3) << 15) | ((0b100) << 12) | ((2) << 7) | ((0b0001011) << 0)) + + lw x3, 3*4(sp) + lw x4, 4*4(sp) + lw x5, 5*4(sp) + lw x6, 6*4(sp) + lw x7, 7*4(sp) + lw x8, 8*4(sp) + lw x9, 9*4(sp) + lw x10, 10*4(sp) + lw x11, 11*4(sp) + lw x12, 12*4(sp) + lw x13, 13*4(sp) + lw x14, 14*4(sp) + lw x15, 15*4(sp) + lw x16, 16*4(sp) + lw x17, 17*4(sp) + lw x18, 18*4(sp) + lw x19, 19*4(sp) + lw x20, 20*4(sp) + lw x21, 21*4(sp) + lw x22, 22*4(sp) + lw x23, 23*4(sp) + lw x24, 24*4(sp) + lw x25, 25*4(sp) + lw x26, 26*4(sp) + lw x27, 27*4(sp) + lw x28, 28*4(sp) + lw x29, 29*4(sp) + lw x30, 30*4(sp) + lw x31, 31*4(sp) + + .word (((0b0000010) << 25) | ((0) << 20) | ((0) << 15) | ((0b000) << 12) | ((0) << 7) | ((0b0001011) << 0)) + + + + +start: + # zero initialize entire scratchpad memory + li a0, 0x00000000 + li a1, 0x00001000 +setmemloop: + sw a0, 0(a0) + addi a0, a0, 4 + blt a0, a1, setmemloop + + # copy data section + la a0, _sidata + la a1, _sdata + la a2, _edata + bge a1, a2, end_init_data +loop_init_data: + lw a3, 0(a0) + sw a3, 0(a1) + addi a0, a0, 4 + addi a1, a1, 4 + blt a1, a2, loop_init_data +end_init_data: + + # zero-initialize register file + addi x1, zero, 0 + # x2 (sp) is initialized by reset + addi x3, zero, 0 + addi x4, zero, 0 + addi x5, zero, 0 + addi x6, zero, 0 + addi x7, zero, 0 + addi x8, zero, 0 + addi x9, zero, 0 + addi x10, zero, 0 + addi x11, zero, 0 + addi x12, zero, 0 + addi x13, zero, 0 + addi x14, zero, 0 + addi x15, zero, 0 + addi x16, zero, 0 + addi x17, zero, 0 + addi x18, zero, 0 + addi x19, zero, 0 + addi x20, zero, 0 + addi x21, zero, 0 + addi x22, zero, 0 + addi x23, zero, 0 + addi x24, zero, 0 + addi x25, zero, 0 + addi x26, zero, 0 + addi x27, zero, 0 + addi x28, zero, 0 + addi x29, zero, 0 + addi x30, zero, 0 + addi x31, zero, 0 + + lui sp, %hi(0x400); + addi sp, sp, %lo(0x400); + # call main + call main +loop: + j loop + +.balign 4 From ae86adb4afa21cdc08e2fc0ce4cf0d282239e4b8 Mon Sep 17 00:00:00 2001 From: mahesh Date: Mon, 15 Jun 2020 11:01:47 +0530 Subject: [PATCH 2/3] added spram --- README.md | 7 +- ice40up5k/spram_test/Makefile | 48 + ice40up5k/spram_test/README.md | 14 + ice40up5k/spram_test/pll.v | 33 + ice40up5k/spram_test/saved.gtkw | 53 + ice40up5k/spram_test/spram.v | 116 + ice40up5k/spram_test/spram_io.v | 206 + ice40up5k/spram_test/spram_sim.v | 53 + ice40up5k/spram_test/spram_test.jpg | Bin 0 -> 468185 bytes ice40up5k/spram_test/spram_test.pcf | 21 + ice40up5k/spram_test/tb.out | 611 + ice40up5k/spram_test/testbench.v | 88 + ice40up5k/spram_test/testbench.vcd | 57044 ++++++++++++++++++++++++++ ice40up5k/spram_test/top.v | 183 + ice40up5k/spram_test/vga_640x480.v | 82 + 15 files changed, 58557 insertions(+), 2 deletions(-) create mode 100644 ice40up5k/spram_test/Makefile create mode 100644 ice40up5k/spram_test/README.md create mode 100644 ice40up5k/spram_test/pll.v create mode 100644 ice40up5k/spram_test/saved.gtkw create mode 100644 ice40up5k/spram_test/spram.v create mode 100644 ice40up5k/spram_test/spram_io.v create mode 100644 ice40up5k/spram_test/spram_sim.v create mode 100644 ice40up5k/spram_test/spram_test.jpg create mode 100644 ice40up5k/spram_test/spram_test.pcf create mode 100755 ice40up5k/spram_test/tb.out create mode 100644 ice40up5k/spram_test/testbench.v create mode 100644 ice40up5k/spram_test/testbench.vcd create mode 100644 ice40up5k/spram_test/top.v create mode 100644 ice40up5k/spram_test/vga_640x480.v diff --git a/README.md b/README.md index 1505aaf..662b554 100644 --- a/README.md +++ b/README.md @@ -21,7 +21,10 @@ These projects use the Lattice iCE40HX1k and the *icestorm* open source FPGA too - **ultrasonic** - talking to ultrasonic sensor HC-SR04 and displaying distance on a 4-digit 7-segment display - **picosoc_gpio** - simpe RISC-V based Picosoc demo that shows how to enable interrupts. +- **picosoc_gpio** - simpe RISC-V based Picosoc demo that shows how to enable interrupts. + +- **spram_test** - demonstrates SPRAM block usage by displaying colour bars on VGA. + ## Migen Examples @@ -37,4 +40,4 @@ These projects use the Xilinx Zynq SoCs which combine FPGA (PL) with ARM cores ( - **video_ip_test** - using Xilinx video IPs to show test patterns via VGA. -- **thermal_vga** - displaying thermal sensor data via VGA \ No newline at end of file +- **thermal_vga** - displaying thermal sensor data via VGA diff --git a/ice40up5k/spram_test/Makefile b/ice40up5k/spram_test/Makefile new file mode 100644 index 0000000..d92e1d1 --- /dev/null +++ b/ice40up5k/spram_test/Makefile @@ -0,0 +1,48 @@ +PROJ = spram_test +PIN_DEF = spram_test.pcf +DEVICE = up5k + +ARACHNE = arachne-pnr +ARACHNE_ARGS = +ICEPACK = icepack +ICETIME = icetime +ICEPROG = iceprog + +all: $(PROJ).bin + +%.blif: top.v vga_640x480.v pll.v spram.v spram_io.v + yosys -p 'synth_ice40 -top top -blif $@' $^ + +%.asc: $(PIN_DEF) %.blif + $(ARACHNE) $(ARACHNE_ARGS) -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ + +%.bin: %.asc + $(ICEPACK) $< $@ + +%.rpt: %.asc + $(ICETIME) -d $(DEVICE) -mtr $@ $< + +prog: $(PROJ).bin + $(ICEPROG) -S $< + +sudo-prog: $(PROJ).bin + @echo 'Executing prog as root!!!' + sudo $(ICEPROG) $< + +sudo-prog-ram: $(PROJ).bin + @echo 'Executing prog as root!!!' + sudo $(ICEPROG) -S $< +sim: + iverilog -o tb.out -s tb testbench.v vga_640x480.v spram_sim.v spram_io.v + vvp tb.out + +sim-show: + gtkwave testbench.vcd + +clean: + rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin log.txt out.txt + +.SECONDARY: +.PHONY: all prog clean + +# make > out.txt 2>&1 \ No newline at end of file diff --git a/ice40up5k/spram_test/README.md b/ice40up5k/spram_test/README.md new file mode 100644 index 0000000..02b406c --- /dev/null +++ b/ice40up5k/spram_test/README.md @@ -0,0 +1,14 @@ +# SPRAM test + +![spram test](spram_test.jpg) + + +This project demonstrates how to use the SPRAM blocks in the Lattice iCE40UP5k FPGA. + +There is 1024 kbits of SPRAM which is enough to support 2 x 320 x 240 x 4 bits of colour. + +The RAM is first filled with 4-bit colour indices. There is a lookup table from the 4-bit index to a 12-bit RGB value. + +The RGB values are sent to a VGA module for display. + +The output hsync and vsync signals are delayed by a few clock cycles to give a chance for the SPRAM values to be read. diff --git a/ice40up5k/spram_test/pll.v b/ice40up5k/spram_test/pll.v new file mode 100644 index 0000000..8541a7f --- /dev/null +++ b/ice40up5k/spram_test/pll.v @@ -0,0 +1,33 @@ +/** + * PLL configuration + * + * This Verilog module was generated automatically + * using the icepll tool from the IceStorm project. + * Use at your own risk. + * + * Given input frequency: 12.000 MHz + * Requested output frequency: 25.000 MHz + * Achieved output frequency: 25.125 MHz + */ + +module pll( + input clock_in, + output clock_out, + output locked + ); + +SB_PLL40_PAD #( + .FEEDBACK_PATH("SIMPLE"), + .DIVR(4'b0000), // DIVR = 0 + .DIVF(7'b1000010), // DIVF = 66 + .DIVQ(3'b101), // DIVQ = 5 + .FILTER_RANGE(3'b001) // FILTER_RANGE = 1 + ) uut ( + .LOCK(locked), + .RESETB(1'b1), + .BYPASS(1'b0), + .PACKAGEPIN(clock_in), + .PLLOUTCORE(clock_out) + ); + +endmodule diff --git a/ice40up5k/spram_test/saved.gtkw b/ice40up5k/spram_test/saved.gtkw new file mode 100644 index 0000000..fd41e37 --- /dev/null +++ b/ice40up5k/spram_test/saved.gtkw @@ -0,0 +1,53 @@ +[*] +[*] GTKWave Analyzer v3.3.86 (w)1999-2017 BSI +[*] Sat Jun 13 16:00:06 2020 +[*] +[dumpfile] "/home/mahesh/projects/fp-private/projects/spram_test/testbench.vcd" +[dumpfile_mtime] "Sat Jun 13 15:58:32 2020" +[dumpfile_size] 993714 +[savefile] "/home/mahesh/projects/fp-private/projects/spram_test/saved.gtkw" +[timestart] 89 +[size] 1280 755 +[pos] -1 -1 +*-2.000000 93 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] tb. +[treeopen] tb.sprio. +[sst_width] 213 +[signals_width] 239 +[sst_expanded] 1 +[sst_vpaned_height] 209 +@24 +tb.clk +tb.resetn +tb.sprio.data_ready +tb.hpos[9:0] +tb.vpos[9:0] +tb.sprio.cin[3:0] +tb.sprio.cindex[3:0] +tb.sprio.state[2:0] +@22 +tb.sprio.addr[16:0] +tb.sprio.data_in[15:0] +tb.sprio.data_out[15:0] +@24 +tb.sprio.index[16:0] +tb.sprio.pixel_index[16:0] +@22 +tb.sprio.spr.\mem[0][15:0] +tb.sprio.spr.\mem[1][15:0] +tb.sprio.spr.\mem[2][15:0] +tb.sprio.spr.\mem[3][15:0] +tb.sprio.spr.\mem[4][15:0] +tb.sprio.spr.\mem[5][15:0] +tb.sprio.spr.\mem[6][15:0] +tb.sprio.spr.\mem[7][15:0] +tb.sprio.spr.\mem[8][15:0] +tb.sprio.spr.\mem[9][15:0] +tb.sprio.spr.\mem[10][15:0] +tb.sprio.spr.\mem[11][15:0] +tb.sprio.spr.\mem[12][15:0] +tb.sprio.spr.\mem[13][15:0] +tb.sprio.spr.\mem[14][15:0] +tb.sprio.spr.\mem[15][15:0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/ice40up5k/spram_test/spram.v b/ice40up5k/spram_test/spram.v new file mode 100644 index 0000000..8fff321 --- /dev/null +++ b/ice40up5k/spram_test/spram.v @@ -0,0 +1,116 @@ +/* + spram.v + + Access the 1024Kbit SPRAM on the ice40up5k. + +*/ + +// Never forget this! +`default_nettype none + +module spram( + input clk, + input resetn, + + input [16:0] addr, // 17 bit address - 256K bits x 4 + input wren, // write enable + input [15:0] data_in, // 16 bit data input + output [15:0] data_out // 16 bit data output +); + +wire cs = 1'b1; +wire standby = 1'b0; +wire sleep = 1'b0; +wire pwroff = 1'b1; + +wire [15:0] data_out0; +wire [15:0] data_out1; +wire [15:0] data_out2; +wire [15:0] data_out3; + +// set mask based on high bits of address +wire [3:0] mask = 4'b1111; // this can be replaced by an input + +// The memory is used up in the following order +// spram0 - {1'b0, 1b'0, 1'b0, addr[13:0]} +// spram1 - {1'b0, 1b'0, 1'b1, addr[13:0]} +// spram2 - {1'b0, 1b'1, 1'b0, addr[13:0]} +// spram3 - {1'b1, 1b'1, 1'b1, addr[13:0]} + +// so the write enable needs to only look at addr[15] and addr[14] +wire [3:0] wren_spram; +assign wren_spram[0] = ~addr[15] & ~addr[14] & wren; +assign wren_spram[1] = ~addr[15] & addr[14] & wren; +assign wren_spram[2] = addr[15] & ~addr[14] & wren; +assign wren_spram[3] = addr[15] & addr[14] & wren; + +// data output is selected based on same memory order selection as above +reg [15:0] r_data_out; +assign data_out = r_data_out; +always @ (*) begin + case (addr[15:14]) + 2'b00: r_data_out = data_out0; + + 2'b01: r_data_out = data_out1; + + 2'b10: r_data_out = data_out2; + + 2'b11: r_data_out = data_out3; + + default: r_data_out = data_out0; + endcase +end + +SB_SPRAM256KA spram0 ( + .DATAIN(data_in), + .ADDRESS(addr[13:0]), + .MASKWREN(mask), + .WREN(wren), + .CHIPSELECT(cs), + .CLOCK(clk), + .STANDBY(standby), + .SLEEP(sleep), + .POWEROFF(pwroff), + .DATAOUT(data_out0) +); + +SB_SPRAM256KA spram1 ( + .DATAIN(data_in), + .ADDRESS(addr[13:0]), + .MASKWREN(mask), + .WREN(wren), + .CHIPSELECT(cs), + .CLOCK(clk), + .STANDBY(standby), + .SLEEP(sleep), + .POWEROFF(pwroff), + .DATAOUT(data_out1) +); + +SB_SPRAM256KA spram2 ( + .DATAIN(data_in), + .ADDRESS(addr[13:0]), + .MASKWREN(mask), + .WREN(wren), + .CHIPSELECT(cs), + .CLOCK(clk), + .STANDBY(standby), + .SLEEP(sleep), + .POWEROFF(pwroff), + .DATAOUT(data_out2) +); + +SB_SPRAM256KA spram3 ( + .DATAIN(data_in), + .ADDRESS(addr[13:0]), + .MASKWREN(mask), + .WREN(wren), + .CHIPSELECT(cs), + .CLOCK(clk), + .STANDBY(standby), + .SLEEP(sleep), + .POWEROFF(pwroff), + .DATAOUT(data_out3) +); + +endmodule \ No newline at end of file diff --git a/ice40up5k/spram_test/spram_io.v b/ice40up5k/spram_test/spram_io.v new file mode 100644 index 0000000..d46c19c --- /dev/null +++ b/ice40up5k/spram_test/spram_io.v @@ -0,0 +1,206 @@ +/* + spram_io.v + + read/write module for spram + +*/ + +// Never forget this! +`default_nettype none + +module spram_io( + input clk, + input resetn, + + input [9:0] hpos, // [0, 639] + input [9:0] vpos, // [0, 479] + + output [3:0] r, + output [3:0] g, + output [3:0] b, + + output data_ready // high when ram is ready to be read +); + +reg [16:0] addr; // 17 bit address - 256K bits x 4 +wire [16:0] w_addr = addr; +reg wren; // write enable +wire w_wren = wren; +reg [15:0] data_in; // 16 bit data input +wire [15:0] w_data_in = data_in; +wire [15:0] data_out; // 16 bit data output + +`ifdef __ICARUS__ +spram_sim spr ( + .clk(clk), + .resetn(resetn), + .addr(w_addr), + .wren(w_wren), + .data_in(w_data_in), + .w_data_out(data_out) +); +`else +// instantiate spram +spram spr ( + .clk(clk), + .resetn(resetn), + .addr(w_addr), + .wren(w_wren), + .data_in(w_data_in), + .data_out(data_out) +); +`endif + +// 4 bit colour map +wire [3:0] cin; // 4 bit index +reg [11:0] cout; // 12 bit RGB 444 output + +always @ (*) begin + + case (cin) + + 0: cout = {4'b1111, 4'b0000, 4'b0000}; // red + 1: cout = {4'b0000, 4'b1111, 4'b0000}; // green + 2: cout = {4'b0000, 4'b0000, 4'b1111}; // blue + 3: cout = {4'b1111, 4'b1111, 4'b1111}; // white + 4: cout = {4'b0000, 4'b0000, 4'b0000}; // black + 5: cout = {4'b1000, 4'b1000, 4'b1000}; // gray + 6: cout = {4'b1111, 4'b0000, 4'b1111}; // violet + 7: cout = {4'b1111, 4'b1111, 4'b0000}; // yellow + 8: cout = {4'b0000, 4'b1111, 4'b1111}; // cyan + 9: cout = {4'b1100, 4'b1100, 4'b1100}; // silver + 10: cout = {4'b1000, 4'b0000, 4'b0000}; // maroon + 11: cout = {4'b1000, 4'b1000, 4'b0000}; // olive + 12: cout = {4'b0000, 4'b1000, 4'b0000}; // green 2 + 13: cout = {4'b0000, 4'b1000, 4'b1000}; // teal + 14: cout = {4'b0000, 4'b0000, 4'b1000}; // navy + 15: cout = {4'b0111, 4'b0000, 4'b0111}; // purple + + default: cout = {4'b0000, 4'b1100, 4'b0000}; + endcase + +end + +parameter sSTART = 3'd0; +parameter sLOAD = 3'd1; +parameter sWAIT = 3'd2; +parameter sREADY = 3'd3; +reg [2:0] state; + +// high when loading is done +assign data_ready = (state == sREADY) ? 1'b1 : 1'b0; + +// get data index - frame is 320x240 +// (data) index = (pixel_index*4) / 16 = pixel_index >> 2 +wire [16:0] pixel_index = vpos*320 + hpos; +wire [16:0] index = pixel_index >> 2; + +// extract 4-bit value colour from stored 16-bit data +assign cin = data_out[4*pixel_index[1:0] +: 4]; + +// set colour value +assign {r, g, b} = {cout[11:8], cout[7:4], cout[3:0]}; + +// color index +reg [3:0] cindex; + +`ifdef __ICARUS__ +parameter ADDR_MAX = 17'd32; // (320*240*4)/16 +`else +parameter ADDR_MAX = 17'd19200; // (320*240*4)/16 +`endif + +// no. of clock cycles to wait after write +reg [10:0] nwait; + +always @ (posedge clk) begin + + if (!resetn) begin + + // set to write + wren <= 1'b1; + + cindex <= 0; + + // initial state + state <= sSTART; + + // init address + addr <= 17'd0; + + // data in + data_in <= 16'd0; + + nwait <= 0; + + cindex <= 0; + + end + else begin + + case (state) + + sSTART: begin + + // set to write mode + wren <= 1'b1; + + // switch to ready state + state <= sLOAD; + + end + + sLOAD: begin + + // done loading + if (addr == (ADDR_MAX-1)) begin + + // set to read mode + wren <= 1'b0; + + // set addr + addr <= index; + + // switch to ready state + state <= sWAIT; + end + else begin + // fill with colour indices + addr <= addr + 17'd1; + + data_in <= {4{cindex + 4'd1}}; + + cindex <= cindex + 4'd1; + end + + end + + sWAIT: begin + + // wait for n cycles + // then switch to next state + if (nwait == 7) begin + // next state + state <= sREADY; + end + else begin + // count + nwait <= nwait + 1; + end + end + + sREADY: begin + + // set read address + addr <= index; + end + + default: + state <= sLOAD; + + endcase + + end +end + +endmodule diff --git a/ice40up5k/spram_test/spram_sim.v b/ice40up5k/spram_test/spram_sim.v new file mode 100644 index 0000000..95b4f47 --- /dev/null +++ b/ice40up5k/spram_test/spram_sim.v @@ -0,0 +1,53 @@ +/* + spram_sim.v + + Simulate the 1024Kbit SPRAM on the ice40up5k. + +*/ + +// Never forget this! +`default_nettype none + +module spram_sim( + input clk, + input resetn, + + input [16:0] addr, // 17 bit address - 256K bits x 4 + input wren, // write enable + input [15:0] data_in, // 16 bit data input + output [15:0] w_data_out // 16 bit data output +); + + +// simulated memory just has 16 x 16-bit words +// will use LSB 4 bits of addr to read/write +reg [15:0] mem [0:15]; + +reg [15:0] data_out; +assign w_data_out = data_out; + +integer ii; +initial begin + + for (ii = 0; ii < 16; ii = ii + 1) begin + mem[ii] = 16'd10; + end + +end + +always @ (posedge clk) begin + + if (!resetn) begin + data_out <= 16'd0; + end + else begin + if (wren) begin + mem[addr[3:0]] <= data_in; + end + // set output + data_out <= mem[addr[3:0]]; + end +end + + +endmodule \ No newline at end of file diff --git a/ice40up5k/spram_test/spram_test.jpg b/ice40up5k/spram_test/spram_test.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b04900c167adf881e5b752acc545b575826a85b7 GIT binary patch literal 468185 zcmbSy2UrtZ*X{&V1W^Q36onuNNbkMmDAGhk1VnlV5d^8yb1aC0f^?A*8zNF7O*$yO z2oVq>q97fNw9t}}OzsYPzVG}0=fC&4_YRJ;vS*jo-nG_DcIaQ|KcM|*^$hhO1UMuS zk`P26m%pbQ;^_`ShKAzMZU}m9|&~P`45_liJ8#^PA14RX2{2T1}(7`*&*)i*T0ARsR-Co3(d3RaetmsOWlR+m!} zkdswcQczb?f`p+bw|?{g94wgqhkgTS!F&JaU-S-}5tc$@KKM&S%*HKY!?OpnIO@|JD!U1y|J7kJ489S3a@KwFB zp^yJ+Wd%8PMTP&dO+r>lT|pLt{`<9%9|3iP2}Oq>NH+?Cihea#JueiSAbTW!36*GVKDs< z{T2xP5B&=C{)a{Y2r}RXtjF91pva&Z-Z23{^-mumXy3nR;DG<45ddue^kIj1e$z}B z1R&_hKkb0#-Bu}3H!~4J{?iUt5!h}Q2JIwZB*wZxt{r@k&~_id!wz8pp+EEQkB3D5 zp#iU=|LQLVN&GX<4ka)Lb;d8iFiVM;-?LWNK} z^Z`VbLZ}j|fto=NEc6Zf0bOdxj<#r7e*zS^*aU5DgMTXhLexO~@W<0qZ;fy0(OJ zfxfOl$&fx20-+&gNCk=pP9q5n`1?;BXju%h~RC=mfBjAUJ>jiGW_)=>_-%69X}fs2k|`H;AbK%?QX(O;*+i zQqfUV)lohrC#5H+q$j0#N=;cxO-)ZlN?GTOimaZTo~(kR9vF2w0fMei1A-d_=+nR| z*CFVjv68Zmf|{J7f|Q=TlAM&HimaN{DMdwDsne%*XzRT*0dU^?;yOQ+8;U&hoFA#1M%Q13{?XzW%rTDB$em;w9i35!(@t3E&$6fXj%s=fTMY<^&-KdJ3XHBR@0Rb-}sg zPkR+;XXLJb6ufKu69jz%?d*U08-f0N|FnMr?fd_t91G4A9t6Ewf;-&bWN z8M$qjMEuTrj82F@^lQ+c3BkOr16!bKWjma4p_w1T2MV-f@_D=YgR_QQMk9+p3T?6~~@ z^Fe80akzzI~mpDzrX|p{f$jlwmqOj1+XiEnVD$^^Uj?+ zfJqRM;6Aj2b0^nvxl_BiO`TW-{SL_AOnkOm=yb`4gBSajPbfJ1-(qDu#B=xvuds;d zNilInC1n*=HFcdcx_bHshG)&pEiPGJwz76{b#wQ);(0Y7Fevy&NNCvYJJEMz?%j_~ zN=`{lOMjg42$p=;;g^SAvo&EdR4xLaO7hYkAwk_HJonW{Aza;yYVE>luE5yzW zaLmcf38A2v3-#{?7L0p7cOR1&Od#@C+!|H-6hQn|wMl&PIzkUkN*`v=Ztyx5zJOKj z#F?2Meiaiil-$lMm>7p=9#yzBu`(MIGuq%p*m{LMa)Rq;%lc?7O^# z2xYlUstcS^NxA@QE|19Y-VK?bt|VFB_faLB<%IHC)*FW+iFn_6PB_N6w9>8 zH{*say$bN)-T;l;HI^td{TkjJ{P+^rm0+{FboK9lU%H^0{$&2y%I2MC?owE5WJ>%| zrPVXeR#WjOJ4YAFEwUeE=pp5^c;}*T?Do%n)?>DpH+ja_Y-AmSB)NywRRx8Nlr&+M zivwUT?B3hG&+}ktY~0?R=WA+u9#i$y=lI7368s4=v1`mX`Cmir7aq3HTj1`O>zY0^ zw%vMxjt+&#oODjEAyeHaVrwAXE{kKBy++<{T}$%qXZvxQ(cQ79SV#x z|8bwzO3nXLkn;@K{?Z*2-Yg`RWEK;vC?E3q2el{E?+osnLsw>rO5`B*wck1Ephix$ zfx^xO1@xR!ljq-jXW7@j(F`3G_VeHQb!=QI(&wVu)i_8o(IF4!2Y#E;7LCX`_y8Tkl2F;!_};O&N{5Yo zNXq`A>tlZ1qq=NAzwYN0=vKb| z;>qwbU?SFM5^k^|OF5H%(4m&e)BFpzs_9!F^+}kicpN#$7=44rrtTGm>xE$p+`I=Y8YPZgBg2YL zQS2%to|%vG2_U^<@-!nQ;;RP~aU{d_ z%XFx0L9^VnLNoQfMmW2l-TXWd*0$A;dx}(MO+nD1_}FFVu|a$R9qNDV7}PT1OY;s; zr$yi4)zG{YJO?{J>^{trzeFJ*z_TN@^)JKCd}UFIBp10*#~0X*xgPQuGhe|`PDG6kw(%*PQ|~Rt3=d@r zp#;f$?oinM?4wbXwf8VP9a0}R0On|`3J-mtPVvEF;?S4k8rS`0_pP?5(7gS>F63sZ zpPwrd(3h9_SOTFn%^TQC%4)KA4i<7VEx@I+V@;8|DlPiFXA`Vg*69#i#gF4~;t@J@ zjSj7G+c&tpvNJ9MDd2>jEgcH5Yn;YR1@iOvtz{HP*v-tR`AgO0CGqamcIcu*H{#WU z-|#AD=HkZpuuhd!BstrJ*ZoyOzBe_5yG!C%M!O-C>Mt#SHW=ghHRAj6NHrb0SrIf~ zL{P3APJojhmga>$n!&pir8n~F$Smp?!TL$cXDW2`HmV?rH{}2O>HQKq-*^etK^K=NB4cd~}tyuN-sKLe4 zKMt8gcv&u~0wR0jyq)E}#wi}2I?SYP&UH)vREo0x*{uA5nb;`8{G6YY>o>l!OTHmn z*&^R2VH-O1>s6JV417A61aGbt6WU|c&#=Im3A7#fJ-KwK<2=V^V{U}Q7mu2&CL<3Tij9Ip5TfJZc2 zE2KlX!p5#@ngpz44}#SOx=2&y!(BSWL>-Pd-9Q!RJ^kvkb*MQ{XN+LmP=br+KoJ)u z^2%`=N(uHr?~Eq&!na_oAbQ7t#wRUyDG&Isbj)Hr9Xk7d8AXjQMvs{g>~;a=2-q}m zd`I?IFEInPThBK3VutF&05@U@`8g(3Ly8d{GSm`nMiEh7+Cw1J_`%zu#)PssMmZSF zf25r%S~G;29Yj4U*7?v#6z`-%b|d7WasVMC>V9gX6{_82DlA8}f&dpgdQ69Mn#oEU<3GqRwUbrgTN1KKPT@OnA3$i`y*xc;t(Ao6mWdTqh)&hcZEGgVt}=)4#yMf zJ@2CNom3nNk87rV<;Ud5JAl^{95`ycS4#%m88>Q5eQ3AL2Cr~znNZ(g?^g{xL#};B z3t3Wk$ePn3ps}v{hyhr44WCR+JiJ$SkPbBqJzs`*Wlw_KdnKXM`gMqpKL7p!XUq5Q z-U+0m7T@>2BZas1hiPJ-SC}r(QsdOmZb*TsUJ+CzXVQVK-=38-O`%sZjj2Q+w6 z78P6TaP(c^y%0K-MxZ|QQ}?Cb0d+t)#j-i_Zun*2$>zZ*k3u_8nj}P{ejVq4qc24m zRFN730ItCd&)Bem3NGW|(;r;hN6CCce^Erwoi1+zK>M_gfm5zuq(h4>gHSQg57z zG^3)ZiJjlk?LAAM=#cnqog4tBXBfJm_$~p~_Kmt*y~K|0uy&x#+UFw4Trv);avdNL zIH4R?wd_F#YGojL$YJ_2uO;;^)q)N=cu|lvnu@$9-hgl}0 zZlNcDyuDd6)CVQ}clk?E6DHyKMaovf)%VN#f8cCG0nFUjn%!#1nE;t!2jF>@wgy)a z7*n5%JV!)Nd|qSJ7!G&eYThUcw-zNHY$qwex*i}PSFRS%(pKsU_9ZN3id0?;_B^YFA&f-l3vg- zpPyjPAB{LZ>94++g`RwsF<$bJTW@p3j++jJM8$#drEhPwf+ai~Gin{)067=aRkbJL zeh_di6Ao%;F|BcOlSLCkow7%}8zz`Jvx)@sX&FaQ(ckkaLYatVXNOGSVBE;H-2e`_ zIWMF40@utEmWF&|QIox6@x|fc=yihGd!5a{w$$d=unx)t%!Z-z*HMcVW1FsC^C+SS z>3e%9K=3CM(xAh>$t`AGv(J-2E+rR3)n(*^%%RZ6HQti(qi*Tv`ciTd3!)lnVT82D z`4kzNFrSsn^b_<@yPqf(jehUd^VFBT7fA{6o$=~-qeBUk@Iq)&BPH9B^6ec;!9iiC zx3JPw{JBa}NZw3uc#8*Z*Ee(}O(Fvo5-E|pf~1DpxNNn+cdPHBl%k#`V!t<2QB(eo zjnS*>W8?%rw7n@^?B)Zn&8?Na@uz6E^10jg%*Ilm`=TK zi6v*aVu-RBEIFsZg$@ZT7=rj<1!~g|1E?vj2@GWm)iJc5KuxI!&kjJ#pS+mQ%{r`r zqA>3T^`Sa)ACkIf)!oYE3tW>;!%%NYg7~6V>~I;NY#dGaVrk;pmgokD<7Emkq+*^t zYB4X(Q8Q{eNpTK9UAwP!? zvD^ePMk)xVpsm+|t9QK8eif>}A|7VM$j3*U^I(Zc-+^C14NqgIH4i3`bmL8^mAhME)yC)zGc&5n3FoQHa`QZ+#<% zM_un;UcNc({Gybs%}45NoPT+nY(`a{+)~gvEHq^@CGiToGCNO)-ere+wAG_@8vHWb z+Wn{mocHyin%nWKC9uP!{of8exSTIE2$b2YMbd89*XZZ*|M zOrGPDIpx^+t)OwS@#D~dDk*59O>0pEHnOZkwx-2u98OPoe@Qnkm1HrI&pKT!q8WMM z686rKhSu?gZzZvs@adYL^RfA~K=smTY$vSmAwxx@`s==q!J6wj1b;g8(eh}rL%9r5sl{`Qxc@e7#cnJvo+c>Ck-5N1^NK0c zIfP}C{lLXW;&_Ym*hhyVIV{LIB|)GN#O+N6NCzo!&bcV$DY|ts6aH`;#HoYUOUPk< zKGYhG1CF^wH35)`ci7s+L1Qcb05b7!AOj?Z7chW)Y8(xK-1r;F9PupF^J}PrOPkS+ zQ#LiowKY1_>9waFNj&-qF0#FhnV3Mgfk&ahMl$j<9pV6_{|yJiQdA7tQfWMayvG;A}B?_LU)9>qSn`G zdwqt{ooBamG;P=0z%t;?V+SVTs05c zp{SJwf}L?5KzJiAY`Vf5X#BcXQFN#RNfZ`~@}6r(cXV^kITKFMA(!vOupp|gBMAwg z#;f8$ac%Rp7hSSg6AY)u`~am9w-<&ohv_UB!~(uwQ!=Jed?tqIdC_O2h{VagffQ?* zaboOr-GS=m*QmAcTj6-KaqyhcWQENNEJt=#`|boG;LT%D@Gemam(g75q~nYS+;e#$ z5eeu0RzZlhZNEBh&av>&3p{6kukX1b1($LrkliJs&np>UE9v(jtSe2RKWd_j3voMF zoYBSY8WTB+9bxFgU#Ma2L9g+SUHx(iOB)k+ijWu z1aQ!h{(`m}_*xApDcBzx^lBdtscx)Sm_#l~8IP~Px1ZgnwZf_PT9_+hT6bal^xCo>m zAy~L?l@3Yb&>ICgEAeNPn`z)FYuM`S~Xm1A&hYd{&rV`>c}O)F2?KaST% z{Sd72Q+Jji2Sn-nSl7?a(IJuGB|3!kr%Ch_h4w9R6n%i5>yI~1PWW!3b3 z4!mUm7^4t%6u66r4yexy61b_h;H4j&6c0)SAplTtNm8>Z+amPkw39960M6NZwsBqu zYUl8^KRCYx3hw@TaR;I>C@wP6K;9E#r7+(61*3=;*RYiJ^u~$+iU%mUFX*G@Jt+87 z&Zvo*`3Q9P_9L_?c!U;R$ZV#0ej;7}FD3Um0M}vv&`#W}?K^DZWHKJsiVjkCfls{S zQ*&4;wzOo1xzm53+d&u+CyFkcP{C71f5t#G$nWt&pIV1VeZ^H;T3YQD&LIDtPG>nn zBW_TJdaFQ99`qguYQ=^EN!}_;Ug^Wq~x)%$vxcm!X|lLvo-y`X;z>)G&@| z+sfOhDf5`o@!D{_>G)~_S4axQ8Z@`x2I=h1MP`BqT74ARk_R6KX}gY*w%3MbMdA`$ zoU0TC#t7(j5T!DTDJCRR0_}q>`?a|5t_kGDv5)v$m@Q3IabY)eg9PPQK!b!@$?d~* z=yLd$#ZrvsWqsV{7I=TUUZBxxoVh0eH-_pekK#kO-_gl=2;6{oJ-~O9%|2mGbSFIP zK$WL<4-YS+TdonbQEK}yrA1?8^!PTwLro`+YLy*9`OZP(P0fF{FVG1Fk7dX0E@LK# z*3gL~X;hOw_}ni5xtHf76V|bc3|#Ie9K)>N0TnoSo$Ih(hPk?WO#m_8JR+0u*%H_I z!)(nVu@&I8#u?QDN~&YC4;lw;ICRnTb}G$%5BWG$iImB2c)3X-B6xpPHP&C_3#~jC z1L4RF^BG^3gRV8TcX;iDp0cr_qPG0`WV)Amsqw1`v||;G&+~bBW!nXn7T}KJsHw>x z!b9P`sI}|G$!8qadQJqJA3-g1+Dxor@1ZBD8Dr@Y4CV>PV0bcTtiNue8?#SYqkG;y z?l^hTAyn4gTo&d2@|Iz1?)^TM5S&ZZ)wdkGC2TpuB}O=DtNOwzzP%esbv{!;+Fuh%36~)-sYz2E`HwNY)2GHI6E^-iMWi7}?)YAHX^hWb$U% zLn=f;Yf+1XSnLAOe;^&MUMo&oVmvg11g14U z#kP=mvrpGpsD^-rO%~sX(EdAeZY#f|2 zB7u5q`}H4i52t%;vK64@y03-%s1cQi8YU7ac0aEB*MPA1#F@l%dt<6*_3m@EYyCaX=17J5?|(vP^Dmy=a_z8GRQ?Ijh*EeG(+@N9dRO@Q)??$Rn*Y<)^^% z3uE8#&kaEak&BP|fmKh)sCXWBWTdhhn*&to9<%L?F1}Iv zQ8u+}=w$WIsmb;RmX?FYxo41jH>xNjfxu0Pn3V>wu2+CmEff_%9CajeHYQ@V%7JNp z1OcEkisAW^o#fejBVb)rC35AwO@bw=r;#$ax{iuA4wdOxeT!T?h}p!<^g6V*)1(pe zN8q5FrnLrZKn%yNWej$ww|fG;TwMU?>MeE7Gax5e(&O<44O`2iNs{I>e>@dcAg0Qy~{g z2}=Oa*hMA>(VbRzDDyL=72Lq98=gc;zW`6E1e;bg(PE+g7jJnJx&~Mh;9k+Mokqlx zX33ciAOk$&o25lm5)a;=#E@A5(4UMfcK{ejEzu&7QxZJw%&>?$Cmniqx2go$)`E@$ zUs>EAkRkJTQ&BI}jy4$&E}a7*Onina2Ykh_k$_n*PTGXEm$bLRjv=!d4bU#bLGxhk zL>V0QW2poAunC@uvlyr*sFgKg-JVx+u(eF18*8-zKHdgh1vtqb!$`mnlJ&T_cCr@$)6*MBp6Ia7Bm=$sAPV*Bw#c zz$Eu!?-@;J6(a~Zkv zBf_X3z4H56)fe8bfs(?yFaQr6zMYay+MCBfw>|CMJS8(s_c&-YzJ49JUF}uWR{-Uv z+HCXGz6y81BNqsBB2|}Ts0QBc0BK0LKE#5j+BhsqKl5+kRM+%rv*fa*6({7^M8pHK z!zsqrB`f0SI*HXTL$woIInlos<3}f3EqQod4;lL& z-rsS8opqK)wLl&7u($%nrCX#`XefF8@foN1-Rs5nu{3_Q^K5da=7XtYW7X01v)V=P zX!f($@*)gt2Z}^0c_XBSBgxWw;;+gk3m&?AskxTMDqJ18ix&;@54%a0;erMv{>!c=mn0@uhhj}AXA1yU{W@Z|%TRT^1ailBmJRgUV z@BMcoz?X*c0xrl%uVfR>Gq=``dUAX{A>AlDsa=7aN!E0)w%qsQzyUS8^h}ZDz?9EX zqtoBrX+atxlLo_Vhk7TorYs%fb7E@f37_*;AdDE5N6jt7V`ZOIsC1HD8aDR1N_2Kp6^8+o1 zuFrFhR6jC6`jO@3aHQDjn@_VWg~qeEV-xE0FjQu7hnhTb)34{3DCD#{!yx`#Mc->l z1&0O_ce5RHV)HI_*1V!8c!!!#d^vN zx?Ju`bB*vIVny70b&pSE`|vjnTF8inZ#EQQJBA*5UNqJ(jw%0{BezWbs{DdIEXDXl ziTd+s-^Y*qzE+>XmzU&P>ugl4axd*IYM)=S-JN@kcP2vkcJStO9>@r$38l?->ch3< zClp$X<&!stJ`)B_C%bJn?&vyl;!Lrm$=CDn{{)EFV-odFoW4hQm<| zDL0R$cn##+=um5Pk;7*60m~W1D5al4WiAy5OdZ~o9Y6Uz_B2=Q$(M+;C!as!YBSUl zzYw5%km&>qsF$!tiMj`#?5{o$OrZ4X8n7DXsQ2j#aO9oU8HzoL*t=u3KUVDT7xhXB z+H0ofe>;gh7cDwq@$A9U*)Nj^Of5gz={%i3Z#${=`uWYc+R@CaKHWXnQS@Q@1k+nX z9UX@Y7ftjG&*_4_m|!2}Q*W1R0Xx9n{cApf{ulL63xI8b0xVNtBPIvf9LfXnI=KW~ zKXu{4x!+s({-^&phPJnELIYBax&Egf|A)4{u3*P8*wiQh`uyc`-9He}j(}Fa5qO4DZ~7O5_SmMkx1%2LH@~O@WMlj^M!@4gXy^Z+ zU9R|F1AP=hpA)Xve8Bunmw(f)3_5~AU-J$Eylo$h&8h5eJ{I7o31H(j1RVq0x(&hB z*b9&V*ybGsd4kR9fnY1QC*%WK0-%fF{^|eCc*f5*j)9RuV5Bz~;Q~gS0h`yaK~CG_ zA%+b=A8`Czw*l@7zkjBIU_TA^29MI|tHR*dE6ET!AJ7zuQh=Ac*4*1ifqdcbjuM1XadB(Ba|hPX12YOEb3IGP%1$5HSzzGqwV| zu0Mfa#n}AT8@R`~552%ckU7xRxgH40cmhEp9$;?U|Bc*?ea8RN+yAl8AN_6b-DPHC zV*FzU|G=@mS#-w^)?F++S=d|tYNV`JaTxsRQFKRX-Sz5^WlIk~vGx%cclaOeQn zAwY9&@7-mL+_7W#&Yiot*xA^*{+|ze6WF`Ud~nBGW(3%_`p@3oW55x2fc>EVK3Kq} z`rVBExnKwEF|hxbv9tHzcXxsAJ6V{ScGLgtBi+vocCsS~JVO^}(iJO=Vk6Uw%-p2o zySRQXeV6L*8|ub6TpO15bOOnaNX;OTZ3o0|ET(6#8NIPGw2m?qHHwq&oy+WQZs@HJ z^Om3K_VA|I4wn<(d92F&imAKKOWD_mo~_F@uCWoGsu7+_q1e`k4iEK~W@2AiEMfFl z(^pexJyvSTQ@GZ!iBS@1Gj$+6wRAl%H>LJvM%cOTGXy?K!`iOZMtvVRQo!{)4v*9x zB%{^Q7-Ma5j$Z@*-K-LmgA+VgsNtaUxQ%;P95wMROks6BFTE+Mur#f=Ca({rSREP& zJCuc*%%*i-Ny~TB&JUGdOxdzBSu#nt<6aLQ>;H~V+I;mZ9VIh7;$a<%78z+;)wc3? z|J9R{lzXQ-_s#mCa{hAqiE`svo7^|vxq~Q=Ad|@(XSF1AV=lY3>Tg3f zFzrQFKJ{+tdOl))H-2QZU<@>ii_=wdM%AvQE0(62jmrr4^<%tP!|_G^rt{te51X11 zeD-(I6+*CGq;&DO;nnf_X=?hJ3gWPPlXdPJ!vUg)`BKU^E2p9RH6lNkIx|^s1bxSQ zc)xtnZ`LQYObLxTN9{N0mK=GRJrQj;>wSmT5N^!<-j9h2qpbFYjN!BU$MBMrl^4nn zVEZcaTqf>JQD3+^k8u&9F*n_KlqYFeQvO03H+hI_STb*NypK5F-Mw*EU%ou;l0e=^ zjPRSFtS}9ox%M{nK7}WB!4LH3MyA(2zRQG0W>C{QPZ+Xb?2ZoQ2N=B};=6MSM}*&8 zHYqF0c0)N_o3+K@%~Na1(m5k}ujUrIyVpF1Wz-i5VxHsW#I4*iY2#UUdy^jJ&H%%h zugD-`^w51bsiZC|zO*C3M!j1#!_*a%8T%W9Y20p-rA?7ZKQk@S@~e_zb^}EF zV3Rj?e1RU#D%qhUtfAURoTTh3+-9tWr^=1k-x9>Um(sjznq`E`DwBrs=I@MphwTIA zD~&qcsK4r_$5{RCOS_+}-?dHln%^{%F3ohU5t$>Pjb~SDYhqUWsQH9(lSXl~h3PCU zvQ@vv%g0&~LCuxQn`Kg(;a6wBv&7G-u3eiQ6jd3d`4cInxYWDrwWy2%;lcXx(C<>i z!poyZtf9)>zj|sSv$xc{m9mkk4bRnap>`f$`R+pDskiA#tJu)XM!kUOvcIvalD*vB87d<@RhORZCL?^Ou6tiy zdJ@szNNl??jn4@KZmX|Kz9L2l&)U1MqOwC}M8JJkH%Z~C(ljnWc7~#|>(VX~ZG_+0 zo1~0-49ci?OV;{e0&9iKu<{qv?%xPZ&FmzG8)%B#nM(m{o@Nb(X(5J4uvkoKXq#gp0(P7o$vRPMTLJ zuB|M}aKk4NeMN!uHCfj**$9_u=YxAqwr1XG#noY}eMu>_3~yY4c438EN-4qh9RO0d zKG3Rn+L2JnykRM`tKH`BfP9(SnXZ(YK9%If?m*yA4E3jVx~U{jOC?`TJ3<9(gJd8n z|8^zp%A(A|l-EF$`(-0mC&`*&sUuF?W@8vj8W>^~*n=UA)o;@Pvdcbbs;-+g6mZHg z5~DY;(r@LY0Q-ZkVs4V^tHA8w)39XjoeCpYFb;GPJL&NgBgSwDz`2tY7f_K{C;*c6 z%WUcVtFT$v>Y#8TjY{dVE*l4025Z@<4@-M9#&4@Nur%#KxjQgasg;p-+Wd)N{rOad z{AHS*8_=#*Fi>@1DW(%}J%e$5XZ+lDz&q{YThlP_MG3+W&jH+l3gGEd*>2L_zpcc| zu;aU+J9R*N+hTD2OCn$($x#q-+6{VqnjjFDG7OwF2zdH!gf6R~6xbM`kTE}FL886M)R&+chEaiQ$_S@l{v!?G zMMn58z+vbRaQ1D}4ohuYH(d@cjU48?E_Zg&y`QLzt%__WT7g^t_W z$COK>MkA-CBCx_WzM{a`uJ!Yt(*Ox63>K`6Kti^b9|rTjGa60TzgSR{k-Kp2Y;hZx zQqZvWMWR(uy0-{Wip0=GU#W`-*vX`T)?#V z`i@h+kCzn)V(bT%p04a5EUMB(>g(4QzMrTS4ZFNAaDTGx0LAiQ=x_x&^T_Je*(UKE zzfAR^@JemG-+sfKxbhtHsLk>3GfEWrfC(qd^XP!gUt3&l!{|&O~FJ7M^@<5`$^e3T+~kMvjf4qzaVn>K0;rHGUB! zw@PPchZ-y~ZCoS$tEh~ijV$+83zwb6?Bx~%wYf9C8r}ry3L{An5nv;+w`uW}M#0u1 zePga8BB<7d7qWx`wlLBZ!5n}sGFD$a`gw9|!}Uk4M++TnuI!X+RKCqWdFh%4OU)On za8~g`DZy6C5sssK1)K#4V_kGi^Ugcb z>jnv;8Kbd3Fh#X_vZvJ|wU7#ED*0MTS+qL>Yb>f6er|MrT9EZ5wJ_R%gPo7V;K57~Fb%p0# zN=s4ZV88A!oxb40%jk2rMlTdT&HKAB<@m+>sn!x>tS1t2SysiATMenAN*)dI0&IEK zZW+|-^#o7W(k!)=*fT#?@=D|#@nB%^6g9bc%JZ|pP4dE5V_$-yVCEFb>nXiGW8&-3X03;r)N85IvU3rtUU7&#BMc*=)% zc}m49KKXX5KoAo*@fYsJM`vEGdIEXm0e5%*)*hzDP`6I_`56k^6kt5Q?WUFIO))o zS)%|jW2D^I6a7!|-5*s(|3aE(W+~iNcV~NJ7kvLqft<1p(rE63^i9o=J6=_NiQ~sS zc1%kgsx-Jl)UluREWHxs${V)3^^x_y!K-W52k>@hMD)TRYz$#bzn>S$Nte0OxvKRl z|5R8g=jO_D+kT?e*;5CSTYy83)c50@^N!hANIi{s= zNm>8+rqwSk<}IU=WD#xS3_fA?45kaceolw>A{A%M{+hOY{%*Aa((j++0K7TqYiBs;&2~xl3hp=`27A<0`W~`Ji9cP

V9MTB9!TLO(MuTcw4c75fqvmpo_WB$qd1JO21@!}Lo%-wS#V=^ZaB zS&t0a68+3p*2#ZLAjtEzw!0X zXD$jqxX-G(r_+E>HpBFJz2Dr0qvVDIrYB_9j-SG7=>4Vg=0?_!x?hs%q4)Ae${h}$ zSCC^3_R(z3b&vEpE?xLZ@L?3sMEJ>Q3z?$VaaOyouX8lq*X#6Bj5uRf^&npGqG$@m zD9cmW6!TaJPrQjd_xM-R}JtK&u zfQLq-j~M5qj}qlge>e7U$p~5a5)>vLb(MvzU2XINU~B_MeACq>VEHV0Xst8kQLrze|JBeT;hg@iRoa>R37>WKmNFEAFP;*4sH5%o_m%m>cgvOs18~pe zQ%s*b5`EU#j0DyM@9kGonzbBEm(NrwR>~SmGrkJ@Twm3-fiWsVpD3ln%$GuGr)arf zGRz!=yW^9xdQR!*J^qpsuG_PA@|pjezTqc78}<996AL^Je(vjr)^eUYQl7mVy6`zG z{ZUrRv;Iycb&d8zV(}4^drziuQ4VC$GUdFHgSK@mJ66F5q#jVcD}b zEeZM230}(gUEb~jy;!}^rUAUV=*6-x$ymTqUK*vQo9>hB*d6<7SdKne{%p_wX z*X~230Z&#LPUPG0Pq3rxg~&_~*8 z4Uo@2p|r%KG^NRQhKRC{n-ve%Uk{${h!YCN&&%g`i4}DQS{Eke2??-G{Ed6{aKhtK zdckb5EX!3-C+ABGl2|3(SjB^Khlf|S1AG4x?0fCedx8Cs-ibnLg&jEvU{UcSpYBk! zQ}hj+F$DL;slmu60cvGeo2@=G<>y-V6i^2&W%7CqnHzrH)tM=`+T0zK>g;|lB3UnWbgIbFj!%jLJz?{}2-&26No-6&tK zsaq6j5!|QGRdp~))xtDmJilJo=IKQ_>t5u3&R;@8kIVCE+DYSlai<+rb=hi}wI4~U zd)W45;A$TFib#o86jb|^d7Qe&ksEr2+AexH;p!3l%5|CjiXEG`*uXcXdKo%z(uW3g zf1R#a-}CN)cAuZ5|5=N-SoDpkFdnU>x#|pU|MI?*H}v-1Sb4K;MvB~tuVqHU52>GM zhc6Y={GO+@rDnB}EVk^&bA}G7N2Dq%Cvx@d$bK08XyxX~w${XJk(|AaM#z#YLOIFW z{6`{+U;J(DZYNcxb@kwdCi$xGxs-FSu6B9dy2k&SW|6f2uAiexzetHotw!JK>~4;{ zSCesHv=Te)%f76!Y^J+u^!UF`dvD+;3GoTK zoG!^0Rah}Tnr$EdVPcuN*)Ux%|FQXJ{UT=7_1h)?i=%5`tg~&JZPO$T8l$moo!GW* z+qP}nwynmtPwbr7Zr<-a-*1?E_u84A+54CUy=VP976TEMcewxLN{ z!Cj$b4V|F@2kvcq%FrK?7Vc_PHgy~Hk&&4m)o0&6-DRe_)*saMJpbH_;2ha92da`q zsv_m!OqD~1FWb1ak$fD$!${2QDMIB->f=Ql^|On>9R2i5q~SK}li7Ir4@8FD+Nz%W zDwi|1#LsLR{7jQWvpCOxa58pO)g*t0e8W68k#PZV{?61h$B&n1Jm@%s$vhxSk}4K87-9YLn^+g6 z%n~X!%)-8FP{vnc%+`RPi1dhzjIbmrl`pG3$Eo9H)=_ApAoewH_=HdT`;BIl;V=;p z4}0Qw?xE-a5hgWCQn13P%V&GZ4Gm2^ai1ha&J0EB6Uv{|hE1eCy~C<`Doxr;@%9p& zU*rFQSS+QFlc=M8`m4~S!F}P_e0ppk;`=#SPsW(ua}kKTF&(anJLxEe1-{3KyYe`% zyVfL`My2N0xd2O2YvGuO?zPmR-y0i~PbHd~qtYx)X&guj zuOr3OWP-CJ>R7utR$~BjSA+x|mba%oVB_qwIpVQwS!g2ac)|V1sWRfS21zYzBq*OC z7wRZrzhutj9L+uTaO43|Woc-ldPQ2xzHE>!pb8VK4>+Y=8;k+Qd0gpO)JOid->(7< z6>=5m7HOQ*59P)fxD&>pF#{Hxs{xh(dktHTddf_d&%OPFxUPR94$`>RWQp%J0Q^DS zGL1~9JhB-xV$O^oh)7I#60@mk9ea|GDv}Gu#EG4?hB{l;X>fVM8o5rc3KB-6Uj~r;^tQ2wMq-i^Op2*?R{wj&L z;2)qCS@6D_!M=jLR%Mvf$t6qL`ucH9-Fx(q}e0}IA{5`VW^sA_#h zCcDZ|4Up$r#PM)_vknZ7V#*yrdQG;7o}P4>SblEJM>7~NM@+k;|7kdb@^=y^PhNo> z_9y0NMXB}|wMcnftI?Q;>U3RU+?pC2_bHm1BGN8KY0R)fBcj9~eEhvf>eyF|UNM5W zkDRY(QUWw``FIj$5#v+JqR%YFR*}prS8GRLkQjZ~aTIgxolZE4>jY=t7Vv zf|8!@RChC-K9#+QEqgj<$?DI+5zAmxQuHL9@+CEodh)o> z8%X9>q?-~o94e=bq>2(9Z4NnJuO{o}4$F4(yd%TKzg=p-<>{td_J2JiPsd0PFIq!o z3BtCKn4p*I!M0$N!*P2+K0WU%wO}WRmoBL}g(#uF4HB9fp}bSp4IcNw1=Px2l`?uK zn%n-XZOuh!VM{lGP}=(I8m>C&?2J5$5s7*)_xr#X5Gc=FR~f7k{BVVCmc+H>%;V{& z++b6$RV&x=wrM67pIeK{9Or$Hax*t!m4-4XpD0Pe<`pSmeWE@dq-uRJgI2_~8jKmQ zL01Clt)WqQpQ^br9L*{e#)=?3JVJs45Nv$}V@T^2J)rZbxd+A&R)a3j_QGRMD^`Uv zq>kU*C!9K~ENib&x@!E~abrD?5#c@HoYCAAjN$&b$H&>h@mipyk$*)ec|aLOu>Q>3 zq3Bt*Sk5<)o>3LsKg-i9?{FboiEf|36A(mIjDc(a0V)&osrEuTOEy~_AZIO2?!3=l z{UE8+SI9yR_rYedRfkdQZ?0i*+^CmdvD4mAtKTjSq+STjwe zEMs8J;0ROt4qo`njM|z45)+DHQ!60*?gKy`mHWz_r736ab->zsZ8#wjZlN$o)3zJisLnbf9vhv zAtXzLQeyb!)!mpU3n3+2J$bR{CNqXmZ|5kT_ex`A|<9{jCqh z(+cbTXWodZ&eXT_4DBiFmz`-1Nz2AA;~r`f<3Z+}EjRw^W31LVkbbccZU)Wxv$d`y zOE@RiP5Lpe75J@OMjL7dB|q|qx(jQvQ=!v z25ZyxjSyBZYh8+bp|$jMqYYYaFfR>_$z@c{jp1o$r92wAp)tQko^$-YJFeM)8X&A6 z|ADygUiHpFS7yCbx<)Ej?S@t1nL{i(Y06-m6jh9k2#9tuYQcXwi95bziHOsmjTS*jg zuDE&A(Qeb*_&%IC+Pg%p_jZxCW`g$FgwCzxr;P{QnNn=H(p2iybz94p-$>AxXtD&F zJyZ3evM`t1;gVo!6c9LYed7k1TJRY}N1t~wS(Cx^kc0NV#oddlu~nWZK?ic}Df{0y zC7YbL-)-tPYoR7GE?lxX@#3v9(Y5*PzpzD!q9AbNPS}>6-rvVfBPdG5)l1{VYp)2` zj+7BO%NiTQ(k;w+%qW5*B1Inn-rgJNKiCRaF#|d-RJXU?GrqLgYiuBAyi?hl z5acw*4ZXriGwQNV>cw*<>>4j8{@5RWDO|Bw8+!bCuZaKH0QnYLH>8y;%NjXvCIsa1 zInJZj`+!8poCZsa$xW@QI>{#c-%{nP!;8CzFXQhsoi${A9K{+fO zFHoHzfsE6Lw0U&pK^k?m2Bf5vfo0^lXdSVUlO&oBf@mo z{vmlPNSY{HK^H5di1l^=S*RV+?j((u@<1NWOWT&V^Y5}WB(0izwY#YapB0^K&VmHk zCcHS6or6b>dQ`wPeQv8OOA)O6y8&WV#1&|;Yy~_=_LJW8%uc+U9~uSqWK2A?f{l9w zdV)zj;B8-18XoTmQI3jL$X$O%zHy}LZk>yfD`vH1lvoWQcTo4z#s;KYb6Hr1O=XM@ z4d(iZx#a{im*6kPVLv9Y$A#*3eP|2Y0P?myMI6(Yn0Lxlq6c`nlkxiv_VYcXMXtmb`jgj&Yd-8BX>Ziqnda1Nn~CY$>I@Bez75RP9DQfgy`JPd6E3h^ zg(Bv2_6>=?);F{yCHxa8BOj{Ua)x$gZfq{4XlahXuprgZzzKG0MP2>5ksiM&00oB_ zARZRZg^lJBDh<>==w21`T0SKt36;UoENjZFu&#+>%Zf>>$IU`DCYUc#BqnQfk3Fmr zeY}+|T}u5sN;_$hNEgyZkLhPOuX#C;#dh-o>6sLf{c}34c063qm!nJ)cyOtL9BJUt zcYx2zpr|{a$(+qr!;!b1ENk^=uRoU8;mcvBnD=gcqfvvy52SCZiRqk8reI9So_21m ze3qiYUA*5)TIF1}xC0PDi7k*bQJFSU9VQQQe8g3JWidF~!uIwE{bu9%9GoG~=pOk_ zX?@xO74Jc}adWvNRHlM|Hj)xPLixXM04X>jK(zFrA1M+@SYn|1xL42K1FAtDa){oP zR0>G8MRSn$-6M6x^9q*>cunN&x`*YZBuTDhd7T42KE(0uMYILY&ZJGA^j-{IrD)L} z(f3m4>uObf22*7CQ-8LVcuy)fmqOpZ%p zX+x$0am$>5(Mh%B1s|&Mvto|m-N310ax~2lSgwJ6k~P;r?;fX>@tB}`kEk(!>*urR z$FN!YhD(@68||z8dI9t1La72rmcX@1>RqWLk1F&VBg-*xC7C6ctA;I09b=aI%U1t7 zt<#s)LJrHDAi1jFc$sQdH6@vC*5>sMxn+$XH_yZry)W&p%4svz~|sGeO`6I2Cet$Izpxo^?H5Hm%#lyX5lk)DI(=R z_GZ~i8q?B!aa2gRf)b-u=Igu~40UV-&Og6-P!cZA@D9~QE+Jl0r#g>m0Xru|_Ktg0 zS&Nbsi=Zgu*yJ&X#MM+A8sNB1o5=8Yvy!%E-;3UehJ;N^zim4S>0zO~fiq*^wcw7u z>8*Y-G|3@#@hv8a`%4m^KKwKW;Y&0JDT0Q_F6Xl3vemNWXr|1;xeRu?2Vw2LQcmh98obo1UjB9EmMJ!B ztIFi{@tM+%^#y1`j94p8zL#6I6^lkYlY&_;+EsMgG(0rBVG6v1%6Y1yc6P>(&1KKe z;TBXGw5+5$p<%i@G=~E9hN?d&y@@p0L_T=m{Ez-hj?en53fv(yp&CGE z>g2HAS&Ym)0*WJUX>KeXO`#) zSG{RqWwwO_+6eLLv|S=!m>Qo--dLI=(f`**6`@g)qOUkkUKTLdK!;(h;2JDH44Ol- zG-&JWm(IB1vb7-%sRcs_rOIZ@JD``W;9oONA^i4@0>q**P@B37h7bmrJ=;v^NP18x&^p4IRe2g`$`=Kl!4#rIJb3|Q(3Y( zt2naO(`Mk{5DSBf>h8I4QN(298$(sA@g>8mZb~ZCsBSP8Rm&0pE7zpxZd;sgIjD59 zS~}vP|ChtUNg`#bLgk^G0Pt~tQ@A)9s4L8Hqj0)}sxZs6WO?lqW?uaI?Npu!$GRQh zkNZSbq9QeFQoQE;I6JNW^Rr9`mFb%(I_nj1)S!GMD8ekL4@$wFRJm*56i^pGiFC{# zZ(OBeI`XJCyO!t6zJe0DoaG#1C`1Q^!L?*J(#^Y_KZdsMSus;`ywkm&jen^Y5^*&i z`Kmy(u8ZbvIF2rr(uNn6#HKiJzXo-G=EW)DBzVHESSRi!5>~iWEfw)W0_k|L_6T9}uw@KJ zaQ${TXRLSH*%hwGOMc$$p=gI}^m)g_Ql7#gF|KfT&z+7IOsOOlPhvIXQoS!cQr8ns zvV*Pz+^`T=>T*kUrd6HVwLyC1CzkNH;=I)a7YD6*4t^94cgL*ECtpnFwsp=lD=aXN zUEcBEng6U}0G8`Ux!G1qMuElx6}2fZe7TpTU(?S=XLRFI9F#cYrZ`X&@fE35K6Jkv z_j(k^=L3}m{vp(%o50jmoIl=0^v-;Wou$j1m>aKeN#;{E{v$!Ej%JZ)UC(4 zbjx*~?fcRn2v3XTfd%sl)&^~z!`dG6TD~R}F^vJftA3g+Fvl;KESIQu;_SF)g@2bg zU^X@PaG*yL^D^feuLcV08IMe|qBr{d>9e2HVi3t@{R{nzEZXQl2)q5`LcI)4?!~c4 z=jmvJgD3-y4tWh;jHgd#t$$Of9yd$PWn;dU*YPW4p+oU%^yI2e;Ro6~)s$q;I-4~i zWlymTM1Dyz;jB2tY!5h8ED+~R1a7%t4NGydYDLuC`t^Fa%u^dZKhJ-3w-vzjRFq*^ zx{7=3J4e9_XjktDuvtgT$2PahcZu?uw68hm>`bqYP@ZUE-2Sz-KHG4usT~WwuP`l= z6Puqk`H4O7)n{#dKh&k+eC{a<*+mk!p#{p80yY@}k`-JIDEM#&!`?^c$Mm~fI+kQ8 zRgs$-+(5vg^(XTdO8a&q3d3*qfscw;;dshB&LO?*S(=0Y7VkC ztD@cLzFcisVj9DSZu?2I4iz0VizgUJKS@sf(E)#vY%zJ-Yw+OyNf@&XbWK!lDOw)E zBI8j-#{6=d%eEcGe!_k7^|TsqUs;Q*+nsB)%F+FqxLPT^9aZp15`x9Rb_mgoZ_eec zX3Z9$&0hW1I9JMR^KLTT!1_!<@uXJ61^F)7Mm|73$ncsJ2jjtB9TkPkvK{ zt)v*2Vf@CHb8MNtHiM>syqmww9=wt8t(%2;_3qY=u9U?OqBWPezAud!ynkvZwXYtuNwGKMzi0gmzpgA2PaLuqb%;?AOji)Uq`pjA#uT1VJgX z*~(66#mo5yCd@)N?)fj6-3fbh_dh&(@RyY(*G%S`V%Xrb`?<7-7lDDry5Rj=ZI+$i zv4%;r@2|&URm<~{W;vofSzvk1xmCsxaR?ieLT8uFoTYPVmQe|GgZqPmmBXT4gCg)eEo~F?snmKA^k9bHm38WF-AN<9 zGu#~-eNbhr%6Lv$0wZ)`fun!VCyEtD?j zx`)VBF#gf&bn9BBuZ^pn{f|Yl8wZ-fx8`@c8jVv)+S*8d{@+|Ni}f~IPjzkddAX6T znJ|2Kij>28AL>H2Z@uQD%4KlFb+ATjKRIU1o)(R6iL_acnSxJY-b>cc;C3g$9$S@$ z7bBGbu^{}eN?M|;0O_;&8 zIOAMzV~?yJIu`38dN!RdpQ-*`wsP#uRiCYsmDK%Dz)BI@8#(2@n#DKleX42klw&4m zRK%KjX5^GpV9Q)(g1tMcuUI(Bg9`R`-t1PqM6s$^dBEjnLM~Vuv#UE|OPAH0rL{cI zsB{UJBUs*|Q827Yig%gzYLx!0+E>{dra9g>2GzTLD~)};fp%X&_i&!|{H? zuo8qG8a&rGmQ7!aDVkeT3zLCF;3$=*n~!&MJ~B)6TAx`lm1v2O;pq=s5gR~G>%jpE znx6`am}x}FEcwcc(PP(hx`11VK6&eq3!I1UPld4n3vCn`YfD+a7IB;pDtRqG8hj&5 zP^fu8YgQyKW{8JHNaCV9M2iEo z4|eT5_%6V4MPy^h&_(zvr*m(kRPs{d;6&LoroZ6}Vs|9b+yf6czqqT6ng3RBK3)$()O*E8s2`t5R9&3=EWQjRXU<(j2%UNi}|5JFGIa;`m_%us-9 zuxw?dIcqK}hf{ftzkGV)aL)MNu2pGd=pWgJr&H=oix~-Hu9>ki{J0TknW^{Akfvgx z@FyD7p#`c&@FHcZ3`-z*5~_USk-9&jaCV!^k)7drz{Afwp5xD-Hzv;YiGnBvZu+Rs z4|-VxTt0SQyB{MdMdP@Z)8OrNfn_%b`Xqm0#T^LReDXFH1bxrSlP;g zbdy=+^Rij;ihkak(r4XZ@@FX-|M2yRgRI=r&f(8GUn_aWK;g@cYkp_eL+4o6Kyh%0 zfmd#{;e;)3bpU^72Uct+DiS65+M`vNM(|~mT(PuTL@t_`&HYQv*-Prtll$PD0>kIF zVKFIR2F&hY$mb%si>|UgUKi_-#C}fD2B2#2_0C76_R`7W;5TZyLp?V)vK&>nwuS#W z6V;I*4Gj+$b%!_i?x?PA6&%V4?yyL{+Yj$xZQz#KtQ~`n1=L}Ps0us-dN5In(Cm(*qKrzKAS`;4Q}AL62Jn2wY~?uFYA$Q*Q*{loLMAHct425@al51_4Sx3| zTK%WYp^6)*gA!1V_k(kGK3uo372`P9+~P)gGT3d21b)q!W3p`nA1Fs9Z^)s?P_ZSXA9 zBJ}I5xkt-_F3x^&m3y{O^S@c9sar5*MpxcWy?9A??`Ub@KL9yPWUd!)eLe<%gFq#l zDB+r96O+th9)0{xYjc_xrV-t0g?XHU^y+_tdd8N4oa1!Ma7D=?n}o(j5xtTI&~Wgt z!k1&2vpH%wvow=tt@-Sn-j(3XYjRkrV(1Z*y(^ku!+a$hnaQfN4W@l8*=9vcJkxfZ zm8RU<;`&OyFehpRd#)VeqC}C(e;R&W(B#R34BOout)tVXXYIAJiMQ#~uEu}Z@khhD zDP_pTn%dooTSq;vnj9eZoxt?1(@(d*P*w&9k_bn#$y}*8sU}DS=D3K-V~|cZmhhc# z9LLaf#tskW`1;5#0Nim02V`)5xRR$`5wK?|9tqJ^L?IRdH@764zk_^0_g}fOZoJyp zlBP;3-Kc0HOlo5dCfmT3NtnIl%=L>*BC!nna_S15Jy(pxWsoq^#o+64>@IwtyRI1` zTwSKL$w+^;4V#%f=o_i0LRzFDE$J2Zy{lqE>2|>C?X@n1>b(GnMcv5tcs2QhEb(ox6LJ57NTkPi@U+A+3H{b4H~-z zQ)M*&18{L?-=w(;H-h9*utWtod*uT@GK2C3M({_x-AHENVTrlb;Uk^TQ!cwUd@HE) zFxAs*-2G`Ot!(W;(XK$2v$5NNhn5vxH_k$Bbo;jJXFhM>qdI<88 z+MoX_!gF^;Z|Sm{Eq5<(Vpz14({ZkB#b>1fN*kI#15VN1D(dCTWQbg@^)eUPyi6CQ z=nVpXl_0d8V;#I6o$u=fzgkT5(MG8T)9qAck;OjtgfF*MSo+CrFkAWbfom`XXp6TS zX3kPH3GpHMlR>1deLYM5JE-RE{>E2!l{cF{veb!3x>3+X7+ppiN~(OOIfkSWkz@gU zXpvZ#@x{*-3AFds7O`LQd+-MCa1~SX{_WpW2h}x~A$?^uKVaRIp1%4r9&d5sKR!XS zD^K-wK-fOr)V0So_be78PzADX7=H?zD5{Ka6-T*z}UR=tv9Bx zT@I(UKsYRv9~0d!S`xf`h-*jQaRKY2;8!qq5x&gm-P@>@JnI3KGEdQXfS^FuC_F9Q z{PY^B+{%&}OzS1}oW~;O&~BYh=R6;L6PoohejLNssz|@zj47EN)AlP-Mu|eOCXPTd zI*B6jXqn_;n&~Xs>@=)8>!~u=yiE*LTGua~lro7my!lI2vaH9~R5OtqZQ@{L7lF#0 z*r=z)ioNn=7tdKz0ntBi6)0j{SweV;_O)?y5Q^04p&#cjs$W-HyUDG2O;r>9(q&xZ zGvz9q1fdq?k+~b&UhB&!=j6*~NL>=NQtmbnWh$X)e}3=t_;Ab5qYIHMV|x|iizc|^ zDOUl+x61elEKaTa(bixkqOp%>4l(BK!!F0m7V`;Dm7!}oI9v3GodMkb_YL_miDb!g z>4fhCht{icJ7uc-HS0h~w&=+wn_i?n^?Y4y5W&3oOT=W-aYX05&{=SKOZ2KZMuP2O$7b#mn90Ixw`}Lk1}|U87>A28aazcAgYNO ztZ?%lLwyX%WTQ zbA}7Ow`K7%u)|-xvFC>D=?mT7X0(f5QPm`PfV3w}_DmI%AHOS#h<&;C4Zn(fcvY~H zC3#EIk9xc=*VLYk2Lcr|5ZOSP2jd~<#QNY8yu>F@vl2&kt&E$(R`!P|lyw-6s6@1s zY=*hm-%JEOn!kGL3>GuMU4=!F!AewE)dTxjcv?nnj1qhtVU(@ zNJ2xwMcr;x+2a=%Y>tDB&|?ec%fxd?RD^AvbJlV4*tRnCpS3}gU|9xiz1XUiF6|Yb zJWb))lRs0|NNmjo{yh}R1m$cJr%RG)_G$czM|XVIdOP~wG_6>#IE!0=O&9I2OL88Y zbCgaEsW47pox)L&hZ#u-4Tr!nd_IvSo3)ZPTRmC!w9DS%Sy4wIlZ76-Gib(F^<$h`>UqG&`kF6BLjYUyu$Ifs zrwE3*WB6OgJ2yjQDOX}k-}xW2-LFxvYqo zz1DO`Gz($l%?E%roE?_Q6E`W^!@DKuy`G#QyPV?TBI>^yEC(}sJ;_D3k^*;Ury3&LKWVMfGM1BRS)?7Aj*UB1ysmx?BUk$ldRcyGSc-^y+%8HsAojO@_&$5zi?)=yj zPq#M$oaI~rWsWZHUNDlajn@fQplAM=bCm_&0t9+)HIyZw7D^nRDqZ!AzpjrK9$;Q55IpJu70I*|y53k6k8!&h zQ@swk2ZFIGDp%OoYR!Q^Ud_JiaiOu~-T@Mns6 z7q8E?!4!Q0+QM2Q|MqfQx+?;X4LirX2vn>~2>Y{5Qaev>Jk!T=1OtHvch$ek6)3c& ziAzkd(6P-(EX*;btk*Cj8)5YZSg zb=yvn)>gDrD_PRrH${DicOZLYDv{Y)z1&kQl&LJa5X$cxp+SgIKZWIVrSo~oD`>*B zUS=7iB%9htQm5C77LOEt57cw2(D;aRqlny!W7O&CS+xN+09}7(d8$7ol~>jM z@0)Z+ikPnkPy32&;~C=<`M7LKm20!^hR!JqdaAh!a<4M^joAft+@4P~q~i2=f_M(6 z>R1`HwK0}pFAwZx60fz&|6hSL9Exd4n!|y=I0Af>?S>w_ z_ea~6aXeX}?-nQ?iRT!>k+se_?K}o-T@~68a2LJnr%LO}Td$TZ?-7|eZQ)p#K10z6o8j*psrJTyY?(S7eR# zc_dL)AWfE7BXl2DXd|n~L`A=SBErz+-N6Gvl?x^aj*+=$?&0`T#bZi!WpEEDl{?c) z<#K0Ic*P~)NbLp^Ai)?{mYAo~%B*ob>UNsqV+C!i5Mpp6$5rBv!U=PQnkEqI#&>d=`rZgzs$a z-JSm{S3uO}CNidTElr{PuK)#1cm((;+7I%2V@@@zV|y|oIxI@Cnand(=A~sWT3g(0 znalo&sN*+u+fA0ymbK9-Th=o%d#*rnp}ivk2^}rnZV`}HRr+ibrCXAw=a?2*MtHa| zzC7o$YesR{;6XObE4LBW<#e*pe8*mnCX19~R4Z1x(`?D-Ly z%RghsOhdD_6c$Q^2!Lk3Q9kv?cuzGolT-#wlwG!YW=2aiH@=>fr&PAxcV)c#j1a$u zExj7YZP64b3%+kSgTaUK62xh2GM=$PR|RH(T-?txWtz$JoWU>;=TW3{J2*h|Wu6i1pXqwaj>akgCSDzZ8qEdkcB!!c>QnigvMv zaOMWL&>XNyUM%DKDJ$o!?G{eqM3!!SQcM%9b-B_zGSmdS%sC!FxUbzR3WO8mJmCq~k8cLCpb3G|8vRflq-S(v;=(y0|g;tg#pA zU|o#)<851|Cm&NFVE&BK_4D9-h^Z^)sLeOFc;N7@-T95*0Q9ckuC?(EDP3(-D5j&V zj{Jelc=s!BJMt)qCReSF-I&qD7Cu;l{_aN-vSVIl+reR}(%^Ws@v6G*hD>YA>T#AV z(+<5lFTtpgz7UQmg3Waw#31_de|+9wlPnVy5dad$4~@@fd44;%(n@pag9#3iK0h5& zu{x&gSD=j(_~1!wg=Bmo3BzV&1+OOPExBwp%mMXOIm@5x{VTR^?=I72?%FC*grZaifoiAB!AM zr78Z*Ij5R-8f6RlMJ7vJF+Bu*n5-x=9Ub+Bi!X|%lk{#0lBqyOF}FAE>EfV(Hht)l zg+L7T%({JV&0;Gr=QHhE466&Nxph-X8D1&tP?1qfb+?!*=T8)3U9mvw`jL{<%m(PQ zX0)Hp^k#@BKYN$nlBIM@uuDH;k#e~EgZf~9&P{t3D@~`1Qfi~sppHq!I5*QM#8AA* zB4^+iB*88}H)hn=bIW-5fU8f{&Aw^oMpbr|ab) z`s~w}&soc_d(loZSbGMG*R<)<97Rjx8B_U|#?X#QQyUe4pFuvFRwF;ckiL3#JP!cW z?ff{J(Def4K1Sw2b(~$7DlKV16Suj`HdvC8woxlx$|E{jnxu80dW0zvI0s(u=@?uc zWX-gYyCmo|xN$#};_{~P`OamV$FQDjPUU(Ab(o-Urj=|QNcv@{c8SucG@6nz-h=6& zo>?BE8PB52PQ#+7o+4BATkBj6_RZsjr;c#p3#(Tmcfs6pHWN#~W!nsUDa%%mo)n}Y z{<728vmduguD~ls^lMszA!4UTO`IgWqWO@q)i~b%Pq)!Y_DngC+IHH-Gq8zHGFD6v zX?Ge+;#g-|e!YLKDwE#QzbA+%?rxAJU1|q1<{NrW0v%Ms&#smYAMN)k9JQBt(XIhC zzh&C(G4vF{GgU1jN_Oc3VSqY7{98)0f-h}wm=q1m`@cuS_#ag14A8>6^73HMu6u$( z>NP;bnebt_I5@CLw9snR8L|;}WrPCKVab@M22LgTm+z7&Sp*enK*g<#g>*r?OHMX8 zd2AbyrLXJ72g35R&PblCO;*pu_by)m9~en@=pa$LB&9~oAydiRw)(&n$`rY=yR~@A znk)6au{5?ZscUWy{{teUH6aX-2onY9sFO14(6A-$1~aPTAq5N3-#{gyJLb8x?A??p z3q`;gx2eZ&=zz;`#7dTThg_MDVC;v#AeN|{Eq&f^e7wUI)?6dSC&GIErSC9netJ{> zJf~OBKW4n*eEMLNUWZku!iv@oB)xJ}X|u>Xg|{X5+=${Z7#a3;H`3WNIjT9bg$T2k zeYW<=*?itbItpmcKC-MAOq5YD<&glp>!^DBmH(MygFWXCCV+F1`0kOp*G0 zkz9vDb^IK>GVh)NNh|%DL(J{sG*J2bH;X%= zwd&(3qI3>%iXUT3Bt11!F2=vQltxZ|(U1i+oCIhn_{E--Or2D3?v*94>C1OF`kzz+ zS+1}2yx%VKv%sfV81}6M$~S%q6L3hKJ9}+E1EQIt$+!0wszvj={sC7)&6 zB@3gHK?RC^vJf^^kYozX!bq%Irjy;>7>@MzYW9E-QLd`D3H`3~e~*=s3epP~>=#ND z@3=kDbX@9^t1~Y@n{~$Zq#(owYD{s!5SH9}4|iDq>GS_(=}x^W8R(@cuHq=i-A8Vk zYmPTf-m|uyYRPKuvHmz&%<$`GbbQ=I2VQx;e66aO*)YAOh^H>miKLvX;&>Vft0aR7 zDh|G{VnrMdID@0Ee3+?MV%nzhfN6za>KbML8U_!~DSSDRDVwIAB7YuV`m~PQ)j{0x zkJhYctafCG_c!l*jnYZVha2V01u8L*`|;n(hg(#2#kO8;7KadnecYtJ(IrjKbuRbjA8+qWo0u=lslyksWPClx$0) zn9ozH${8B_^>_H8kZA9~+gc32iyLiebVIt)#lb(uC1{NaP8b+O7@JHwNP^BatI#q= zu<^x67La*HOM*7db*o#skH8E?7_gJ(Ket6!)?U42Devec1*^uHvOilSHc;0Fn}^f8 zOxZ???*pTZas%sp2SMZQg5RzM+h(b`v|(~}7`&Zu{f`l%6Hj<6uuR~psp~f)5^gw# zWA{yKE>Fy8PR}C4kLI63^Uc%jET$>5z; zC%I)G`wFfh#)ozP*7<*Pof}c{)3E2(#*nEeLX3=B?z@^!mrc=3{bHj?di{e|*FoLsORrNk)H*QO8`JGw%WRg~{Y*T2 zflk8fvj4a7p#ef=AZ=S84586JT3WBe(kAB|#e6i|b3yDBnx3*w0Wd;Nxvl>uBz%Cus+xM9ViLg#E##tD3LY-;h9>L$c!!uGNzH zb+21%qZ`T=9WBubm!L2f43VK>qi;Lf>K99{Sq;y<$*=gLgi6TVf<+w9fWM{Zl3F7f^>QDtU}@%% z->&(O)pB!cgA6XQzPcP&wLSjGd7{}H8XXGMYUUR-51A2zoGM>` z4$Gs`IKTh1F+Kv;ct}X&ryCMXmGk}WqEbGyzjXwKnpwcSqb;SjYx_n${&s&-FO>$k zCG#hfb4WRrrNJb_z*vz%ixxsxSFyivcWtAp-kfHtwHVfSTo7pCpP+XT#Zl0)$RbwL z>jDh*wE1c{GuI4ItZsqFkDWmq+gD$hmzo=Dkt|Y+q{wD2QK)B#NX2P<4&Q9s(QQ^( z-2a$fGeuUX*a{Lvnrb13(H~wihpwfnJ+l>~pr7G#Ov&fSX|@kau9fl9&mHwYs9f7L z?No2&!}WR!AMPeik$uv^uzt{jb3K}>e70I&!}DZ1$2z#Mbk+@5dL^3cQ&==tw1#3_ zhT>Sz#QTMfvBen6VW_EQRowSxU~4V1#mIKSqM*$~D#qtF7)D8C6Q{|$ZtAqIto26G zvhL9d^Wcqd;%CAfk-fdZ0TQ9lDqF7U!iz?|k@a7QskcsZb65{5cV@NL98NW?s`&4{ z;w#ymE;K7}?5+gDr0!(%%Sc1N(X*UtFUNAGb60S|0>JErpOfw?q~E(rM-|cKQ`Sd? z8a=O4E!rDjrroBUF!MB&Nuf%NR-JlF-T66uc+Lz|W0V9kR1Syw*a?)q^}b{-;c`fB zmTQbNUCzso%X0ZbiGAay_nvv^N0_oT-K$laGpgNElT^~RMUyXmiv_I1SUOK{C>V2E zNXf!b`U-x~k%rZY^^`N2JzW=mfCX{8Ji z*Wu$r@|a)R1;R5yQq^?iiQT=V(z{p`;;K-L#oJ%Vsx#SkrCH1YX6Gnr9g=fOA1S){ z7-lKFxN%G^U9F123O*h8S)P`+trc$@)?DAqt8EX4&rQRz$st~!{hJm8EOgsWT*#J&Af<`Z-elibMpM~FJ!*OP$P~E>M~8j|vq$7= zZQH=I(($rqnyG-cO1YQ5BQIubOZ#)3&a@NDdX>5Ce;e>Ykvg4HvOivLm7|SQh0`}& zF>QVAKfz&SkO${tIJ3EHII{$LGM0S~_PGNvK`2QT=E`lZ=S6a7oKM7avdN&dtM-uO zoE9Y^R2i^so_q4Tsbbx8c-r<)o-4fP=7=#6aV|pl#n(P9<62GC4DMLsBj`f*{p_K%k7EqQ!Rvbn#6G;u^(P zbw5Q(w&K{{(2Wnp3bw$D^&sLQJtS@xGiP$u(j(Yr%TV*#rauQyLm*>uzrL$$qDuxc z)eV-|MN<^gk4UsL>*@onKC5r`B&fE_&8|-;c8U=fDZYw`kz`#&ZurG_8%7sX7igGE z;ZyN%!ztOkS)L-e7aq)=7(!ko@72YkuBYv-^@=VMFED| zU!(ITRk{OzNmf7jU3Xhcqw}dIS0_=7OH-Kwlo0-a?N5#TNMeq)%VU1<;DD16-mmUu zDGBs6m$J?1x?EY9e!94g9rk0THD&FTv&Ykp4VjbhKk)V^cM#p$wE?%G?er+t6e%{|~o6*5i+wpwViYRg2-4n)+5}fOgtNZZz7yL6t{rNaXmyQWubvK!7 zm-hysChV83@7Q{{dCN77eDwc$Knw-nbR#oe9aF2#d8 z1PMigyB2r1;u za#!k$RLPIV+Wu{XKRwsXTSEM%GE`Ffr5&EXpcY4jH4}$jiJ_V51K5a<+W_bQRLx;vGJB+KRtWCn#biY#31+pkKOChQss&ZhROTh9j`$bDS!dZZM7gABaR%3%ZiN%I z6?Tjd&Z@S86bOCc)Fue+R#=?7In%Bt1Z;5UDp(vUu-IcfW zvUPDCVyc`;j3A!J+t>0mu=okkoVs7u(Ba(9k_)Dp|LSXS|0|jKl0}#MY9agEiLfRu z@%ROQW2ITQv8(}Di<^ec;Zj04YbKz+k9px3FH5K;qg%W_NS?H7;?D6pKRAE8+M!cH z4OXUQsi26pPrzTY$;X{Fh!gTB=cGArxTi+*4v0cLNP1lcq*HyZ%plTpqu-@q;hyiV z7O;mG$83dac&V5DFRsbWn_s0Z==Ux*%{g&WFB(VFJX1M?xOzPDUYCnVjEN(tjTyBDW|2W9#3@TGWBK}ThNFqz44EZ?}jI~ye@6cYZy9#)8Sdr z2uu(5xJV?qdt(cF4f1MF*Q3;rvH=9}tKuVR2Ci+vL+c-CndYzhIExJZXt%MrO2_fE z9HU9&DnGfzu(uGtc(OI)7o2eJUTvRc24|D;1pbw9Td?iXD;cf~!mN+#WVZYymvRZ~1oUP&y{ZDFkwUM6!G+`hndE@|gkU8S5<4nN9 z<14i@>!ez0%nC=QW%Fd3yc7k1bh(KEQd(alOfoD$;mSZaNPan9nWzzE{|z#6Dn}oF zTsoZyZr-VmM*k#&ScOf-Q1ia-r+l6`;LeddKX{Dt)Ur~+79Z^P-0(3U5XCZP@Xej( zJE=t@(wzdtVryyisV6L6i~aquk90zQWjd+;?(=t-mXQQ~z4&d*`G#>%_~TODwlz_0?O+c=$!)C`14XGy!8nwdWfoFNP1xs&V9$!7Q1YH5*r`wWlTAX^`OvtI z45k}$HUl=7K-Febo`_MgZ+nwVr=397W`HE5-HOA1!K^4SMjuLkkYfH2#Em+?&|Yfn z5R;bsh1l;1s!9knk{FpSsb~E2@=Dsd=3DoA?jQzrT2B2NNeW=2!K5>L?+QsJpH1nU zZq}vp;rie*kE#P7uz=EJ{Gf0Y>V~Gdm?MmCrSGzr*`3&%mvUyo@R=Yu`|r7aOcu#6 zd)p)Kp#<@*duFR|h7Rs%R>R6EnOk9_7~qa>wbFd}e1&&Rka36I=D%BJUCbZfMV6>- zZ7tb9Uf~jwlvkfkL8c3IAY*^GW}t6&N22|rP}-t@S^`JCl`q@hskCYlJB@43H#h;& zB-Cla(vjj-2PRhDrYa6xf1Jejl~h6n+jBAi=AtG;XFvmXMpl_^2XBu2XONUmrFe$) z?-Jc#{5!{cf@)hFZTzz|J6pEt^v|@$b;i+SB>P#QhU91@?(7gZ5dxr&>q5evX zj}-lK(#RpYz*EVYC$M!V8O^rH&Z$;-a;z4Zx83pERUa0&saZ91r@6S^dM?j$hRbp4 zA7fp~QwH;skMSU?64{-Z{^M{E5`7y?#JZR6k7_T7Wn%2bFo(%KN@krV(6O6~Jo77IO%4HBm%XRxK5O;>HjMScrNp9g>H zK7GZLSvDE&w^%XyWKv?Z3Ydp*Fv()_rRYfz%lsa&5EbA}A;#vxk_xrM9TuD;)zLHV5U($nE^N zkD8g5tWzrVWqp%!$;xg}16U0~sQ1p(y$eP-8cU3G>M5a~U814che~8LAIlO?NmvtM z8H=QG{-jbu_^H(Ko-l&}W(9${^enyDvGJT|<*yS*k}DtaPx#Pu$a$1OA4~$j3;RG% zHul8d^YrSi@yxs)j57cdQz!u8C!?zs_PE2|{flM+fWw!|suxwmFVnc3HBP+jKpZ8B z5zh_KzmK0tUCNIa@>Ft{$fm1f4?QJ?73q?eC971eKPfHlzV-74=nLb`G=WtaAK+wl zJh&&n%V)mEAB3RZy67tn43TqHD^LJ~a1gs_I&PCQ03Yg@Jo;Gbu``czb-Z_J*!sqZ zlJtK&RT4?qLZ&z?PcMV;e7NrvGgMKojD?$uAE+cJDsEzT?kVGF&S`gF;PR&3 zYvLZ4j%G|s-U)!St;Xi)O9R?a`1Eg{Nl^fLVA!j2^{4J)Ur(N?ZlmUOjf>hEr99skAr zsb4z^1SIdfa(M4l%I>3llWh(a6)fB$&(fm4ql%%{-*P zyKd61{G86shWF7@_??;)eP6{hNdGRDvy%E6u|N;bTEn_AUtT!{au!BIpAyo#wV7f3 z$cn@88C_AAN~p(&vZg>QXWGtx-NB+YF#V((kP(zl>&U5NT=M$Qsi7RUN(cBMLh1v2UX{8 z%AP_N`}BmlPHzqNyi(Jsx64;doPgVZ``1(gHXLkJVb}siJak-xG7BzRi1CSDQE&$||HBP&bXVFj_93W0;zChlc z=J!P~cxWvXD0!Vm6KL_t(a6=egQ!-!`b?@BSZ1VF>-n*VY51|#Gg0gLFaE2lW?Gcz z9k*^F9#MjE>sR8l(`WONr0g>IB1T(PRKr%uzBG`2n9VetLNXN{A0pFpI~XmL6(r4K z9a#++d@9hgyL$ABX(dlk5Iq7VOe`GDuO&MlR&HsH`#$gF*EPT;2k8IzZrJ~KrX2+P zkM{c)IN3{BvA9yE8=ON1bO!1Kv^KaOh_0zd5<@K~(}WVjBZm1m?Gf*$b#p43en_B2-rzK%t zq&2wsy4G)%%!)(ba2|cT!`HIzi5@_&V~r>u4=ZJBJSFxK2y_T1%v9t=8~wJbJe$Dh zZ92N-ms3@74E(c;LY@UdG;L?iKr?&Vl)Hd;ztD3y`nYb6`&V_u$LYD!g+wS<53se* zA2v~{+rv*cX!)lt+18I*B{z%;(NhPst+MfA+`$iGyH9^p z;VWDeFs0i%<>_ddr(`zk`Edql`SAuTNmo9W8Z9j+Rbr0uNw7}~Z}+I#J+FI4r7~*r z=s46%`G2ZpdAUVj_CVea#D)S3S@!&ZbSD>iLa7z<#qP4%`*T&2rN7v@(VU`si2^;u zn`?*RPpIuyoG&YqWA2J5bTf$S3~6m%Y3>af^N!ldXXxg_$t&vhxB4*)PNp-ir$ebL zocH(G9_4Yu4FeR+nW-qrE>a!CBk}(dKcqXANt$0NU=c^D@W9Y>Fu(;~Eq_kSw4@c$ z_q_BgnzG|6&&PGvwO>>++~rcjB!YQk$qcr7aKcd*;Qj;u=RX3Q;A`0+MpHWnEPPf1pMkDy4LxD$22RGfgiY{f~ zd0TGG<9!H=gGG+H&UL*9v^JtG_ae`uvKW|G_Muxo!WnO!LbqMsb_IO;cqov^Exg!*~%(bXHFJ z5Ev$qkhQwyfG38ru}Gr#p9k^9hoh4SWv<|v@O|BxX1V%IWcJ85g?}|QG479sZANT;F@Z_z3C`HygXoQ=j+MzC=Fy%V!4m$xWE;n z7_%=}vA)1B+k{`T;gp4y`$ZiJCDQ4V zbf&rfDRnl-UU*dO*GFFeCxn@A^c!XGxa#<|32&NRmvmjt9SzonWfGcfo-=>R1rTeg znGTnCa|V5m(+Gr%h^`hY!`O7|rM%~nJVgY%(cZ*vdnR@P&366aliN^%nsx?;2x z?#VOTt5MKX&4_qc6%c5_E_D#rweINC04y^TgOZ9dyj02w>k0dDMO_6RIDJGu_$vIg ze1Y-iIKP%qbh%6A_I)zXuhCTcOdbIxKNi$NdJ9@iUu4(8L09k>m^aze>40|fRV}qX zPU9q=^PT33bTzE^#J2qX>~4i-v34atfWXFICTxH12_gR=`%#xu2iTTwcYoW<{% z_`s->qq7PnnT%!)h9sbK9|P-YPf*aq?vn&trx`05|LRNBQ(9*+$8G7WB4@*yi90G9 zso@gE{b;hRVQcX`BzIJkfysnjuWD90M0zqbcLvtCXrAeohk$R{;?Ze0#suP$10UA- z$oE2i{Tch4HV4d43))fw9w$3e^#8dIl z{F$hdQhU?vb^)hlh@^kZ<|tn+wa5zJ4`QqjGNxi{EpYGq7LVBAJH@!(Kb`h!!9!?K zn(XF^Adf&qy2jmuuiLq7H_OP5;5r{oAcNBY{h9&%-#a5GPG3(<&X+D>Yu{AXjJAwF z)ygG5WyTH{f$!Lk!?Lcy5i7s`VVj)rP2knr(nFO*l)hD=@CwfKt33QxebT^tYG&ZR ztVY2CT1zsBm_=6Z*>ugHSewTEM3A0eT%<6RI>KdXQTVrv_@tgqQr{K+7RM%Ht!DB; zCu3ZjY!uG%(PC&?(n`l<_RHx*@!WYFjsD4;qh>=`M%0I0S?yZF{lzn0*>2Nc8v2Ip zjNHypjyMhoXB{iGPTshEpntL~Ry~y}rD{>Nc!Fo`LT^Y3pi%s=Zz_|LPV_rc5`JR{ zp$UfYY`^300$Ho0AmhK#n|#kf^y_~$a~zb_jdx0Hbww#Dw|fxM;L;S9TVXNLT?U*< z!SLpig5giWRqK{qCLW{BF-OFx`oDC8VxQ&Wq^E?*Fhq5r0H2+e=qLMq z16gz>V%1>tz$G?>PVAFb-ZAp8xKi z%T~T-6_yqM$B(9d$C&b=9pVw_^XEf@?;P!NziP(+-dS)Gn!=sf$RqI%pJesA247F) zKPPe`T+baXhH*7iQ7;4U@00WSP7Ju;8+*-P6KZn@FpR0?Dt#XqJ!}!CraG4S_Lz2B zAL>eed#A;)n$|VSHl_)uQ%KKKF+gSGzjDK3Ch;_DN+fDcjVvop;(;hGc>F4RTU-^a zTfWT7`q9Zj<@EzC+%N#^hCf6k>JZr;`irY5W=8llGbBJul!d)YHK*ZX1MI(Jr=mXa zivrnZubWf4(wMV`58G|d%-#FSA3hl?JciCq;41tVt95KRn2IH(jw+@T{Z5-$@msKd>qxHmnLJo1{c8O zcIEsnD)`~Je%1Ov76dnxJ`rSGk)180F~_ME0g5(Knft8w%&>c2Wvz5@>@iZGs~5VF zyA_+74kV``RCL1W2ubR$+>F{Ury&)&5WVlIkXNsya>c^_{Q0?ovrw(O8lzGT+MY93 zHo;XTJrUpGqyYtoXQO7)K&OJSjC>8UcDQp?$1=2x&bh96oRyoWk6qDLFO*FK8%5W* z!Sjz7LX-nb;8eT7TuvD?&W{$QxNs+`&-~TwjUt~dD_yTQ2x+uINlcU~BK|&95ef|U zXikKd&3=i-C|>rCzR8Y-)?pBIS%zXu@|KQ;z}wvv#*eoAnSyu9yV6-lYqtR+))kMq zzhkGt@C5t|IQk*?Hdp~w{)G0Uo|<87$II9foocFl?z5JsP^GfZv5tyyPF(j_%q9kj z#0N?it7AM{rK(o+!fA-J$&F^jZ>c%%Y?P+jg)P@V>*nszoYBX6JrRAs#!93swV-D_ zCl)moBkq~xgfQT-cQGBCeJkZkqwJv?USwD>1)eFDt?E0w1D@f#ppBH zDeOqCqzzdy`#@#vE+iuKqAwnW7m)jzIy zvegr&ky0Npq;f;V!}WQ~1he$~&tMXUCf#YDyqOxTrdL^zkGNflxIw}l~qzP~pCbouL4;`0M-(+4}b(W&!W2pPcY`nn~M`io&xnn(dOz^$sP${@efF z@l@&iZkeO(H+&dSDws?7`MV?(cyz)cENr`|QGJuOm42gA_a7-cgfpEw;`?OO%{%Wo zrg&uDx;msui>0dgYT6lF`s^)YR5c=97xq6=4uiiHZ>1DSQ`5yRs$K$gN0Jb94W3mB zWpC`BNc5O`%vgYSnio@2DPx~V$u@xz?=Q104zxc|$);2BT!6nH6{jB3YSq+6d2MJh zZn$Zc;UWGJlNe~UjQcdc9wzlOfsknWwos2PNyl|8v={B`9b-M=CldN2hH{>Ksc>E9 z60yw1m*vMvueMhq7nyQD-sHPlUU7tdrN)~>;qk2BYJU9hDf2C8*-0fFCp#Rc%jIl# zWlSt=Va$s#A%bL|zdhnBEVHZ{oA-pNM0BJV>lpOe%B7gOeL7Lt`0Un(pFFnmB8J`R<4v8VTEWWdW5RKDXwUnLx#GaA)QkQDRrL;M;;IZd^ z_xX{%m8M8AV>i<1IEXpDr!s5tU0 zh@i}{0L7l`BD4v#io;)vlt^Hd00%0E1Xi@BrH#v_Ll~N7BajNwWY9l&{wPU27dn|H zvO84`?YTAZ#<(ff$T8KK#77ih2=6FrP||bD91MTV8!PoO&QH6r1;E0(kxJ#(< zh@N-N#ZbLY_TrG_+|`vn*Dh)LM)U4!{@@C(jpK2()}Lqj+k1Y*VkKo*4tXP7ajHN( z=>`6(0-Gr>=9+@FsUAvk)-0dqn3(u*yo@q0oTVCKdf73=hP-vmc6^-a3KAJcRl75= zGNNJ_Pnk(Y=2=k8{$42f4mIvn=!mCEA$H3S{yZ2Cvb7CS$a9XESP$E+xS*yTLEsG4lxd`OYYoEK8^I@Kj^gk6!* zNSTXcviz>uX(wC%Nu}-mmmmQsHT79j(C#Psl3S;AirfAkWF3JawX!ACrb&rzhf7}B zVc>u7Wbq4b3gk4o_|*LS)VC+qFILRe)|~ydeo5uo9A~xVg;*NhHDF2`w`2v07WE3{ zNVL@O47Sll*0eP!YB=S_hj*5&^&n)+vFtAwA3g+Hw3b8nLd6BExxe4mG{1&*k2R%O zapm&I$KQZZg``Jhi`NBg3l*Q9ASCs_kX++Rr~mV!rW_NB{YAG4av?)cvMY<0S>X9! zp0UL)vO#;ET9io#Z}#`_X7x?9NobZ|)xG#I=y@%Bfm>oK`1`hd7W$;?oE3*M$Dz9X zxo*iw4<3S$t=7|%PXbt$bre5Ik+aKXk76rp@W;qkr{~R^#q*|2J;#8~q(kV2sKY4I zQVzr&pj>C`0i!S0Y*e-3e$1+4M0*;LQ305F^m)bO=2v5OyAO71Ro<8(8=8IKC1QMe z!5~?7Jx7Obo$`NENa=c=QG#e;1E}Yd9Gdf&^Zq-YetGZUJO1DC6fFMBFjYQT-%>l) zxCQA}GnWM%vE1JgCn~O&{nhA_Ihk(JrUH7B!ma!c$%+mAOJPKpZr)zPxphL7h-<7u z)enn&=GY&el7$3j$5!^-6Mh3sANe^tN0XF3=#S@xr|dG?ku z%ywDFWkjSrVk}RoQ^tXm3j5adNT~}E9fkBcy9!mwE&&qrY`Un`x(*F3yF{_b7x-{h zPnVgBD<6DBb!YU|{>f!CS@GV8{sDO8iF}`GX{qAU&|pa?oM*k4>hG^4UVJFTQf#9A zqs5KB9{090-Z#59fB^JOFaO7$Oofc|=dUU{@!HMbbea+v6YmIJCBhCF?Pok6pfLQ5 zfIp`>w^f7`D3m2@k1&1+n2KH~@8EaJi@!;j?zq2##u40wFUbhsY*R^}fDvFZ%2}KO zMK!~(x4uuKPAV21mJuTon*N}tEAR~Tj>pTp3j{ar8dsWxX92yE#irx|I}%~?o;7h=En7S&@crG*p4&gdD)FqRg6t)4udcNly-yC1ALs~=xw4D2gQlx(!b z==jcB$Abi$FWPj9y)$R-oo`eoIFZAt1iPfAEkt3TWtTt`%;Ila*5TCB7WIWEE4SBU z1VK|dqtAM5R9~>?Ytkwc@!3gHr^={h7HS;S1j`OO8Ou#{i<;c1>yuk5{S7Op0_gSn zSp=&t*7Ze!d*7n|5Ki#?UQ=95Yc-kXI*n}cBpI$dA;VYOnDb^ zDLE!ha6hi_Rc@(kmy@7wl|;oeDK~PBYviCsfl_TE>#Xq|F@9PR>BZ(J`bvcgF}EvC zipLB>Ya7a^clo1WN`SfQ7l*PsA3~*pZt+4TQRSvG1jMcq$2jZJbXi6d#17m6cX1waO8HB)(li&gQ>ay`4%Nw1GhOe9iUxnR|ju z)(NGYHsgCd3!gTBQIQeZJwqpxP`Hd_08J9)&M%>CigsbjOiR8jf)R>Q7p1u5`q@s& z;JbGBHx)P-Gae|v2n8J+Ka&4oT0lCA-$@=2In_85{UWZ|yv*a7>r6?{Pklf$p9hzC zuC~mkuJNc^pfetWlQRSI&;b#ivW(xDgmLw42e|D|qu=8Ox?h~2{k!6K%!ccjCKL#% z?@q`|)x8;-{GS5s%LA*9gS)R-hB;RpLSTiMGfQK4fruR1v~Rb-B9v99%|99#nJF@j z9BV3xFwAcpr&XnIc&_y^*8Y-$)l*55wyrG9(Ap1p?Y&S*y^o}M3TF|vY)yMW5)tPC ze4x#lv;4|cjGcpSy_JXaJ+oWaCxa0UPqE2&7?5cB;R^6iQS{4aJ1kU|>6QZ=wvz)Y zZNCJ}5Phi$bEc76@5B=$4*CT2n3PyAN9+`x;>A3l5%z?aB@}^HoXh<-ZH5~~!lN%| z?BqMWu?1T>tCbX`e=Uw0D3?OdjRXwJBi2V5zXp;TJExCujEh^A5 z7GD)9fYrcnN;s$aQWyKTEdFAyxEYf&S%wzA@P!%?)7VIH*EC@M38NI0NwBYhvmeA? zSCXl(^ZP8g0}y*ujx~76Nlh>JHoflzq+;Ya3P^Q0vws{O&}qF1?(Jz6wfRph7e-yH z4Nrkx zOTE8G=M?o>K9U&1f+*DN*N#SM#K%tx4woMfw22mt(pmU2pK4Ov)l>B0{Fh`g4xDXR z{R%rv`k7yCE;&5~7d_(7FG5L-5Rfc6(PaZE_YAxT(zjgxR`v~tiPsid!jodFw{}jO zQC*muR(L36BdjFN=8h?m99<@eS4OS&!;TaIkUc4cT7L_*Deun>yX6{(AmY@${;*_$ z`;J}`_t_fyYKig>vUmTWn$v;|cFBa(Y3vJf&-i+0x~N(@F8Nem#^aXDHS6w%Mtl{I zjej-uF3YD;!>Xn&U+tFSBD&xlVqjs_#w0unwdTJu!_r(N3p}+kY~=QQikEmFF-;gP z2veM~i^Ta@1Urmu(i{bvBTK-jgZrhJDQcw)l>hvg5?*EqnX^!yj4K{;ZUn4*ZSSIN z^gH!J{lk|99lVDT$%?rsdlgHL>cer>o`{S8G#cG*Hr`V_wF7m7T4}LBL8&S-$wir@}d@0VE28{NFOgHO9(N;Zkr;3R_%DumA2CEMWdIP^Qv%y?tIokZucP=P^3h3@ zHoNIp@8q>LEK$B(cv|=T1L=Vb<73GIFSz$4(l-10tm5T1ofiW1Y_e=L?;KJh2!9+6 zgxL5U+5lQR;*PcK8NR#I#cge1l{0tx$kT+tEydc z)wHFWiP0z`B97yFa7BVRZ$EUdmW%zGUmGGHJMM0q+(4yOpxD*t{ zrt8te&Ll_Yq4{Q&2zOR&SIJ!6+0nn+1ME`X$wOWx{Dhq}RJeH0e5tDsiKUdjOHs-K@p9~Bo99;s(UFrgOP;?Rm)c{W~M}@wG{ImP5dYYVL0)T=8w{A zZ=+&6=eZv<_LU?@D6~-HYN>LY)+~M|W<|QK4tao5oSOSyf1NOlkN)CHrUw_8m!K}+ zIUC1v=}h};>SE1BFLwCPPuO-qmIr1xp;D7D=_v9$Y*G(rNTF|@YMbISK}admr&oae zAb1%1!`o_#NzERMajA3xJt=#KvaCHo%0Y$n&XUJd_e>N;6wstqCcJ9Uw3DmWTv(Z} z$U(N?R*$dSp?iEL7X1a+1E6DPo5vb`HaqxA<6NZCxNeXH0Q^G%C^9ial#9FMZq-O0 zi+GGawu%%2>j-wl^$6>g5{LP>ImvxHH(khU$ z)rnsDs?}nr$Er3yQhLa+6@<# zXWe39bP;@>r#$_`Jo<^jr$pD@OeRP942nQq@9~C+(7j65Wp5^(cn=0%Zz1 z+H6l330fA)s>umnz8K!M06N4d=djiT2LhVtSzO#dex>rk1w-fdNfnC!^k?j#K!>no z-_RfTkg7wQ)wJ{$d1)Fn244>;r8PyldM*oy49d&96$&M3#bn4d_l@zQ%2uGuhmjy)DZ{w#1Y4QBPRcmis5s zU(_!890u9-z9M1sPctRzHZAO43nL|9avAz!{1oldd3^=A+`(fJtA#n*lWf~C*ngrQ zR5_KGwNiHv@+k4rPapzozjz1$ay3T0*xmg&)mop7F=AZYV>$`zVxAaOD8CT_{6E>z z;bQzYkb^Svve>m4^o(ZUK@ZZJNhCZQmN>He1N`|!-Id|m-A0wZxuDGXLwsCgxEAc= z1`VWj`*EAvS9_@&!@}7|8@OdAx2`jY99#*DCh!A}f6Kz@UOsVYW3KmadF=gso8J}t z0Sq~u32Q4*#rnyT2>eJl!N6YL$vkhHqI4GMAep?fQ!>2)u-ckLiH_8-s^=LTqy2(z zZE#JpP;uv@jfid~fFSF_dhqU!4=x)tqVjz>^h@oJuMK!d7lcQP=Ym?1;q=FY^a3#x z9GcJxAt?^HiYU@tU3hN5UEXMp72hK3wEO9JBfcMxQ93=u$?EY)Vj&%aawvr>QmqQj z)BmV~`?`Tm7p?WV*gk_JCE5htUiJV5?azbNl-1P9R9WjmGbvX#MX&A(ybvFUU^j4( ze*%dzLje+kEDvtZh({BwIy-uHn1~ZkfFQ-HO;)~&hulBLj@Y``Wfti9;zEK^im`l3 z#IKXrYiNR>D-|OwKSBAH@`MORB}Cw#PWI!-Dp!e#yK7JH zGOB0%331?8p8P}EXDIG-IDrOFizi0qE2T>$X@d$FsISt2!(eOgH}7c5j61skcs#A_ zxoEgKXVpWJ(tRAnmaIJJ!IFpAdkTf&uOu3VU!?geASAvwgPenh7PLyEcG48so#(;L z@V--mO1?2HFB!hV(bI?davMeKEq`pGSoNw}&i<)w0D`y8Uy_02DIW!NG%ydcya(%^ zo^RaHmP=B(qg7>*9{KS`8R9(>g=Cvd(H`o(Um zse^+r^@$+QC1NX+FITimFiSskiuvuU7gXQ4uT+pVhCg<~cZuaymZAihol0K1st|)! zF5ECV!%X~j;id;yuVdjjSshWTVqJEaA90#P$Id{nZ})c2hVFqdEJa%9i&iokfJ4)c zOYBFA{F`k|_IlV`A}gFmp0-0~t{b50Ogj%$a%}Fi>SARw3%>l*qFI|ndMVhP?iDS5 zWy!Q_FJ4XIA7k{f>D|1gXO7Jo=T#2bw=Z=j+)lYT>Ca~qUQY4xlEO0=rnP)m6$9Zz_;4M!RegS(QKU*SUmB?CU!?Cc*Iks zT?Y3^>0E+x=+k9l0bdza%JO`F4Xn17uJeSi5#x8(7Uape%RI-(yp%DWu6ERF(TCsf zNeW6rzEK-G@^S1zkI^9ec|33Cfr3UffV7U~>tB`OKNZum#wRU^oACcEK<(asrPqZnr2A8}lEsB-y%aYtw#h?QE0;Rs?x`^e2lXSJuLNYNJ(G z?J}${b>l^X-s=acM0OgFn?J2!OM+B^lRHAw6SYROTw24MJQ^iEtPNR6C#Dxc~;Y}SRkt`?;5IFy19L}>{quRhd zmM)&TET-sjBl@lub|pg?{4RbFIN$S-gn&LXqMAGyB2r)cHHTuNhx6!;eZ_60gnp{f z4HrY1Q{yD|-yA`tge#TpL(zFl;+%z8Dcz+^%^3p@y!%qJnPSXWSg));AJ!)p1@t1X z^r!VqMnA0y05qq~w@MZB#S&J^7^U@}se))&h39`ZGdl8k>bVNkvE(kiOm>%gx;%w1 zc9AaQ^W5pMi$*^^G~+YYH8y?y$)96YlsZ}ABwh|SOxEqvhT^JOQjf0F&ojst@v~cf z)f181Q7klXZl}OY{(+W~rUVEL({ed5R3UvV!z$cH>I-9PhTkv|qV4CD0i(TMEz54C z?22obG2_G^@&CwS2HA~dc;$7W9Sqz$#wMKE~Xlydx7SVb7&9G&4 z>&Bddn;FnjZ{^{=^GtS`ToS>r{=A|#a8@@1XYfftsHSeNTKH8%<^q#zYMjv+tc8Xv zNY`+Bb!u5<*IO|Jlmu7iWP3SAp;~C>WVA@sU3UQB>nHm9uYdPdFjYlqp7AKa+R&_s z-oYPs7{v!K=>A_!?fj%CC-7s;{%C##4fW8(0Z+(Hzp9gSc7|5v-q~P`d*e^9t2!cz zg(!l&6XU6F5yOEG@Sp9xO>1)pL3}f!@O}O14;^mfDGF138mzQ%kfu|Tme*f-Rr1Hx zfKpuaw%ls<#DB@Ny{OFve1S){kZh-kIpr39|I2(=nJH0Zoi;S{(Fp!+fgf|bK)pP; zfa$Y;NWmxR%?#AEyp4z;E8|1Ur;1$*V{JIoU$S?F=K^#OVYQ(8Lk4Rxm|AtEH#3*p ze?##aH*JES1acrf_o~c%ckY)UpM-xXkC#w5X|6S1xF~mo_*|oU8!Ld}rwK))rS`Fn zpeJH(B?5g!-Gz&DNb`~P&&<)^`%&&HW8KL+GTOYJ^~Oiqo6Fl5xn&?D+>*#ptaFzJ zu)`(0rIU~cB;-mA3y*|uuQ5J?1<1Q>KYXm-T}@cp!K^ZWHK)8+=6qBwZ*hHG_Nw#| z!r94Sa@4vpP@bCosaZRI(md7=Lj3p?BpnYQ4TU#>(V+Xa>Nj+9-zAGcmKpVnC*DKR z3&apcTJnA-S3H+`r4z{BO$_JqmK3M!&;8=ytuc`GTBtH78oaL7qR;jiO3N6066aAi zNtzsSLw~*P3yx0#ul8F+f&Hp^*%Nq5)EMs*@$was?9dIEE&Xp%Vt#bjg2GpJPdbMe zN*b%mu2@ORQJBtgHSc77cWrK7Vp@0%zxXh8iECb_6A>NOM)<@N0H z+nXg^1%hygIRU0 zjgyDFJyT%v(HvFYjHs<$HEqIsxe(SAB>I>;ok%6BKF3~y06j?GfS*tC270PhbAI;v z-FadfbPaJtxw#|}QaK`Ml?3*Rdfdi(E65j(cE2B%Op%G>3{$6UAket>EzoIRTg4gW+6bkmCr!~Qod^BX zCXjDXKYxPPdq4OiQczvspEt)I@GOA1741P1{==dpyJ<8~o9%t?71FU` zM4a8or{`uw;Q6dgNdC$vR%q>POXP;6J1Sc_FQ`~{| zyzr=v;;&5n*K(SnHBi1a5_#X}cDdg$!44)FY-zRjN2cD0!2Zs&#eg88njH7B85{HoKH0xuB$YG<)+q2~A_#W}#|`kG5&SiAX^B3h^bt0N^1 zimdxs6*Yr;>Pi6$mf)k~`P$NckUH+MQfT}2@wO+oJk8;8JqWQ~5qDt%qt3PoZq4%Z zfyDdTBWE~&EZm}8J_hi|F}T(iNcAX);SesH2LJH?Esh3;=Q7xSQvqhvOj=j789VQt zGD}m&n^9`rwvp_!uZb8uKdxlMzwZ(`oN(r-?(?tdg4OzS^tL6w-E8=Ru3C>D_t);X z)zjANjoe|0N#*GU>g|z7a}PH?85CyAHEmW8nQJ~1zDH2&`QJvoHg9b=>)l7|3k8tZ zn(~>lwOj=g5_j*Vo#|EUoq1RduX~We&65xCdaovOVc~c}9jCe8H%Mgd^?9VP;qh{0 z1du0|JGBy(zv=IFI+0H{EH<*!?3I3$R-S?4 z!~$k7JyP%X=FE8WKR^7>7+gF9T>n`DzR||u>+^w&tNv%H%#;Bxo*R(zbi-6yPiFS( z0eBf|mg^N*X@Agse(x-7HIoVZY05FRJl*93D=%M}xX2xY7scE13xYVtGTwI7IWmL3 zfjryOql_3LVVCNO_T{BTAkRZXUw?)!!$*+UO!`p(-bB5-f$F8QI2EOyiQ|FA{KkOo z((BaS@x~+Y?ug}O>Qb4qAV|We`F!pwqo(1MvrSoDed_g5;QUA}VgF4TyR+-){AGf( z^{Q#R;Z)+~Krq{H{w9cVjU)9jGt~n;m+NKxD#_$YX*9k5EMJi4z>}%}RZ^Y%QgsZz z2Y}w+9rFY_oPC}DTR$3n$s_4$4VnL$;0OeU|LnsTZpL034*%to3Go|;n**~=c?`_4 z<}hKori^y4nzq3+!Ljg|JJwL(<-m{$QZE)5Gs^|TA*<{Kv^u?OLn)$_zwY zGSzIu8tA<+akTy$bhGXku-4+evQzH^dN{)FnZR!Ko@i$ZV)$7)k$WHsv0h0M8K`N3 z^=a))*qxTA&v7x11HBJ3{Of&zo=J*pu=rQ4vokd4q1gEtTnM=dE_~B=4Rt-@T>bwz zV29fQ49Cgze;eSxeei$S*oT|MEHh;s{#8?EHh7r?oT*^Mvn81QpqkdITofSocy@}} zkU>JG5zf0=-cO0<7@QKLG<+8{pwY`EpK^y zhtkM${V4$G0UqOwvAOJW6lZ?~fvfuhYJ42C?dM+qHl6Cc;*G;HfmRRYOB+@J;u0h_ z8QYmDYqb2yPA^_Fijy$KHk%Gftp?NQ2J4`nwX>l+82aRWFI;2M4Z?Ep9q zrvEcRjSn0h4HFWXJ@pLx4H;T6E>U&<76SWuVRJwkc)qww{Otu+@4kSN8FK^{~q~ zK--}pDH00bEh#XL0EzgnW_zgF4jh!d5}Q39_pP3n97)cRAnV_TrHM(G9GO7n%zA^{ ze?cPMJ{;nb5W5K$56}H|pNB{T^#YLB?Y7l#I1(f(y_EHUw_7C62OUp$D+6w~&muPt zPYOX0YcQuu2nDIon-AR685BEh+v2q^j~in?1!z4JlW+fzskeY@;|co4X$z%5p*SrL z#jQ963KWOnA-EOSV8yM40xfRAT|#gQgy7IpJa}*l6nA&+oBp2X{lEY0eR8*VdwX|t zv)`HBxt;NZT-l%rg6v5Dp4*Qm1DaN7p@f6Ri_;2zWc5F67+kbY4d7qk9$)H2jc*Or z`CjH4T+I7^@-?9wrg3VTsip%ro+8Q zl2i4qHw~=UzGrgXc%bPv633krB}u2DQRmwWTN>Ehc1o#2cs2;5P|GR9Gb+Oiuk5#- z56fHxmZxZ(ka7sGwKo5Pjm5TY>v?v#CvqIg#eWIyfTC$d`~5JqZo76r#R)bmOmmKI zxGCDsBXt$bxty7mNe7v6x{?9g;{Cq#B8Zrd9OZw_-h03Nt5NYKG?x>{H|14pZvP$f zf1cgz)UVTp1uZGiQo7$f#pFL3@~_ooqX}~21e+f?F?lDvm`l(ilGRY*=j3Cc!o5jw z|LWseGkI$yO2`VEZ~cFhL5nFoKCuy zYV$?SN!)FVcpE6;91gmm+|fLb=7fuO8fU#Ew6F|dPC*OKhyOu`hQt$n&kdLVUVQ5= ztzP2kKPLEJoJ6ySbqjYQ@(nYjnK{)+z&CfWX1g_IuXU(Svb4q5kQIrjx>Bn(tg}U~ z3j=4TUY%dGtvl>~!jqf1xIU^ySJx(zh^*ABkjkp<3{3Y;iEYiLHL^85`;HvG6?a@m zcouTqoTJHs6ltqWa$G;&cHBP6R0vG3_iX7PZAH>ZIBt7bcMGG+&;lOaHf#-!8qVeg_8z=W zZpAtSwO!C?fUq4&*%~Tr*S16*WSU8~4z-8~w(fFWU))@~i0?1gqp9#64N!wOw*gm9 zV!wokZ<S)i=>@yy+Qi+Hq5pjq_i8EsVpv` zubW|da&@uj-D&FG3mcmXsA#d<1KQM_d!d0_wjlROJmr?E3gNHY=Bgx~WcJ~u^VLS0i%B)m6 zI{uss8mg_o8htcu9)V4^o@;bV4lk(RVBv_(s4V4FOg6Bp+28;$Cj=+Bn<0Y@ey%m}lCam+7M!h2Zznbha(>BN~DN z>4qP2&A=%};+CD4xqhqzM$EaUo+`r#>oTL-*(u^*)%<8d`HNfP%^TBCqGxfr;)5q> zr#n(=ueN?0pn)Jx`7hdQ&(!6xiRHj$GWrS8aDWaDiKY!&NknUn)+w)irxQ1P)=#gmdbnVC|V(`~}QF_9$je%toZ z&}8d!u9@VyMvCbf;*+HHS5wc9`Xb-rfC$@6_QrFwQUKgGsFwa4tN%wV`Zgb}0jWCcEff@ePRnphAj#C5k4(l%>j-!|+a13pEjTQ|7Is&c_Xtr{u#4Raw6W5b{()O$24^ZWBj zyghE#%vpK-4*xbciL}NRUx*D}ndUh%28D3zY`iBQ(*3 zZ}z>)rVn)`wg*3d%ydv!rot8{+}2eGM?A7%U8{zXG|S@855B-NOZ${-&pHA>o>+nH zYREt}-lAKS0xv`+fjmqmfglNsgEvR|9s8QjgrZKtD1(IFXLDZfUban)_Y34rRB^B2 zAuse8hPg4GF+SQAFHC z2V2qfkTOWxj(OzQ(hHZ1G!wNycEWv*`BPOtt=I1mhk`)9kgB^9Q>O445bfo0s)d<;Iy_b3sqAd3`ujWyU!Z2T}lbq~%eWbV0Hd6tet z?HQ!4e5&qTwnq}`C0T@yR03?F({6d9-9iWYZ_#O^)9IkoQ&pTiB@~M8i>qWA3^d_G z?|638Y(Y@&C;7jNmt>i1@1(Qhz&>Y4!y)dH9m3WCc)*|-zTfSQT{xp zGibNmWnJAB{dUuTb<=%y^K(n==Za*tpJcU9FS^K0&CE^ZzeOzj7afR8aRSMAF4GmL zyZ3Jatpx&?<%X!65DZ|0u zDHNe+#m_Glbf7@pv;R&t{!;opQ0aq%03Fa&vY?SNQnD}>9h~JHm)?P;a7WpbsKn}z z14m$z8B}qCR1>m8N$^^0d+o;rr47}jAvlflCi240ZepWB`b$MLJCR#)y%@j7ZI&$$W0z4-?7zd${&^16di44`TEt%z8)KXzVzrp8%qHy9fdKi zj6%DTxiaChn6g!;#(5s$-I(T8xG0rpubn$LU8Vc>-Zll#o2rdBK8vr>XQOK|?os$x z!Q`tle!hh0GJ29qUSU!T4g!-=>GVvxA&kL#=#ANb8`J-81-*K7{@%4`QmY;W)PC)R|F^bE9qrtE3vE~(Ket2}|@HOz#@lv;9^=LtCU-h_US-+C+B2&p~axntC%lZH8#S} zd%DwR>i+GTAU7bYvk_M9=}*($BB~15$7}Zei*ffhG#70#X%2l;A|tgcb(VWWBOJZ_ z^hoLs_bHcDbqV67pC&$SOKmV_@}%YqUW7PsA!Z+z zF3az@AMsTMl$>&A9Cd(Nn~OngheWJ}o3`s&qn=Oa_68z(GBT^xKAkiV>It4rxZ2@6&ado#I~%(Q@>N=q8!F)OEc(haX3|qHT*=M4*U-@RuS7 zbBS(IH`z?Sv%NhvU@=qj>@-#_!}HQ^O44x)J;2DYDs9}(n3Q}*v1)`Osn%GSuU)wv zWgEHrm|CHlPV$+FDM5P4W5Ig4q_J)fERRn{a)CGod}lPS33+1Y4qe><2h7l7?(%Ty=4Vec`Kg8P|h*Y!OY`$tK3)-LkfT}0V(h-*G#q;tKqNk*h^SPX0q`^r!a7oh$ zy~7DBTasig{mUy{cGj^yOy5lS9llb~3aXoQdqq$_$4{8%V2A81$NdV68WpRiAo6K7 zyL&Skls{2@r1jZ*_oJ8gknznnZ5`uIe(}UB2s^Rc*cfATW&gIErD@~`iQyYJh>TMu zyFaTt{)H-AXw+W)@}0(8)Nf#{ab6kGMvR>E-J7i3X5C|1sZ@@-j3K?Mx}Cy#kKh)S z2RL@scvZvnbEA4I+${ZJlRj8kKSW|Np22dPsTD)0t~E-f!b0#FPBoduk_v^;6Qmkj;JclO)TgT&iMF^97BkOZQgDyTuf{~W zZa-x-mt^_{)WAfX{Nod?xH-NAEEZMN4%rbOW zH(TG7MM5t0h`-6aa#n8)f5=$~ie z9f%MQ>f70CnRB@ zZemxM?rA;wfgT1P?$L^zOoI%!h)M1)s0Zn z+0`Qzx-O+~cQ=bjB`+8=M7U6?PW_e$btYjsvVd%mUh0IZl#S0t{Ap&?pi`98p=zn1 z!mDm-nzc3rSSxcO{)}kQJvx7`Tl5tQ$!@__Hw;!fNO`WMgi%_9$?(yM6r5Do*<`L1 z=FX?oU=Dn*K>M_S9A%lSV6mgH>Gxh_l3$Vdv;Xrclb8;k461=v#lT;#V@KtVPeb}2 zHB%m?0i#^nL0{udj5uBA$}{W>DAqpAo;$M>-Rn$NJV;QVG+b$^<$lNW)r~v0FKpp`dBUr9yWkFXxxxfS%txN*fN?+t- z2ulF!#hVk+mGj2(o-@~qMbshf6301IrO>ioHir8{xu)b-X4DIJK0sGrdr>J6DYIVB z@E(;~dn57Pz8k-)TwiGO-heDmaOGjLZ46e^V=nvQ21q{o$Q^AQfAlndrnV%DdE(E+ zys|U+yUI2~2Lc)I0PH|rirI*hA6sf0+s|x`@j|YekN#o|K!=ifg2r8$0Ae+tF6%noK}L!dSbg&s z1AP4#!}Iu(x61uB`_BN6qc*jl(LFT}@^21^18Cz9&${gBbf8$3ox0W_9lnR&s$^bL zMwyG0-w}(iwpAivS%wxc*WY8NBm|{O^NIW|vAItL4=zUUQL-Kv6xlt-nfTbJ$Y$+v z#7;saE~t`!3olhInbPOJN7m^i(cwK*+uqQtev(ORJl~HvVSe6|4eS6`O6^ivc&pcd zeC7?&x{vOAgvbCqEqZwSU3h`|`Ph(OJwT!8b*uq)o!abk(PqJ&w+mRx8JMiZ$-*HN zrH|C;{IG~b-~JJ2A8q|6Zp8#0SW!y6=PXNY&-%j-!CWt$43wauJdtjiraA|z@*d8P zRPU237+M>AHedBk*ePBX`it?|UN=VEwf%Cftc9!xibx0z*wY9m)fy1r+ujs+SjW9JV-rXT+G99tyc#QZOFnstMFd_(Jp+b;S zp!#|hY8D^!P7yWWiX+ZY2nzvj{AoBQ>0;JSXOb#8U>jK0VW!B|mUcF;CqB7MvCeI% ztglTF&!6E_R-75i*MACm3bQK+?vRWz(n@u5-6_XcSYGW8%*h+SARs{N1r72OUppg> zIdIrD#Y#wl{G!`G>cx`Tdp1DO#~?hb{avf{m%J8P<=VL-kdXr+q7gCb!tinFDe$0LDQycl1 zy(NhWOhPS?h$T@iSOl5~Ew)!;^CuJB7_r{)M0u@hu(E*SxAH&ebHl74M|PZn?_WdJ zCZCW}JQh6b{G6!YTtY4&-0$-G5YL+AEho5?&>zaaB&+Z-1_5u@cjFC@OmiaR(+9Lv z^@=7$4g!INYUx_DZ%My;YHH;_p`oIN&Nit9={XvwJ5oH8$no~$XEwAVeTviVOeT2D z0p5J;Y1@U>ll@j~7OvKmp9(^l@ajc!QLIB*u+aUNA?&l7=U%<(WTtJ=vr z+%zZxmbffJqDHTgBJnYAllNk<+5JM-XrtwQ;_Le?hTK8n^ zNclwUj(BE1Bn0_wpND+!CUZ}HnQZ-aZD(`o#h&_nEjlzoJ5#Sh;ZGN2#izuECikug z-BsUaDMUypXJo;xi6CXm*0j_G(C%Qa5@5}%U|+gl0yxQ9)0Xhhl6 z!?&aUBrJqK*pkoI|HMF=2XbYbBNs4+^#mjqs-&0Oik5$3x#IORKwoCKHCt z4hsAA1uK~#1O@R_R!R#(!P!b&l0@Gp9uGc@=)4Oo-0qGh7|~%pIvy)i|M?wU-jOKO z<}P=kH#8;@Se&7(qyf8;vl7mzlx;#$`r7^@x3ZghBFwChquvb=_RBP=){1~j!56- zls4GNlCrVdTTog#^F#yx*T)o@Lu;VStJoVNm_~Ris7RAq&nnPLrMOSw^YtkH4T!bv zxfXE;%x2tQtW{C)rn8tI3(pk`8$;Kgz(J8XL)>8*6{(ad8}Vk4U80m3M?^`eK5smk zL}oaxD0tS}%NMBt$59OdKHEAMhVgB9zMm)-87iex*gz#kQ?CT?0l2K^>uR@Ga5!Sj z+jE@~WU*_J!a&Vg;}avp_#?yg2^=7^qQImEE~urDzt)Sgnn7_*Oju)%$!(|YCMMTV zF1GKuyYyBen{j%EqIkw*dkbQPa#X%W@o;}jD;Xw@8z33lK4$|y?LA%VU zVVw#DZe#+q_>);J3ZjZ&uk9vHQmr3h0G2hqV0dk^=5U8O4442>|z#!*jtnvha)VK7DU+(bFzjFXoX=-^29an!bCMjlGw#_2i4uW=r zF3_6x@90p?;6sWkn2;}0bHKmK>FnF5)r7ozxzNN0taKpheUEy}%7AFJ_JnJaPJwN> zpJE6YRpVR+&ws=HD3v^nzIDvbP3*db4|e(8)l*Q2et?bDg2d)V;z5PN%`<+OIh z9%`V4VhMUr{B}9s6`<^*e^5w(4iL&lWsv~4r6!Ard7oFR)$h6lIcKc~-7)@CLXlgnD4-w4k(rHZJ{+czCGz;4cbd201lk zQr+ZmavvpweycbVP2q5+>Nr*_xTZb)C-Gh6ulz_@G8}um8Lx3OChb zoo#nNUv(b7MtV@xYmU1~>_yBKpWba53;$jibR&v$5XuIwe>Bj>CAsD&z_^b|lar$c z9Z)h19x6JJL6h37i6p>|vCr(ufUsxfDgo|FHTzSllXLt9zsx}Vc8ASrMT&IvFp5;O zbOo|zZ0Q8cSr3paY>_DSf*;J0-K%(wP2wFMp%oJpG{6?rjk3xA$JPFuXavEB5j(MA zviMpt44Jr;ST_tV4xU9~qZ0CC3a-U=o~jieSbDa8t{*63QxbMfQB{2$G2lv5srIbo zvw?4>V6l?jcU3l@IDa41^h_y~xtYXTm5Yo)e17YSetKNm3=n>fFU|zEqQVoro3cgy`?zGw_+XnaWShQ={e8E3|dH-{>R1%+h65V^DYj)c3*bVJhX$9?w zuomX7eOiLPzJ|&RtQ3#Ted$p8aUyFQSZL;tcYyA`1U_?|c$n-6#NA|Ww`ZTDd@P;o z_QbJVK`dNv0qgOS(gzw5N^Jiant}=3=w|f=HgP>8X5dh=Tn52VQMs)aYXkBiBdsED<16E*~;GngSD^#}r+Ql*Zk-_=Bl8nOx4`zTkiiOMjk z5qlBPVd1v0yD?X4SdS)t*M`+-b2lcU4!_cPs+Czg0&*st^OdOb|6&LNN^rEkIw)Z5 zGK!OwQjGra#=hC;JEZ(XrgncY^qI7>^K&jj#$931Y2vL3EkVNq)@xe@rROOZ!4vm2 zM+skP3zc!dVp9X~`Ve2zF^3#A{V_fKlD!$yD`LtY=AMfT5M13aj(YbLTnS%S|3eSE-yX*_$swe79^Q9f zZ_i3FDw0S?BGTy`vUkQMRZKVtgDr2TZ;L*Gq%U~lp8YbdK4?13H{O0Yhi7@Le)1_E zp4JV?xGRMZs6m_MA4Di$3|XWFR03qk>R&xR5>@%#6~W9u(3okqR*Gb_pB9LWoc?kE zK?i}g%^WSXEq?KZ(pMjDyqs75%6)@7PUXEzwaeUDiF?J%0xWONUQwILq&e#!Hlz79 zLlWtU30%l;&mAnn&vwXPtFM0XdOnLKD$HQlZ3r=7VF}cSXw3c*cl?I2Oa3sDHfqlj zG6#S{+=DXS05heXIoM3c)JnA!!7@7dGrS>rp=@8{|D;Z{i7UE8 zi8OuImCp{ADLr)|Y8L{yw@^;z1sTqH=<=}rNo*lz@1bS@r6`)*@=L-|q}yFkVC|o` zH)^P{#d{f_)N$BQ8uaen;RS57Z|c_T$$K=M#tx6Y3dn{jMIt<}qVysv@n`StG*-9H%EHeLG`uQ4<^YL7@Z`|} ze|%I8lZ=GAx?`LrWgwmN9I16mmWeBvPLo||ZO)KlLwT9#W{1z@O7}ngnj#C}b2jb{ zF&FVU-8#Icxb@RkD_3BbyL|Vjwd!`8(DkACXyGI_UZO}#BC37n)N$f|>VA(iTRdRb z@q3=A?|IXT!?|?{Gl788nV6ienB#fAGzXY~VUi}@ZgwLJqxt(2fI2Z!;Te#OB%h;P z*-f=$8#JJT_XENEox7L~Q|g8)`CHNE)BBkvZ!{ z24!B5OqlzmUdV*Vtc4^acfu#u35$2~gkhL1D*Q3E%PH1SFYc%!J(oJFsKvZf<-7=8 z9$OYjQA;Jrm!4ofqAM2cYF2}a@A(Ft=aQmvyg_dbGr$Rt$xbZAX(sevbe!k z|llWZC%O zv4rr3^KRI>;CQ28SQ0E(lej-dxPsHp?a^!)t5Yh5YU21he;z!-9o|)zG{=nVm4R3& zG8{)r7Y(I+;kICjFY@|Q2dt+-tPc9d31Ao+GkXfHSfA%T;>+LvosJJ#zMbv z-?lLlC4>Dv-isY~2A*t)Y;SJwreFLvm%xKPFM{Muol0zbZ+X$dd-fN@`?JNUx4dH@ z4O(WxjmqNPvTfbZ2EHW#F5F_V(X=;S*w)@q1uCwvdZW#EVEW2m?#khJtGPV<_wpMacOtHL;m(p+?tSw4e+&Ejc2()8j{z^Gdr6I`-$ ztfI{HB=4^oTK3#PTz{jgVxh8-;&C9vJ|q~nF_G{FeB-sSS5Gf45n;+UkfPb_ai7fg zz#jU6ba+s-0-!nv6o&lC*~^YgV5*UJNm-vkXr z#Ni9rTYKCd6HWjjIgwP*DTLg2JTD^=k`_2Vr~H_bgSRkoL*f~#mmJV1k0ak?&C{p8 z;qkabD*nY;X*=r$~}b*PlZQD^BB>H#G&d{yyzA))OB>2*!x>360ECYbL)Jm zlk9C#wj&@oobsgQAyjv0u*Ch7#@?1wU^- z1&BGH(*uqV6myw;$(Yv*;Sg}!s@kvg>Bu1Beeca^j308&~BO5WVCN3=F`3u z2z>Iwk9VZ>w(@pMPxOUX5nFL+hyy{sins344vv{SkHPj+w2RnSLxOp)XY-t05#Abh zACg`q5+;`s)e zeWO{z(Epfx{tW7T#izmqWF`8-&6H>Fc3DF1sUH$gT;yz8j-fTLqz%W@CuQE#{7yLc z2NSY`S%hhL==WtPmPk#0Cvi(0-#G5o*stQGKq;N3Vue(Sa`6Puv$d_4#c6Yi11Gbj zCGc`c)wX(7hc8|zYTTc?Gjjbm=L{<+Qz?niiNA%psm*00dHx$^rpbZ+k47Y)7Gq_S zUMDZ;3S3(he)2jdjW zI{#u6Hgnh>+ii46nY>vPX)<(~RS`;xIz98gi=8q96ij|3%?;05;-q}%W3`fm?|Vr3 z7h|rQWTtIDKNraPuLGZXILpKmoC`Kgfz^8s=OydDDEw$bpJ`t`6-Lj=v|mgv0H`{} zV-Uct)x2<>8;B=&D`96W=j_^zl_JH*Y=M=P+;ATo7-WP6h?Ve!WY&&*r%M8L8Mc>@Q6zwvKTms~Cya z32WpoUie!MRcl`w{j(zA_I$Jtv2$e4@m-?R&#K3xtv^^&!lnDi+CApD_LJ>0%VA+^ z$g-nr9OtdiK&p?wY^s16b^4nEkrqYa5c2SgZ?eujAd}Iz3v@1&fue#2c%+zLUvh`# zQ>%ISEN!5A{$c>=BuF6@sAAdjgh%QNhsC^_xlzVmlbES|Ks~=A1t#O4yDX9}Tzd zJWOv$*M0gU2f|)W`Ul&3ZB~REISVY~5E#w5nD{~|cI9|A@?|kym--9%{nlTMH}vWk zeRIz39T%U;bck9PJDFzK1br!F~%5 zuH6oRCVq9-x9@z(NU#Pxr4@1O(32RCwbUDl)8#yv46tK187fhxw0{Tx+-5mw%Ie%p zszPX=WQ8%z&~LK-M99H{OrM-Bso{g$TNPf2S6(OI|M+iu-#ktAzx?Wq^&&Suj6J!e2|LDDga!uSW5 zv3b-WF;7T#k>g(sdr}#3yn?}BlCX;^|1GnO%)?9#EX+r5Z)B*ap8e9S+nHgGjVDYh z?l63IkCNFp5q$QTpC(`BaUcgxr97^;;oF=} zvR|^A_;0Jp)?<%6@_SRe1xkiLa&b_!srmhW+rPTR`Ab6AEm!(`eq85{%PRQV!*&Rs z$$U23(?$PCy`%{9Lwu9fRc!=rTJ^Cm#!?+VYGeJ_JiKSogxr@qB;ybKMx=_ldxJPf z%pFjMJw7GHP8rl01JsnKyM%@9Bw@aaC{N!e$HgpAiZ_)p9N?WXFiD}F2He6A1i=#x zaWew}Lde(G1OMss;u z(Vg5McI!gKr9yXG z-rmRQU@~V1eOi5z5~lkmjFY{dg9-_UJ4ZA)k>7-XS~EPAfeuxcda1fR+`AFbz0dyG zhRhuHKcFO$8{9Q9?*bU%5KTVS=5HY37dE4>PfIQXS^r`%@&S;|W$)B@`W@9m(S6zJ z$0UN9DH|YS+9|bVb(}g7dHV!^0kpxhG>oBl)-!AeR7!HRvB})lNhvM{cq7Fd61H=e zU?eK{6-z+3crLJ}MUnNy4&XKN0cH(JJgd5}J!tYC!HwORfV7oVF3L_?FBCZ7;lWyu z2|Ih{bOy7_2yKXc3XG<;$e~Two#plAI?0Nc@*Q4!&TO56)yRr!GTZsF=0`0gw9`TT z6;4VcrgQ@zb);;p7JhwyO>KQV6aIj z=L!-z-c(fC>Xl2#^6>j`vBv~;TrKTNA7q(ZYi@J68^}rVl-Ip2g7P%RCzrBY|B2GA zaQG?x)M?fek4q#fiTEe3yZV6ZbCs1rh1^&C>rsM&&>qm|+7ZOo5t$yzN1<`E6D25p zhR4iPGYqZb(JU^0ZT%sqhh^oVA^VRSGPrXE435Pe+1av@hQGoz7&_bJ6JZtZu2+fk z8$_PC=0KPYzuSVTdsWOagQe;u5(iasvUTen1*U2JyHl_M zDMqcW7_ds1J*YZ^;G5+O$C>S^@0#pzesb z6Y`c2GC7){17@UM5n$IYvu~Y3iWoiL#9M5NQxH5X`m8zhs1<7F1m$M^wRH|Sge<{5 zGH^YKB6YgOz*6A4TERcHZ#=mH#sfY%EC-H4L`-wB!=LLJ(-UuboJ(7O|6u>%@Wv-j z>~5TD(|Y=ghX}V29PUv6!~l@^Jf@}Eu#z2BA`bU#G0^t+O`HKnzTwGBJP;R%h>A(? zwJp(D?|&&0qQ^^^2QT~pj1M0rZcc5Z%{|DHy!B1ZD@Ii|TxQfuC25o@;~tpEB(fEz zBq{4S%ren6ry?*c28V@T8k(^GY*m`FJh6n7FsgfUJa-G`NPTYLX42uJk=7Z|v26Eg z9yZt>=+TB6ERRz!iS$zo-EQ4fgrTRpJ*1#*iB!uQmw=$NptA#ZEzG=-%IWPVa}Oo{ zMJ5-UX#M4&r8$Uf19tnPXj!&fpgJ@n#zJ~R?W6n`%7Z1hMvVodO;SeBHWIkxLToLGYkaFEeQyKv*7lx&U^1;;J>nmXT%GE?>=yoq;%5$E~0)O(S*7akLh^#i5j zO|H98?uydp+t4BUl?DlAW=pa0ACc@DE;6Gl^|Jj!)=_eePD;B4Pcs#{>7Py>%>=G7 zXv7PHq3pm;kf9O-JX-f1w{&~eKEnSU=?TA)s?A&mIx-z1^y)4|@hdUyu^mV&9ax;0 z?6t2GbfLbLq%fRN(vIOi{)A%`r~9|&8R81#m2cmI7Z(KXBLo|8ffuBdQf6e$Mz0vr z7j4FPEc!}X(oOu>=`CITgJz8dk0qaJ+_RJKFn87a78f+QbK8pPUkk~8B67Ip|T`oHuVr2?|2un>I6hZ1q1erk)#MART`N z^1PM~^D34ZZx}}yTXU!kt)=@#Is9B>SN_;{nNgDHP|_Sdo>HU9;ZA8dUaY(7kZc&Q zzH)tJ5!_Z#i`a6Z9YJUUMTEw#B+kOvhCGrwfbx7^Fg0#A07%%+7kr_XH?t9Ms0p(A zYB*)<$jBHC<;Wt7Mks4@vw7KEWmLOKI+GukvmcmzkK|!5K|*mHfkliZgo&p(8kW-x z9H?jO1)sGyX4&-uLk|qyzJ_I`%KuJPTg74*iWU2@B8{|Jb*7+{4f~M_iZ^BPyKiD# z03G}OYIpzQ|C+nKRHTyCC#AuA$1`+0pHi0g$`mQ$D&RiQsBo?Pt4T4Y*1WcHirHi* zj3z7cH@^;}3a-vzOIl?GuxzNsFkq>A$9Ktd3< zyNri7DpE`qQAejCC#|ar!Lhq+hE#}u1B@GNrs#Rhx2bAV0h1m6xSML1eap^ahuO_6 z^?q*EB29L*)8jfLt(tq_$7jF zeIBAs^K& z!xnFS-v30IQBb2Q!IRT{hN>7xITL9&^eZvn07=F@5ShFPw)Q69w8-UQP~GRp^K?c) zN!4>(6?Hh*i0c{(8fD@)381>3Q~UU&7hrwG@d812nX(^2Yb)&V?PyQ%6|Dp`w!Zqh7r*wd7qhFk9HoT z?$MJ0nEzt9sL-*L6x^vU)!%O|H(~(6nUaA$V(&!~@3nA9FYmE@Guv(b>+5{C^fF`@ z!XU-b?j`L7Hs`@C?Y(9F1A3+$b5;tUnLtbve^eS&5(j20zw)N$dI+a~<&#BXyDiP1Ml`9bvmytN^<-^1ofhO-|TgZQf>A?3khNSAoCAliUP~Lt8Fbc|46sW&36CST9j;L4eW>z)~fr9e(GNw`; zm?EP%#BGt;u@yJ*p<*A+J5$HKhY!=$s!0p&*D9EA_&@uQMu25%mSRM^7Cuj{u>yp* zG{@dke*0DbjyD}rtK68Hj;t+52dM5B#TGKZ8|Gt)>m9*-C6D>Y3VR+gReETy82}p; z{kh{QNq33XYwY2tukF^cBXgX!w5|!_{$eBsuX@y-u&^z?5R@G3iH+$b+i*HYkMIV# zy!OfWr|?G7@#74M@aReWCt&$o4f8opN4Pj_^|H!`sJMeKGSChbR1`vmGi6dqOuatu zZ7W{rfxVIcCzo(;2~;=y2pDxXlJFte>CUnCETyz zL@kB4myJlt1nHa9TkT5}i!LeBTq#CUa_IG5eA4VJmU}+)hG+7mh9Q7NjbAkft>UjGsr}O=I$xlLiOj0BJa2qwyhEOM1caj|r`)~Yr7)DAhDaShz zJwDQ3aDylEp4I0YjonXCnZG()Gucj!*Z!EVz3&QvYiaG10DaR&L-3l3@dSx5Y|fDt!?<@ip>U z!6eZ)N!68D&VV?Y&?}v%onMBcX{lH?Na}RV=^#p7gO7vL5LF@*DvHO#98D?=AfUfWsxcLlkKf>9_fV#UELg+3U5aP*r%=> zH#7-7%A2ESjh;!>tvN9t1Fc=t{XJk`*>9GiWGx_WEw19pm`9_I(jr-q@yLThQ zeq+obNYc)fzl_Tu_!q-12sa~q_(L>^i*j*ovo!&z^ET92hfrL6NI0D8JO5CgnyQh# z3juq`;od%}AeaRaAas1~rR57bo$j176_k{*>;i6FWIB9|`i2w;%GcDse6uSV?LowHUzuQyV zZVDof>inTneqy71VTP~1o3Z`(sg|#IKsSAv>x1;-p zKa4b04&03ct6Cp;Ud!SQ7BZDN8BC3O^*e=gs8JA~%1d|6HZ-bfVNT8+tM&j$)_q^I z*$T45OB)d!X)hZnKaF_~ra?im+Ovq%{?4Pu!Y_r%flc zFz1Nn9an8jPh$&8WZ83PB$b5AYXyCwIK4{WDC3wZZh}<1EUy;p=kGtNxzdH_&H&Ld zGj>MTQH1x?7EH>5ap@o@>7Uku0_Zk})NM}+?*`GsP)5+ao1x^8z(GNuPBRjh+aaQ< znbZ|S?R~mgQW#-AEYb1S;SKHA6jc|d&BJfoJ0Q^wyXTzp3vAj5vq1$~pC0>Kv5b?lsFK{Pd)by!)d*XgTAuoXc^FZJb$-HZ zAbC~ltDjvzbnLaZnt$1nlHE__bPw`l=jm*H@}h-q=9;jS1B*xj01GPFBhMWmdpmBS z5+oKC*|8yLPZhZ9-ue~Jo9{rgbV2zC(or?5#x>+~Pou7`G{k6NrA?zXM9?aAg%wP+ zySGp(340|aM&Z>H6^y&pzdGGiO({$zGYStSP9(Oa<^85T7@#PnouJrK9{f}8?B!6a zE2GsO@yMn+MBk{#nx3V07i`>1q%!RQArg(?Wm+gQvimnB_#SUtlqrX7T#!F%>UK`J zj9qaTpV^YC^}BLX3J*FubDmlvkdL~33GF(8zs*Z}?|_);FLs`d4vz-P3Rt=%)KyWJ zNy+e~m7!`vve-HlhUzyHa`z85(Okskl;VPyEbYXrXhLFRd+u*)C??aG-5Pyr$qFK7 zzTtMQOSzsI20B6~>A@NT`*u{I)Y77wt&_Bt4+0xMa)kPEGrv0xa zXzOHA1j z>$M-H<3Q!x*eU_v4c1O7Wq31DcVw5`A-9%Rhnx>8u&k=N#M7(JqTxu}1w4|KJ1*c` zsPj4P<4>q1g_NpZnX^qYV5704db&UPGB&Z&GPBg*xpgd3XGY2tfSHOe7D5tQ*`o$f zfHrAB)(8oNH<6;FYL@|Z=h!Q$zS5UJXB6#qKaxfIsKWII+Eu8CDqGE{D!P9=A_C@J zwYpDN;J;cU+6|&!y4*5Lbu$cwCD(E)ZE7Ut*A?6)cxh z8(*y9@S{%~PKQ#ZY1IVFw&qjSWBD3Nk9hw8{(g~FZ8(ILMul$$s@TjV7pb@?FBqN2 zGl(qPX3v(s0ji-l2_%Z1SPX!Z-WkZ6fxPJIFnaHPp$qlZ&#pjTb+1!T3(1f|Dt{%Q z&-{^IewMxJqJ_SVHSHC18a~1-m~caWa%^?!yqD^Qkdb#KFr$*0B`y)-SAFy^%}n?0 zIfFDM{rk>dYgccsuyIp2Os=>;@o~#RoM(`tlQrf|l`xEOo?0yO(h#KphjCO@h!s|B zsC`AlIx_$zWDYO_Syi^PK8$JKO>LoV(i=jlb0`awMVlG{Kat|Yqz~jt7hI50rA)TB zOMpD>6*p3wI}p~*4KuS!n+S7SVjSMusUce@@@^eVteV*dh+5V|tgCxY?FQ2}rWKULqQ2qP zATD8OOYAz{*exn#IN6nU*~}4)X`hm5VR5IdnMQFBRlZJ-$5iIWNJ+k!N&-fSLKLhU z+PV|8r36_(7H6y!Q{pxvUns3y)md&E3fa~(OdNvb!41oxUU1%MbuV}L4xzlc>#Lt! zm%B#`x^m)65(P`-bRY6%dHP%Ts)`rE&7^j2QKO&$LEjB|jpL*XnIF{=L{r`;WV_~t zc&qbQ{roG>T2P2e7D0H&0fT)r)TvSWpq)4r0^;8I&EqR+IZ>9`osO8edI zqofP{Mw4aBvf+|@HqhD>()9Qtun9|7Ts8{cQOaXnVP!eGmPwX! zjuf)$d}%VC)i{=js5Ul@gm%P);-H?&_pbQxqfUF&?wFxAJFzH=uIil-eqmmpNc*(! z>q4OUt2{Q!CHX-?~>S55i4*LO?n{orEgEO>S27#=pN| z{ZNS%_s&eOdfW9+I_dl9S2}P8d7N>e*>mq9J+D}QAeUAyOW(8WzvAPTgTHELB)c>S zbuFII+APIEouXZ5G^rO$sQ}c`m06HhX410FNd>U$YD!304WYy|o1sq&HyU$$XMDXo z!=g`SidsQZ`aba|ii@pugrdDg`)${RtlNtXEy5n6FDSXmPWY|4jKazi{u#S~f4JI@;>V9@h zYEqR>%Wm+p=78I&(ii;@@A)uF7Z|*R>ql3nG(Tz4dbcO9` z$vxWI4$iET-c$=3@f-R|Av7(jV?(V9Yk@AlL`nP5PQqxLD62H7c{ZhoE0G@Z_dlb)9yvOB!eEZ8?|aXPY{`85fx99(BH|Gy?riw zr9}sI7Gw^~$mr`B#A2%w)Le+^0auazP%>^!%#5Z?^2!`DEs%( zjMlFh*V^-@`yF&Grei_n+U4?8O#-)B8O<}q)ZR&L#Hgm%OO{ZpT{UT8gU38)*0i$X z+=aT~L#{7oPD`1-5vLWxa>AD7sFUw)DR&7y9&pqWd@XzP>GR1rrOUeN<|WI8Mu_F% z!jwFcgg)6wm{L%w&2F@9_K7W0%V?*)W}0!trWOJVo7G)7RIP|S=z}VV#KxTh%{H4> zlAiRUw3AwGBOa7M6j;#dy*BtKlu2dUCc#OlHcZ29kfs~rZ5K%K7fNpP?;#k~Wy%5@ zv(2GNbAM)EoxpYxr|)*}+Nc@#a8i>iP*zjAaAjLI+jORFLyZV=l2E$;0JMwewq&iG z$xvqMB_&EJD%(}pm0_LFql8qk61)Z)*+K$TU*7og!=7W*dW>6q#_5;E<$C2k(TzWe zWdkUx{HBNgO0PFdW9}6cAB3CsXP%E7R!9WHJC}2Peo3d>bqK4l%oP?K9x6RmZ+gA& zpsc- z<(q$uRuy`~OT}{vQ8h>MW@+vR=5l3E9g(qH=fI6Q=_rh8>PoczS!qoe1m~bs1lBp$SCvxSNvt{B#OdXtQ@n-Bc!3bR7N*teCZFcQy8%yn_Dr%I{NK;M|6h)CIv?f}2eBPS3f9?|< zDp*n@K?}&CS2^TlGBY`<^F-{yx?8z~j}on6RA?u)6f}`lLQ>d6VX0)I8v#M@Tl&KJ ztC@hS8{C*jIiGZWuUwzH#?hzK;WV4YTjexI@>e|FE_;|ETt5t7Y+J^ z*;@Mj-xLy|B<0mCt?O?4ReH7WeGBtbz4K=ls7LSISug71d-Vk3+F5XdQ;s>jS1`; zT8k~XuxLtJXB<+osHo((3~g~PtL@hmReoIyP3rF#7=7dhS~!OtyeQr#4cd)7U?^ch zvRlnKOp)63!c*Vs2@iCwiKa$`A7aiyrwXJ z(2D`XE0)a!hMpL|{cUp_;?d3l>TK~$`=oDSLlf04?g+%t0mZB5R{32K{FQspOP=9T zKo_D-`+iE%wkiQ46%Bc^j*tm7{$hr*Df0_BD|>?dq1AEf9rYMjITLBD@M?;@YBLJX zYgj8SLdh3NyISo;X_(N1?&VZnbzEwfDD86AEldOiV$z{IJ1Gc2t0gI`N?9*DfZG)Y z(i1U*a??!xyx$bD7kXoRF{Z~38|kfHgHvld7bJ9g&J_mJWowPvfxYg^UQepf|vbc=}N$+`k2Pe{) zd?d4yHhK7B;vYT)X~@DQub+a;M4xU^-rJtoAXV3nRdZqb&Pti5_{&- zH)*nAqH?PIo{HV69P@O!?iCt%WkZ_woa0A%?2s3}8s~Ew^xi}DKv@zFl37{t5Uaku z>#zIxS2}P8d7EtLRx{58_Pt+YsAW})t@=9j{{Y5BXf;{sZ&l&4T0reG!p+RG13`>J zS`H;l=4xpCw4l7y%VZ*|!7(<~o0yhy0oL3^j`HjNlxf5%XvZ^81==lS6w!sleIEhZ zl8}|_p^l?y+1AuhiPh{QB_R|gIF^^5WyL!(g{f18(`{lrX3d(Sq$-oQ%26vjId|^M zPaJB8DT3v}=R-KpIIBBDcI%gL70mn1G%~JY0qIY5Iy7kll2lUD$g(L%X;SAC)+>J7 zCxF>aHjd6yh@%8|gIM;N<`Sn$2F>R2Wy`8p`%HRKru@hjYfrU#1f%Yi#TrF9S4iOL zT>YGAyt}iFDam)F1$Kkxo&&Um*-a%IHWHjB4s$X$?G7u7vwgX7M9RAILu+4Vb9;x# zpD~L?x4{r$zck+Isef+cTSnhxw5XLfn8-$&Tr|7ARryU5{EqO-;m1Gn5Od~jPCCFg}B3&gYZM#JN>Xk5k;*wO|M5`Hsr8KpzEIOs8 zNRrZ~1`WoURwbLHNG!2PtEl%6nH?QjbBOU5uGf~NN2!&fqrMiR;%KfHC0%r=t?Wu& z#OI7_HGwqMkrb7-nrbjbGE}s~Eo|G9mc$~UJZtzdM&C6wQkQ7~H%d|>U=G`+N>x`= zX}?OLBA*&5Bf1f2%}R;_l)99AlFNxv zW$mY98`m&NYBb*E;!=tb{8C#b{{ZWwNjiyM-=&2sWBSo5QfZq-LIx2`-7;)dIb$=p zxY$TbHcL+MIV67J$5m?6g;OrPyqQ~}AxhbwH}prHeB*Q^0-kW84UO}j?qASsh@O=W zp~*)ZiBb1JWOT}c)WU+Ct9+)5Hq@>YmrI_hjXVc&O^>uZ^m=&05+QbmcN^I2(|T_q zLL^ALl9^TZt@`V_oUZ%mS2}ufoJh=3M%H!q&uG=?!%)g6C)y;j(gt5nMuH?wN)lmN z6*5S6TK9J58ChIQD5(has;c~)r>>=1CmitIc&;CHPBk|%l(UBFnpNA4G;sx1a!tTw zYYvBK!0>c!@0!PJt*kX8JKvVFS2U!lu<%2418QrF2xwpw*$$;=XpL-gn>HdtWW_YH z(Up~D3YTS;)hyU-ikSPq^~DQ(`i3siS>Beo=D`zMaRa`cKn`@SoMod&*)&w$0YJAD z6MD3;Ijj}Cvr%otlFdW|PASPWjnizUNSk*jCW_XAptCIVuXYr~$DBbxdaLrfGx;LP zG1BL#sMEg%GwpwRKyl)jT0}Gi6O3!)_7Oo@Op=PjBwfb$Ja@TW_pq*X-+bQ#bRl`y zvM=i5d;VP6#46JLAgx1ATXuzWXEKE<^A4=LpNzwphfdBKcZN}WNS7hgQTOsTRO)QC z&Nvj??hGc>-o|A?Qn_TQlXhcH0HzkWlqqsjxLZvg$=URB6WSU`6B^W8Q?)TQ8Oq}R3hcM{{W1NQn8uZ zsi$V%!fstQysNCq2ZAP_~M@uTZaijhYXL{9T zzefpF`)L~~fD9eV{>o8>ouu6}->_6#sohPi0~Q6R&;wlK{Y?w4T>k)Z$~ncMzclB& zOSWw<0S0J5nugb#w#iyxX6&f(s^2T4jyjQK<LZ7+JhkkKj%|`&aPevbl>954fwa(BN+@gl$%is~SFdZRWxYatJ$~=}aB|Sf z#rD=#%GS$ZLqHe>ZhKFcr8BJ?4KB#P6)HK!S7|m)%+)|q>rc4EPQiV4v%2d-R z&tt3ARgS zTL{ub_Y&?~+C>fJE}+VaUdqp~<>3no6(u9OKnh(b0_?-Ns$t|JahE`IXjUgwRY|`b z&yqCA7$6`!O;%Jc0LeZkgCFt|d|sA0MvP}^r|=4dHxx!&?-ZnAGA>5pek|1rzH$Kf zfE(x2;%xjhrtCO`?Bo4y`QY{16Cc`l-YIY#jie>ZmORSy>7C9>-)~p>Z6W-L#S*TU zZ*_9hM2Zy0vs7sP?v$q&5+SggM!op&)d7EIrw?b^c#JQ`QSmFj^m9DvqVwz!Q9)QU zZmA*NU1O+Z{mM79(iidJ%R;$>(yLk?2)da#xP+xnI@(mZL?vpcr6e%eZ50EI?6ZG! zvr1xST`DazkwXBYWgm}Pc&3Mi8g&eQiyt~zIjK&jXbPo z!uE=yI#kQ96}vXCyVBzI>4f;bF8YzB0!0mEB3P?rD2fJswOPxK(=BAf07Xv|No#qd zBo|)9MA>aKEH<5^M7n0qRG;lKI3rG=8FVq?)0_N5jpa`LXkFTqe`)Dv`$*Bv1<(w# z1ge`#1tBB73V5b@pzcD|g4VFw%C?d}CZI$0G}+Xnb0|rdd?ZUj^xN~3AEN_#wd0fn zbx-@}I@8WqiA&zSHm@FCR(iAY`a}5|@0Uw^;as%hlqgeb`$cX#JYCFEAxTU!1*2Yk zY*5_$M^<(!^^@v3!0mimeihD|Z9I@Lpd~%2)Flhm>B7Fxom>d%89#viXqPYvQ;B^+ zPdb8jg&4%kS5&iPnI`tJD%RQQm1gXdInJ_-;Z2!|sbrfwPhcYIn)D)q4`Y2r zEJ?bh#c4_u(RC-Ir4<(a#;o6|CH;NKZgU2lHVUF*i3gTVJ8GjTDDKUPXG$ftW2Nee zUl37wiKhV$I+Q%MrP;e7YpE2fbCXf0z0y`KL~$ZP>}@=tshun@sHR&1T`ya-#+OQa zhGDU?^Fdrk1aEEBe_ z3sy9j@)r${OOUQwZAZAK3XGzoNO35nLeK;@fdL>Lct06vp>LCPFChvDJ?B(e7aU;ii_tg-UTa`3rt9)<0o_# zH;Jc=XIMtY7V4XQo$!UJxOm|tql`^@ai`UUnO}iUb7CtiJ=b!S^l{AxCv|rHPb==Q zNn&jYebuKW({b*nmJ=Y+OL7v?YvM`6Evcvu`A(p0n6z7Ov{P{*TG;>z%(#YWw5M zN~Cuck=XL#)4Ve!XKy&^+aXG`DkZnndjeJA(TCl*lo^_~9k8JS8Nn=0Sv1I3m7i3Y zM=S3;y0L`rT}R)!*4H^+V9NiFXU?6zLyQ#Jhak}a7?PwjwUpC@oxO zYVLp(!6`)RaNr1=agB=9+62`|T6N1|8fac}TK3ba+EeUEn@R1OEhp*=Z|P_OF&b5| z+)4orZ3+bv=55k%F_ztsc9Q8iRX`NB_p43N6@xX~G<7_1>iFyT6&4H%w3! z_C(rVoZ;;C2hVloiuynKbLw=lZuLC0s7G|B-H!V<=qvk`F7C{%_Oz(x*7Q0*8rKSF zsBKd_G>0nzJ-Ni7Oe6%eH~^&xSCy|-a5-Cg5tmj_jq0iViu&2-E4gG@-8eqsma%fY zoNF3Tetpf#>2G^RG{VnuOepphg+`D*E+XzWF46_?7T1IEaYR?xx>Q+NIk%GL7NS+C zenJ<{K;t=b(kNF%?CNYiB0=ca=&pZHD$i2~c}jWU9N#xM^xEP6dSokou6a{w3L(fVASr z3~Bp`l&wfkp9>NR&tW!7-_jq+RBW!6z2S{Gf%NpprVeYOtxKGkaKy`}Y}%~kzYCQs zcTgN!dv!%hx@wapaoR4Hm9td(!m0lNsjn5>Bk}65O!>RGfI8;yLKS#>gx|hsC zp&ZI>)Q>e&0v)XjyuL=5(j>6>eWkXYG~COgKdXWnXA+V@IDJ+uTSh zZ7GZajd$^bmYz{etBX4VqnZueD!Qx2^U$tz?|RyT;)101%#xLAznzg6oc{nqB#4`;;yuj~n>yC-KMx!!`+O$cK^=YwB?BJ-geV>p#P4zyPFG-D+&-I;9!p`5nB6wK=b z`E>B2Os}%ct=lYZW)wH=Ul$ZG}5u0m2k$IS?<=KC8yV$x-#x+5N@uO{n3pr zhj5izT3y13)8^AN4qhhIJ3vsoRtl_HG;@vg@cffyw;ZW2Hl8STBG;*5-S*&{@pCrCrO!H~on$o`$PLs83di4ptXG{G0 z_ba8ZK=RVc`~Gcf$_hysp4e<+c0BYkHo8RpsLcY8?aA^m3WRT#;<$mS2}OL zK}i$@x7yo6Hkz;JB$a8Trxtog-KVV@Cvp<398KCpp~czc__ap9xSq3eyGum^!eV5AC%`V^lBUukvXlxx0+*)J zJHdqns-l>f8A_C7YQO*}jy;tFfm-yQRgf z1m8LqUA%fv3$Giz8{@0Cl+#h?p`X5&07S{Nn?+_HDr&CsyS5eOWBW9S_D8`i( zFJ`_bhZC*te=i!l@1XOM_ew}yQCa)_o@&*b>#`#AnD~7pjI{h{fp)H3bR?Ig&e3ys zF;==9c`8gP3&qT=;VX7oCC8s7Q94u~ZzkSAIn3Nir^7QM&BYO9NKGpA;OTBlQagna zD&pwu%AR|8lvqoYqLj>%ob>2Or?YOvtJ_5p^&)xnt?!I!#t^y-%;hKmTId_+ z91%L5>qh;QR*(}&-Hx4R)2RnJ*3@C#lPad7rh+N<5j1y3o=NP>QB;*UFR)bd>nY09+PQ%n6(*J_5+%A1(NWw&uk z9bU?=@if?9m7Zs-^i#b?!tjqZSLBz}AZ~_UJ9exiPpB7B8jt3*&(9Dxoh*AZ%SwC{ z!oJPxlcVe=2KaDTw3rPHb=b8k(L^J%IGkJL;5a<5Qop)-70#RQ+D8QeD>G?HzN$_& z%6e})jm>nAyH5VJH>TUUDGn*KOH#JalBlW`X7bevaD=U71t{lEx@Od%lujtLw9J-A z_NX~|AMH2FC}$ZN&M8*i21`{q4Fw!3=;>p-n_etxADX3np4m%8;?lRSl!`zb7t)YI zP^qMZnXD1ob(%se8-it;w3Nl2liZ{yjN(%hV)IT93`Glj_=P>DhIHl=d0=u*hy?03 z$x-Q0ec_ET$TV=32_ym&rxH^i5I z*x^fQ#=eo<6nz^+m9OTpyV%h?WX%IPci$Z+WebqJf2)s4x zVl6=%H$!{%AOf|f{&i!IrIGd~G>{#}>VDBzCrEpetHZ~{NR5~=u2YRGoKO?AmT|uT z?@|?KoFuNkqPh52I(f!&_A~-hHkA~eRet-D_hW^Ql1;SiXmg7wUJUk)nUHZ!OwBB) z)*QQ&i^?V($V{&A>bz+c{oO>}HFXD^nQA0 zwQqBy+jbP2H>gePbe+{*xn(mb@mmE#j53s&Y!w#mFot|_FL!l{2Yoi2n{MVpWEDs> zR2ydMLupwPV$Zk;ZG>j!9X>7=b5Ee6oPeZDwrrgSqMbtC^>nFz*&1!H!LG=bF5?<_ zp4L=ie3ir8h}~W|(6*T;Ot_&xCotj`@{5nS;V9kNDo5-Pcg%|>C@UzITDWEG-5#v8 ziecr^nn9|gbDbjm^ifs#DVK`bZCNW#`Lxjb4W>Uwg92FJPHDnw%QdtI;^J@ zuoN$|t^8Cu4D(pIMT{qxI1y-1nzQnY>K#ma?Ti&f;&DN08kgp@=gyJE(!a1gw6OdO z`=wQIbbk!iYAbnOBX`Rjqg_(Qbz-8wK&mgzyo1dGu#;V#~ ziJh8do{V`O&iH+S1(#?^^E_}28vHbj%zDxE5~3v!E6p@$ZsGrjjWwyF)VB)mn#dGOiMrq5#+uL<1!%^G0OPHrmJ&Wx= zcFS4}*6ow!J)W}LNu^tS-O zR{}Khe(6HbKG@r~(qW`08vTZyX&gFm+0-~~HInAuD+PDTv_BVUavD3&K2d7{&mOPc zXXRJa2UB~U9%>fnuBg=CIj4{2)xBLS3scN#Bxgi%KWwE&kyWP3&Tp&4ZAUOK8sL5! z@k2%BT}CXc%!79nzY@Lg;aus))55^eLQLaWsx?VUt90G<1`v?PNeQ-`9JC>`a^UR6 ztw?AItaztT6ynk$BNm+?OQkuvNw}#7ZmG0p%k5ZAx3OxkE?cLO6QdrgAGR%Em9nnQ zs}ftH0UaBs@vYt~2K7o`$h$J7MDpwt#wf(9Mr(Ria`;YBhAY+_6`w3iCmBc8jEggV z1iPwcS+|N({`X$o+^;$*@$a;HjP7*pw}8>IbQs4_19v)8`2!kpC=^9-OI$Y^XyZQG zq5EX;yHhAm%Tw7jCloexB0EbK?J7zfnQaMJb(AJrw%SE2`%NA3Kp$jV#0EknYsvor zj$`9=YJu8O?s`L2^)K$6R^1+U>Zhrqv!~kQC>ux7_bF^-+-fyrr^gC(;ZhXKu54x& zOw!7g4k)TCz@nHT$Y+t{ctJcoiXg&y*1lDIp**3lRV%bmmv>a=N!1@Yr;atl>U68R zn0aY5-iGQzW!2`<{`4y9by-X{c!|7gkBHrR3PF{`Y>x8PwuJbV-+c<_A?}u(6G9fB zZ3#*>LIZW0Xv%#620BU~@!!^oHkLwmZMboYXeg+Z+9!}!w@_6BB{eppWZd;BL0!4) z?J5Ck3krD-B&n*nQIP#k->Qjz#HP+kCka=~;RJ)7wD^Z5m zaYsLmnmz`0hEg01fT60_`IE$;t5fFJv6E%QhNWD_U6;0sne|=YF3YS zL(6SFwG1n3usaIdU{jOMXR3+1K(}xjQJ1f#+Hd#Kn8ir-dO%)Ur0$6<`vb=*LB2HN z4k0k;`-}LA;Eht{s7}QJ!k1+nJ1wG=>2rnB6s^hgP!tR6RmQ3L+6lE~etwnyG{%xnR7JK7wShWQ-(QX8;`$ZGb8TSBV(G)IyZC|$dnVa=rL0F@~h zjgiG|S*=hCXeufZLs5<`qv*8i8{5oaTSaL*I#sO`USkx3MJ;zKa`C7QwC*-vMuyN8 zcQx6|RYaPUrXD|PlyPa+@nj1&=*h&Y?q!Rbt>43y0`{AC70Wrm*~B+xu;9q*Koczs ziD7h%YzFl6yj!U}>t8IsL#@A12%vzc*UMBV8tn(>ly~&2zLWaWKM3M1%76>fNj?rY?pj?e`q2gIHC)M0v?t_4KWvwf>6RFk!%PVq^pv9oQkB~sYKR0~&e zv%!#-Cz0Tx17efe)ZXUicRcT*8fiHwHMCtRB*;EI7R{K@D8{(2SEVs(xr!4Z6ji3u zC1nw&H&4r+E-j%-iX2VRsko?@QpFBoQJK#7nqg`W9tf?hnq*D+qJ~d*7i_>?&ZS@c zdc!`Al?YsGla{Of%K9^&iiOP$pp_*yXLL4rlD;ig@8S}mO#tGsU;(sfB(z%a^%5|a z9Ngx{3W1p2}TQH@B#InVha3!gyaHYAg`-IE)PHY#hSlQjBU>x*u|eWtYRx%WNC9px`%3=+zlC$As~PR4`U1Zp zE}$CJcJGQv{F)PzI!Z_yZ}p*46(qn8FzzZhDHd44%GbM`RM%cQnR%LjO?618@bfL=jfq3rv75@EZ6iQ8Y9Smm{{SIEIMt>c#ku!N zCbKQMC4TLi1k=s?JgO{-X71jk-&{y4a9K`N9aundcV)+0akn#qPEK!PG~tD+;+m^T zPdmVv==A<|d`|SK^M)w)6ghSe>NReCkI&{bv? z);4aV?XNRM4*P=M!AwoZfWB-AM^oY1Ma4KG;V` z>9qyJX=%;Vx_jmvIi_*Vw9e5=T#}0EbE>qGNfPqF_GX6tM!YM-h5fXueqvYdSa9!S&Q;m8l7`H%}QbexP1E(?Vi~tnc zEcCiXz!ubY?uJvDpzaSc6w9pMWi5EEv;nW5u~3J{5sE@Hr#>$vQRC{C&vkyfG(T<> zV_5IhZI2dRm3Aq{%Vi> za;W~_NZX)zypFW^US@!=0l+p&98DtbFV9~VpM%btGM-8o;ss>$R>eI-{*4yzA-R31*y5+^=QG5~+#YS$+agvrMWtP*3Z1$$mRJ#4d zk1+6q6u*v~xRWR;I-cT}%yedPmTg3xTl|T?R4_$8qRB1czfaqb=$K zX6lmDWb~#jLouWG(aV`Mp@`Cl@=5bNj}^3dpj_)g_*+E=;gu1yS2j{iCt)T%gZC$B6p(57`rcKOK(Q0d#Ex6)iSt%-%O_draewmu~6Q=XpbmLcf z)SykhX@KY4OAuwI{SPV{K(j3kzM=WVBtxi5-l@18ert+r$oC4JKBLyyMwd=eEP%G@ zL-6y+w7Ny(qraP4PS>Rk+KwpusM9VE%AASQS?!xa%?R-1UJi0 zAG2I3ej*p+ZMe0{ttR5P(S520GVLc6HqeJqfW1rROe>p1!2O6``2M9GN#|eRSvYO! z=0M_C3ah^I70yInZEDQWS89x_s>4wHwKwEMbf3C|8Z26#MhVLSNkyj?tW`GXL)%c8 zLzt$0%qfF}QPvxp+9a-GVaO34Cp<&gUzY!{>Q1N?1&@yV-e3N;O-fxYc03jn(+nA0Jb% z{zEFSyGuW7IG+u);JrC+@3`41V(4=hi=HOESKBEgI#YL-EnO`#lMJ?tt+9ru^?z&T z-=vXv34z5J*c)00n!ocZ<<>d2c8$;z`DVqP)q>UfZt96ohti+G#*G)G8|)2`bh@yp z^A7r`^)S3^LHJnH-icM)z2T$B{j1*k70#S-p2;BT#SuFy;6r+Yby}6Wrg?Os@KK{% z&X%SNY^AX9qyT4~#Veh_zrsz>VyIKyX!6rY+s!zYJy8f$OjttFjg@p`CO4U{QYolU zWWvjN7M0e?!kl^NRNGBXr|~cEe@pz?RhCzG*iwv0-Ez~TB2r67a%qhcnAM|5QdT3ECQQTK^&b&mq<PSHgQZ^;TZR=}DmB`v;*?Gk(!dWXy zUT}p)Jy#Qlb?K8J2}*bP^Ik65Mv{IvzG%(-?ZPaceP?o85fjw zwFqf~S1i#)VR+Qn+kV&PLGTiy2!y1@CNF5t5$4n%G_GBLv)!Ge5?<-d8+T7A z3XG;WSNGMZ>%yxYCvKs}if=gvn;|T>wTen@I`TYO^o2~k*va&6tbAiUDWmYI+eG_h zhguD^v;xMUN$e@SO!?xR%9eyDZ8H06Q(D*_$;~=J80o5&`>p=~ai)G@Zv{O%{tt&R ziB1&G+(z`g(oWHY9loL9tg#st#ZA>ICTloL)^};SX74_HIMU16#Iu1dP?d!qb$?_W zy81Z;)&S$_Klvn6UHnALr()fsPK4y7h~e7HVjh-Q+G=%!MM%1|$^(yfa9f*gB`%>M zg%Fi$3l@KK8`l)Z@|D@=IO;yvSt}X{JNh^{(9;@+=j6TQ%(BvIOm0#3MC*Y)fDp-R4= zRjW*!KdR1E+IH%Ky$+N&>FCje@m3)s$&E8%QoiYhT}dMMxz;umIMc|xczwCw2;Q?5 z{qvBnbm4jMjx9fDYI3Q%Yv(GhFLgyx(ucxEj~|X$;8JjIme<|Qg4*hdD43Z+Xg%Q~ zvN5JKn?($SO^!1)bvE|Vv^b2BU3c;6;EA6%?w@^xx>vU=Qd-uREOU(&b!pk+JH5k` zx>x3%mT?!AcEH$9UjmY$S!9Y#SS5kZSMWSb3 zl_lBagsr8L^$kT-yri%xR4^yM*TtaXP5XgZmm8xb*XTjwyxh^llN~c!|6x(^k{?j2;xGLR^FQV1&l-F zLw2~{b(#DjF+d&(MVh|!^ZwQEcM9hsahtJHwE9nY${?vafLg6b`C_Y{ls*{=jPTdXhG~XR_9`$0% zg+#?+E$+t&|Has}fL%~ieZi40KFuvD^E-%aXzpWWCkTt z6Dv5FQ(S3B6AamPfoiOn1>M^zcD zAxx<^3c1%LcBZUcqc#OIXZ9^1E?p@~R^_(3p55Z#obqbLpV#i&$gmueH_k&*6EwEItDYd&Um`z8WMJ*?WFSuz9ajt=yM)cCvQ7pP4M*KvY_d0VkCn!7?M zdV*3)dS6x2+lsWxCn_=yh9{1*qNzP0SL7=6y^^C%RHdaUj#p%szV(&}q}61Zn3%6p zqJFPWE+`^*_2i;1CUB2`SO zntQD-q|*a!teXNcvwA?M>H3Bp-Xli*(w3XekhQkf&@j@J#PbSL6w-=}x{F%^BDy~+ z&^vERTA_WDAxR8>bgZ2CBCilPS>Nqe;7NHvEi08A-#l?DKM;bG zG%+)}*B-$d1c9eWyA=QRlGO8(_XkD<7COd zQFZ3Pm~<%>IrKtmwE{(Apbvdi7Y)}cDJyYLxD>3-LS(|{=3D|{_(bf(W46sH3P@RX zu;eOH5ia7T6W&uK%4+G!8ELIuH8CW=EegD<4BC25bth6(m8mLXN*L8{G{MyYVp_VE z#S>SvAROruMM-;H)7>Ln(~5?=rm8FYrb3}k+n@?fWtlimrISObtxGmhUXlehX+kS= z%}+29z2?*xf}&b-U6~eVff(Z!fzH>DG%w10ir$MHM-(0DDaiVfWjIG1TI%x*gboCOlmi#K z)^4a*65P2`_+3#bk1D~!0JEqf<9dR(q}@Y&1l-!>fU++F&<6A701`(Q;~0Xi!W1{F za#RzNh9P5m#A9Uyg9zq?Wa3e9lwD(b2#iD&;w_9F$UHHR3b&}H>J9G}Bza>Shz8M- zjiR7Bw8L|=4JW)N?cQ@{4MuE^@tTFqIP;l8UsxMenA$GQ%sSB%(*Ui)^2kjzy>~p@ z-}^qUTdT{a){EF`Ma8B@VpF>{izL*nt>&w(EoczLtSz-(_NFybBQ?|7RhyL9d-V70 z`}6(%A;upb=XuU~UiWof_kHe@5=N8XB$%)vpF@PPj13t+_*M#>dbs{ZX*+39rUwuC zPb|-El}p2*Sy@_H`d>erh+pc`7oX^xK>zZEN&UiI9S--Xw=E~0joq@>t^g51*=krxohRCN6e=$qP zb~fhf&Xl-a#PzhevOJvNw5>__Fdg}g_1Bj2?EN>!_cj@1Lg7DerMbn8^a@>$5YbFM zALF{08YsEnj0~llFwUiHOOb4V`W>6&2cnk`6Q=y_hDC2GX-P56l+XLU5S&tJjF!@a z$z1Eq;kNN3j|(mMSj=nf(0z=WS8cMrcD?aFXNN4bpT4UP3ZJH~{Gk}Xq}&btWl_c? zo^qfpoz+S65)~;8@__vNI&AVvHSN8BIrB5(EVw6dj67EaO|}@AKWlJrSxcE;m<0`T z-w=%u4&!(E;_h%QyZ~jZXh88)H*Z{zSJ=PyIUW)V-D25gefG~&nWrWnsXaZyv+02w zRtngiTj_VKuQn7D5+p)=2L)V}1((uzC4R|Q&$!ZidY1>bk1Ss(j0kh#l z!N*PNp4*IuQaLkk3>@d)GD3J1{p&r>R=lsDD5T*o z{vS*n4J$B$%hnc4d4Bxw?-&5~u;Wcymyt%dJG{gCjFQ&dYC^qFubnC{$s}D0P!oDO zI;Wcx6hBJ6x9?qcY~{mLzh`($^!uXb4}TBBDr@9p3Jp`i4cy301)PFYV)un@`jPTua6=4>0DiuS0%>KzoPrpRZR&INtk3is$7 zZ|hk}y}z9Efa_sa-_peLw|};mDBF7GO~rJ3!sDtS4})6rU+cYuHkgmR1Z7geEIKQ1 zW!issOS8Gd*x1teSS9=e>_C|XurtE$i{A$Bjr-x3G4(*C=11sfpCrbAr9x0bK>`LI zfp}Ba@T0wlj3I3XdmUrv)Jwp4A6{o_8~UBeF5Np}S#;%Jt`kuqyyeTOtM$-Z4)?Z` zW0QAESd#3oAHTW!)0$b4U6sadVtM6S6q$eZ>IC=1l`kz1v&cBV$iR>)ywO1 z=W5iBe4*CQ?2oSDr~}p4w)k*XW=*84NFd*~4nK0Np2?z@}&#s9=6#x2Vc(XY|nGn_n7`NWKr?=Ok}yx`~Ik-5J0wBbr4 zNq*~fP%&_mWr&IOU7O%7X63i6ryX@$3<<-(^)X#9LqAWg=4_~MJk{I!-k{79zh*FZ zsZ?mC^7;;eAXfzV{w)ZL>(#msij$t9PNu`C#6K(Fag*GAG5KYNeqMS0&UPQggU7VUom#kx zNwbJy##?5$J?Nm>&!j8Q(r%8VY>`T=2r~F$sZLDeG*!=$+uM~}uOY^se0~P;Hor

@&Dp*w6Bc(`x~epOc`v}`_*&@6eNf=%UCx%yAeFSG zu#B%_v`Lvi+WO={V_K9E;q$Dpk$7cmDB0;y1v(tJ9nT%l!?rDjH`A&}3<`f)Cb6TRw|Ipx5_4QFq^heC82iE_{xYpZfXlW5ZGq73K>o zkG%A@`K;P>$sXyiFX#96^4bSEOvk6Qg< z?#OlBy6kaJ`9pE29UJ(E;^mRT-p?>jw}yic?K+dz(UF!%d5_rfECm*2q9mom$2Q|O zLMJ%`9--!Q3LP^FLqV5noK&a3J?F5M%E_jM@eHrgO0}K)Q5Iy&j(wZ`=k3maD5{&f zEf=KYK~W1LmhES$v!2TXteJtE;YJB_rq+lrS==8*UsM)aH1w3&hLqQ-9jEn6;jXFl(WW%96B;$x65*Jm+= z*bR744-dqw>nq==2r-*I(Z;FteUY&MAQiJoQrq1Y~Uud?fDcMht5 zY_a@bgGF#`XjPRv={fK<@Q31S_5pB5aOkD{k$;rUmY}hLA}_ZP-{tHdisSQrMDP0L z9>e59Zx>|l>`l;w4(pD@uYV8S>Cg#ZN5AO5t0J!Pq<1CQlMgI;kOku zO}(qXf7j+$&o8I>oXi>_2Y++$e3HQGgluB9UH2IBeo~K|k&s>kiY_1lk%UPj@;JHQkR%VsZ-Mcetuye8SaeW`>qd5 zDaFwoeGBTl9^DHnf%z~`GYx+yoOM_E^-T}c_amv$5xLjVa_He2=@;|*+p?bKW1c6shRR=^+Cq~=F^Ps7N!S0#%TRq76FbIpkJA*5K5GEoPkSt(iQXblOKQX(d-nsZvQ?q6A z)gOw!I)hLWZFvL)r87Cdg|l-kg(EuuN#|JnBlpM?dybe-p9TmoJtX-geR*Z% zGF|d{$;KEgT^Yg~B>Thhg&WYu@pjhy`lxoe+hEOOsNlI6f_0I3;dN7*`2m|;JtDQ# zN`Sh5dq#oR_Fb-?Pz2xm2Vc^@X9}9%Jx-rXqD@=0mxJz#$rOo{jV3L5^yUgkAk~V8xX#Y?cWQfUIOVJ8=bA3edmYF9J`$Fb#v%t>RaLe#GKU!G^bk1|4b@)))0Y8CErKd&4vdu{q`S~d0xqM zykbKC=&Xd?>-Jw1p?Dt<=r?Uy#uLHcS9R3eHz24|+`YR+a}T5XSX6~d$V21AYLv|* zu~g$xq~;NSY^%;!HHjx%_b*o@VtcBQR<{NF&;GlBfs}(PS0?KYK!Ao46;VXqDaAnf zPB=$nGXBe6;D*m0v^X~PrfOymig!2lF|laXzM-2-o>c$+1SGk|lszEWAt$uYjvp+2 z7MPoxd_mNZVk!tJn(dULqbqdY1$$%eTV%f@tNSP>%BJ0NqWs#w&#Y(&$%q?AEg@{pzvk{E#Cd>mK*qAb_b*$=xnXrnv#37-mG8tzpod$+?{m>UA2(y*k{<{`(*<7%z z>;(pF56H8TLD3a~)qcP8WG$x{$66Fqi7j;Sb7R|6YIMgZl_k`W8aFcdP|BW-Z-LoI zPj*Bhh7HEEOLJoLtw!)Tw^Cz<0N(F^&KJXnnWMA{fYS#;LC03ng4fZ~B`T>rbieb;_I783g zeziaJC2DAhhIekN*ignp6wLvea&1||KO8*tc49C@=Z112rNsiP^7X1``Gt)@P z2zhMFCGxBWsT+DNdqusRGV*DiSkU&`*DwA$sRnh1PU(&qGZxWr9hX?vj3TUdBkGpC zypD})w3kGx6RuiPfuO6$;wdn$SC}ZUpO<60nf^YRJKE3{GFf*=h)q$n?|pKR;UhPf zu0V1C=*nO<*K~|TX>-t#>%Df)JaI#@Xn$(3d(%I&sGbvT?7IWK`@Z527s?3D>@C2Y z=^yUs3_5wdTq2mr_$OXWVmb{N3#IT6Ul~!G>l;vyz(0 zVZFQe^0)7+(Rg3+ii5%yHhRGZF`@68l({$yt8WQ`C-n~Gn6?IcSAnJ#!*eG81_Kz6 zVzTjY)%zc6K0LUji%t>X&c1!5Z+f>D{_0LS3wjOKZ-Wo4(OZw*=>2rQQ96uw0l2dH zhjnm5OZ5Gu1Bt|#bJk@ctm?j$xKA$KDP;#(OuUZH9)qK2#AX;s-jR2oSVWmjtpd?I z(X-Gl_5l5M14LJO^#{^}m>J&XOR%}E-?dZL;VDaZ+Tb#sn2?-=>G)Cy0isl9&Qd2# zuyFF8jCo&!7q0On;!;PZaw}bzo@D4|!dSX>s{9YvLlr#I| zEpC=t9L_#H0!O6KGxxOL@qqEg;=x7d+HE7h*-=JFcC*0ha8g{p9EoQXiSc);4%;%I zv%#<^w)s9MC`67DjN6Q&ElcH$gHTPyre`ITeW_z0nT{_yJ#~vu5I=U*LVY)s^wxX( zNs`80nzgPsO9GguPI2JGz5M&-TD+=97BwQtmiS6jD9TFdSwGOJ9mZi;pZ8cBSOI4; ze(RbmUG~dSu|cg*8j)IpjuN-Y!kQ%DIbMh7*0yOR?bgVA`u^tmz{`f@Im3XH*3C(Zo*AJQV)&8qW_` z9iv>NbXP+39|{rpkL_szPaN$PAL#QQ&jOJm2fC%z+|#6RL$9{6ENV;I=>R=l^eIa$jbe*98D1lnfq_zCi7b zq3;>p>C@OrD#HL46?-3m+jk;|hvw2j5{(@(Xcfgmf?V4ZxQ%x#HdRZ+zH(7K4I=_U z7&w(T*b>zLYf$_PJvN?C8f91#wBklOy+oZAHq$o?W%XP@yds1xw%QfqXM|sr8zh$r>r5tU97yTs!x<3 z1IdcpN6c{FAOW*hb-<#}C}_&3I~18CV)KXMZX&~5eH?SBpt!j2Hr7j~B~c}IgefM( z`SOl2;;UzlmcW7AB#!5%apE(eb@nJuK3Jo&FH)Civ#pL6HXz#u9|bb|&2Wk!eN(S0 zd@IOI;q47uTJ1!!gj@x?iwICJp?bJeHiD9!s)o>}b<57o-jy~!_io@YU)NL5ng38E zT_vct!4QTErNILw0pd)$24n>LQmq6wAVtuZV3!a@cw)N%;H zibn$x{B5w_##iMEm|W|?MCDJU5ieo!sPjQ7JQ!=~GC^s+@iCA$< z+)Nwcck&2J(T3f!2oIg1*A9KJ<6qJvAYFeELma<`BucS*Z<38ZokQuAXKBB?b)J!t zR&y$c62xOES03o2%3YP}SnZiFhXzSP3(xY9tsC6-h=8(YA!+}L74wDPifj|XH;9NJ z>#L9$oBfl9^WkobxEg_Xs4`xtR}&adYc$h$(dURHAN04~l+7u7IZwh-uR}r`kL(Zl z@`Xgj`KvXXdIz}9O`qrHvgv%9yC0YD{0nZ!vWT<@rFRRqC;{H`Pfg55bw>Bes3RQB z2)4UDH;u2y#4>jn&UP^w&9f|?@ZEvh{F4bc0^DXb;YIyPc=vfI@?~Q6?`X z+WhLPoaajQ0bSvM@d{htwZvu~(Q+CRdW`*`g5|`zm|*_m?=8sO9zBgL$QW{LAd*Aw zQioofIJW&X=;wy)xHqaJ3m(tt%)Gc8jXk>64J;Eo2Tg|9YysSzWWZ8@46M?WO?+fd z6BcBOFtC=GjT}xec#HcI9$h=SFtCDxvJ4psykBVFvNON)xC=I_Ku9&!vP6xy8gEK) zbXUMt!pi$*Ms);RIit~O5%)ZQI4;Y&exu9KoGE*e*&>{Xd^R52 z@_=kcIw{<98Jf+Um2ml(Vh^z``PyTcw4z#&{a!4f*E|te0_X{g<`0FtyTS`rsEV9> zKHVZo2#!xj$lx)CO=wbxugQ8~^@IA^dv}wzxM2PK7g`DY1=hNkz5PwYvt-qBx8h+{ z920v#48k7QcTn@n;fr?cwq7MwLsQapm#=_d-bNa%rBgGK-y zJVc{|zw|6OVU+~B?d(at^GkYUmjT-@IdT`81YQ@7G=n5+F4sNQ@7`m!8rcAPZYfaf z8^Sa>BpzupfAuc!PZF<5s#ti55HO?N%}|(;VLG!cDW}5ZWF=ufklthwip;Ws>Hp3g zaO#+$(y@MUXKzmtW_`X+c{HnD5K)NA3J5QA$m%p_E)Id+YK{r!9rSBJ$|k7N&)SPq zeRu4)Cy~3(Fb6-62J|zKHb3s2+-vj&x+>h(3*n=SlFPFf3#f9^=~rB)Qhg;mB4Qx% zrY<@Oe0c6(FHBt>Jl-O)#q_g_2WOU>jaR$O@%9Gmw?xzTUg(&5*d)^K@#hOU&kyfY zGm?ad+ro*qEZZ1VKx$X5V$CaJ&;zkqhDF|vIA+lY*Q#_+76`YTcqQt;5*-9&V^&j5 z?Lc}*b|~pFxJ~lkS+AULq5t&89Fmugi^XcCW8l3s)R9LIPx3`ERg~t;`>iGBEULHV zwY6t*Z{z#YtVh92a}R}p1`!^P_Ow0)++Rbfu{N|gzvhTAv$W4V+v_aP^8TaI$jm4~ zxasLF3@0=x+zH@%6o-{q`8tZ6-J`i+W@?(I7I;2Tys9-7TQGS*sEW6?>u~vWPDonn zPiacAh@19dqx1m@cXZ}E&RxjDk1eOb1zEa7B>{A@l;pm^_paF0*MMPQeEy1wzs}^L zwXw_8LH0)92|7flr_zMnt)k`9SGgcZ&ds$cT~=#%#h#7qy=|ZdOr}AX&Yk8=eHAu- zT+6Leh5|x9*?r9KLjq?czJ_jz9wzxzYMMxQS3399g? zzAuN00_-MZKI7%R(uDi>bu#>11|%J-?ma)%a5NhC)%Ucz={(qkT2_H^7E7I_-Dk86 zU{P#h6i;0gtkfu-u}|Rg9tAfR3KIh~d_W?u&ori9*<2sr(7L}{g@JvS3iV@MH?c>IU1J%u$5FpzF~rP8w}ibu7}i`E;)KOT=~8ItU`NbGN%oS ziECU=8#olh950_yWryoZy*y2;;|AELXP7I80F8Dywl#mpP>h~2zD)RD61if+fQw=cJFPV=4L!~aWWLbH@f#djJ%of|O?lhk@%K3L{5h;F-haj?cLA2T-I9d+u-j57@ZFe$?jxHtv zt}CK;%X+~wE@u;kSCSCY+D2%cOmg=6M1NK&JIA-0>bN8$=oXKQF5d$LQ851G({ zm#FTK85Q!DnCNXM0-PV%`W}1LN``iY{M5y7aLhKg;%m=i_R4{*laSKillxlp=5vdBDNvsD^udL z#gG*vH${v8E_f6RUb1ZGxteG%k2aag&!AIaGf^K%z=eeI;cWW$yn>o9u(1ayyM1oE ze~vT26Ou24r)AGkE_kJ9xc&^j5QyaR<7sf|EV(cjcu_ZhIe<zk$hY4+FzRhggCVg+?F%emaq3qYW)(9b3*@F>>Wg4Xh#-yn9~ zca1~5go2D_>H=~5$)^$U^tQ=4|MF?1!s#e@`8TI`hScnAnh$*dvqd>7s{)>~;O=wp zPL}FlOU=`nDO!oM!6UgwATP!v^$!0&SJ4KCaX1ddcNPYEWs?QqysJ+%Iz=W(%}pc; ze)@A`pxIsyEOAQB-_n`-W2c>It~PY0Ya1b&?HqijSrB4408bBSfYkq3sZ) zPF6ZnK|*A|lnAdeNCJKg8LJ?9WEQgCyD|m(jg?39%5*+BS&kZ+Lz$?pBXIC?Q>ie;*TY z=>Sm5IZlhP=?U${W%s^_-wjQ=<`6+g1s#U6Xb|rirsb7v-#)e`lpZ9Uah&`Y(}57- zkN16HR*nhKx92+hOX^K_G*FghA%)uEV@aG{%pqHUD0~6c9T9l@bihYy8H9W1Y7m_U zJ?1`uq}CDA!(38Y2^g4QZy|?xs_wbGL6qw#=Bt;qwVT6(rJk`BOz&6%YiM>4k0aM# zGtC#OSxCjAJ;Y%Uvcjz0ZSz)$eUS+0DtB~BM~QTz#Nxz|f3{%n$0;1$GyS(LGCv(Q zkoA@yPIZh9Sh!~+MIjL;e15^i04=s|+x0>f-f3lZk!l{PZbIGcfVT_{+?$^i$ZWXF zP?bQ&CLl1Dck8|`(5Mn8$q|7}Eyod}wS5@Z&)pvpuU^zOr-a{M5F0nnbIl07CX^WC zG5~s2<(46^|AB~vHB|>NceQ!ZP9YTnQ2pbdqSjo-+c&8sNnT_gVqyK~T>HjBWWdb>H>@!g59_?rnp-5iZTj9pXR2<{~i>P$=)2|&< ziik#w1x+#UQB7?+iK*9Rs@ag{m)X3$W(7wMtW(kmTy)V!j95-oj1>=HAPbN7XR_X% z!W@k8!?X%h_ptYFlb!?DiN9_@Wd4p9z^>LXXKQzL&vgDsjl!ysDJSO|9Sy3R(kkGK z>ov4OL>_U|5CP0U44^jCLKeh^lM1l)?vbKyP|Y5z4zI@zSGJmBRkB;Ei`Vn=k&>qb zLemK1`PUvlFoOPtMoI(t#(GsdjQ5fgfK=|7fiZ`x0nC=J_lULpLPQu_?DKgmlrhS7uBVIqCnsD=10XDe!-hjzm4D2iO2gkX&LUAaph?0m--G& zzfFp%ahZzdw|4+u$DER~rwD>n`*}laJk`X|6ZNIRPtXM&1*k0PXOGP5(de3(3Qe|d7CSp{mF|;0;v|^6KVl4b(NHSaQukD zuDJ7er2XJ~!OmSY#%R7I0?wAZX23+WC-;IU|hyR*Tn;`$?KR7gHJHDE*`4r@;SdUR*DUeDUsIK;4~b@GF# z5CB*?Ucn6=84e-4Tx*a8U&4~@X(JX|ab4#~EK4W8-~eoPAmlsdciX!L($KBcm#Xr8 zgza8383nKysoMTFozmeWVA7jqnfYeBV=d9A3G9lLmGRK{aQ*_H%gQk7F4?7j)#RTz+`BAw%ahFjd|Y{jDe6=wu@$wh$Su=wc^7Kt26t|k zp4*MuixK$Ug3MnQG)$#}6sO2~Ui_gr5StN8hrht(0!oU|(5kNo3rHu9uK3OSZ_+h_ zUch*G0vspD53ac~r@k&ZN0rm#C;5vB9+s|r=T*rfp1?DA`B8`CmR4Nb)F0Dw`uHNy zwIW>UOWKCAbak^BU#+sQO6D+^%}Df$7dW;l5fU5#61Vzc|Hc4b=~=$f+c(i)IqwBX z7Ae`1!W&;`-MDk#Hm+>hi}?9b-(%)_M0YToSfPG9Be+Z43d@9Vg~1PZ@>`IMd@UR^ z0Ql?UFv6e7#=ktl+OOM)&6)?~P|(=QWJg<6vy1DJA%UBqz_8j)P;#ZeX)B9m(~8hO z;)jiO$O?MbNX7w}GktD+Be&Xp@Ca!&#x@DB6Y^G=WSn-3kI)@pq!G6qBDz0bJo}KG zd2E2uI`&Uck$o7FXMx)%e}V0D*(YvK^S0tgCFiN=3M$<+dOvyew9qx9S~eH#nhfoU zgYMn2#l1a!V4BFNiWuK{HQ5H2ez*HQnwmWs2DxR}#5YdQ(vu?Ls@j26CYaquFuqsW1kJek-K0>>tbKCOx-*NAOY zRo9lKeewi11O$F;V$w6oGfF6mYgrD{K9AQL2Y;zm?zOu4a`Xv z3k;iSBjm3Q3u)P7e5Hc>Nvk4AO)3G(;!*9=l~Bl#oIMwBvWV+Zj(CzyVwj-pr&BsP zN8CpOhXn{H!E=@z$fEs2KNnkD9t{Nkoo9`;5dFr#GtV-CR?zw@UZwW`GD@I4h9*;C zh!~=E-6g1D{+7oViw%@ zGh2N|2z=~HpZ;GVJ1-)u4M3dWB}32ck%pRmwR~r0>$OD7DDS*SvD(ah{4^MJy4(VD zoOKvV$A&to)J(?W#OoP=~7c@j)U;di0BezCpZYJ zQm|@vDArbju@Rn7tXDULX&(vsjvn3KuaOEhkr2)K4h>mY|9?}@IEm0J0#xfEmSfrt zNEHUpKp8^vc54t)H@Gk6E{ja)S!Ms*=L)NoCPzNak+|lk%rvl_^gTnX5yv?kK0{Xv z=C7ne-(LWKbpeY@>`$IZWXwK!?Nt?S$yJ>eKiI<~BI(|D zqxZu|#7NY#y^vWqS5T71kdIok4%vOdJX*zYF)qf>12*WBjfbbw1ExkfU$^W#oG2Vl z-d=4^)qJ<57B0fijcqvpub!Lu={44?l`X+8N0aYkOkg!;oxZZuF0fjnI7otT{utFK z?VlkDMyvsL*AFCHirn+=!q5EpjR6xJxj6UjR(G)|XG32zKhQBiBq7z?n_K;FxOSVg zF=F4cO8eQ3GJD-myMykG!&;lQuwJOrT<~h1V9g4XmL)(f?;B&RuGgKo?rm)S>`u^Z zNO=)fVfzX4y;kg^)*^y%mIdh-~ll8(jL!o%t84(V$}>0eSX#(Uz_a%ALjrJ zN8Ax^EB3=h92v@+v^_K`~{+RzrS>f&8Yqsn#9cfg4@6dwb1e9#`0gle`Mgt)73dy zM^i7GXOnb8z$5e&L=FT5Y^<-zthCO7m+C45@_^2RM(jHyjerst#61h9>?t9+A}9(% zyx^W<9gXg}j!GZAM=l(F*|OHvsr4QqJRcQu2kumN=n!@~oY3*0=*8q-bIiQF0B zfvM^IkAUzS_tm;kMDhj^{DQGz<;rQX%|+UNCT^1zku5AU;=x6ldx9N8d_5sB^(mlc zD&Rb2=F&BDH7Iys^89P=ymQVjCcCXj)Wy* zpore0b2Q{tKq7Ru3~A;iaH0sC)I z@ex)>)HIO8{xFv}6$srvg^@8&0VfHe<}QpAga8DO^x#sVfx*c{2uXNax($q%Xasic zkx&On)EC|ccq;m#*z;+HqbgAD>H8axgU}Hu+G=3;$7F$sUk<>JfU2qXWw$Bo0s!?} zLn>faRCc*Q;R4TJA7TA3!#6O?CHJO|0mzed(J#u*u1=DyylxQU+$IycfwYJ zs=2{%*c`~OQKn5)-or>j5{qxhC3WkkA0ah`NXjRus$h8?{q)BvMeco>&PI&VcqxHn z-Moz54}VRBg;=OHFSfQiw�CRYWa`(@DwJ@!1hWA)zXu0JZZXF$jyri?ryU5W19& zO7c|mc)xj;dV-gb;5~Xo0OI+cW3qfbNOPi}u@K;1|88~Xo5;S(c*EZ+e?8p{d_0x- z7-|tLOojCheqtK;anh!da4;1Kir#_1)oQ_&_0ht&r#8MJx^$XQIR!l?9rhF#!Xv{2 zm>o)kCD$QA4;4%Wq5R~9r(8<7f*)dJ|4s~^ubD8t$!+SiqV7)3jvw;9X?GSOhq@+Cs60!MqSIU)ko%>~T!T+ifXE`Na zHKP?%kn87gRGm_6^u2qDfUZsO#tV)7h5dB}RQQDm06j`Gd0BGYA$dJ`v6w@U+_y?M zq><1t`@0Y$&9C0>oq^ck^BeX|IT0fUay745?KNc_Va7mF?-%rRmrmphO!4-P_?_)4 zp_xIpr3xVpJd7sBQviP(Z+8B0IHINA=}_?7)DK8f_@Yzg%$KfjEJ|Pc7fI?%U5>(j z2(uliQNKgb9Ju%iGPym^{X8wehwXWK&zLRwaeh0>=^88k(rAi2Ky3hv=v^gkFs!PgexpCLHdz8HY7IbxgF3 z7L|}NS)-5ZmStZUF0R;UzPxQ@L_Ldi zKCBijfII(Sh#0zP@?xAtDpd(j#79Uv=X0CpHnV|9v+n5gY1zwqZknpoh=Qu!XPk^2 zyS6PFK&mR(lS`woeVua3x>g&=7Ebl_F(b4W7NR-?s-1}`0Qv*^L-3%wK~({juo(uJ zIpg2C#GJE+h|(O5&RnU{{!dHQ+XWd>+QhrbBMm%aJ0nIT2|2D0bi zFzj*m*Xf=m`tnZ4MH-p~>GWHD`~g_pq4H{4uMOTK=S1$n9lB^mLZWkN&l(KPEdDdt zM@KI+=$8y_ZlCw?^mN1Hyr@%xtNC(;b|fUN)7dKQMt}X2+eP?t*n?xcTTFgf5b{xL zSL4^7Ru$%(GxgyVo2k1N zc`Fdare#N1h z!O=yvGnYZ3x+w1r+l)AkJSoS?!j;CaaNp0sfbG{H&BhGWY3>UFGP;`3*l^1 zym9RbDN=L`O8^(XHl;co@$UDmw(bPO`3<|~5EedM&R$ow@nR>2faRW2GfNxb7|RQw zB_W*$KP(dP>SJ^?O9a8XC&C(QaME#sKmod;|G?me$di|5$pO^s3f)G`n8Q2EA-^R; z>(EBA-AL8`N`+~K!$on0zpP4vS;!F6eS(#1=kN~D_i*pnhlFl@G_p(}!f?oY)tQw- zy3BB~<+iaYZDx(-&EqLB^=k$OStfgDZzZI?Re^MHv4^SVsghv${qA zsxXI))^Zy(jzvM{S(pt*+F^cNS8-+@mx)n>XSe25e5 zxa>Fr>zOf>3LsQ< z0^_naH3_kIlo@e!Cct-SRuDOE(d|&ZB4k@tJ`3aL*YyM`CoXEheiuQXhKtH;%yln_ z(d@E!h8UftknD#C%nHm#Fo6-imzbo~v_82?-=W~f{tG*M$AXYD^GeC1(QZ3r= zlH&p7REngk`<#r!e+l1>1&n4@{e% zkMi~|Bu{tYQ{h>fWTw>UQ?xKqHmHG#-1fENw~$MNAaQTM)6 zuUVi09-SR__ih`vU7|5ovB;ItC4uou5;Xb^sslr(57WnCJCu3tWhwjWU!IJpP$vfW zvne`|Zh1naf951z8xEu@xIAdi-e^}$7k@@9{Xy8;WI9DfHnjkiW`0!`<=EnvNbytG zyj`%*nwu5ZI3S0iM^ys*IVHxar{R;Z%tED!P9ej;tn}6lnoSc3xw$O5L|yC0gKRsA z;L4_`X5B=xICH9Z&!JV{0e!|Z#5s^)DfJG}$xq>-`_r8_@;+Od5+Ejs9&_g2TA8g8w3Lq_hp?e*8IGq)f394C8Yf81nCDF;KVc}ykq}JC zUS*pD$&jF?$IR)Y$yKnctn`f<`}xQd-PEQux$j6I|ArRo8gq!4(WzI|CGLZV8r6#L z_(q?``Yfv&CV;M%>m==>*BJ%MiTj+m_s*RfUzKzdzh`KRa!CWHW+;1CmRUZK(|Fdo zinSl@VLP}Z2ripCg+flYfg0wy%;19_#_pz!KvCJo#ExSzaGPA*33N2nb5;jwGYJ!# z$+3_as)dhBfGm&T94_FRy&B1o_p|Y)1*)+YSgm?CDg*EAg`cqrE4?{C)M9HN0Oz0W z`Yqjxh3`teq8$!MQ(TGyGy>mQf!b6^0T8OcU5dcWls?7vR%rZRgg`kUl1M^I|7>;= zqAwr-S<;{Bo;LunO;3;8$tGeT6(o>KPp5E?Qb~4JKR0`A;Co3vedyiNAKwaI8_Tcl zSIl+nVA&d(Z#Isk%*~Gq&4pzhV>4lgJai#m`7E)guja<>bKNp!NjjG~ z3$?w#Xet;cOm8Da%;plvJiW78(p1x_q7;)kz7n zTHsr?z^y^wpy+~y(k4!IlOO=ebJ+>1SnIPXh}tbd@ww7B-@;`mYPd2Lv(rFK#b#@T z)!@OqBCu(%O5_WPTXeX*JYap5n%oLM?t=$A#Y2`(2}he){#opu)(E(bOSB@?VHN3q zxQs%aL*A6HfE%apen{AyW!$w`?F~7+A^Jq0DC;H@*YD+~hksBVy{4eU;i*E*F48~Z zud1DO%u$uV1sj3;iesYzxAb>ljpBODCb+1qd{{^tOLYouR^T-_;*Vs!>OkVQxh{%h zWUgSkTrqOLg%*}lFLx_AFFR)rx}dY?S?i;h(V3FoF|2|mCMRbs_x9#`_Xl(j6t07) zMRkCUgthLYEh289f(2febQh`TzGyIgp7bWb;BT zN;TbP>GNTUFx8{_L>Yv`!ac_;vGgL0oDa&3C-)^er->uQXH8YUr*M}w|4LB_4 zqcfp`nK9A+F5jHG7F-Y&-|gWxn7GUY@zNSL4#O3J)4T59fJ*Wp$dGO^khclj2t)&! zk7YW0Ljj;hL846$K~Il-={jE&NSR;=5Rc*?C=NlSqV;$ah0>MLEP&By^)Q`K(-UBW_~WFQJA9;Tr$y)+$Q8uEu-ZOX(?im% zwz3oAyadE_UZ_{^`smbfqgRpz>|PJmz0>A0$njBvgJ+&P5oU2%MJIFvCdsUP1+|QY5iCDWiCqQp2msHj5sCl6Z?{$huDiQb6q?q7mr$CQx53~=9V;q zJ`%6Ezw@|zN(yROcBH~I<|v!A@TTqAJRuQZahWaDNvpi}2>#MbEfG!p<+9}R-@_RS z?1EsZQ_vIc3Riie$8Od8WD{jaZp++{b&nASA)GwU1<3K8JLBGyX@c4hXHeIZONFSl z@I%l7$k@EZeIDbUmJx(D#7N~d(Lq{o@?Wn931mGCgII-pLD-G--a(G9)g9A84&D7Fq*+caXn%hVSRVW%aWi@ zKPG^avcJD|eWH%KCV0n4i+4_If~+R^BoVwCz@PFC^u0MH%m;QQfd6YLa8zq}w~Hom z0n8rw{}J`(@ldGkA8^k()k(y$#Uwl#WXUo!MI}7NjD4B077=5{k|q1jIVH;&H1@G1 z#x7*b&dC;I5C++jZ7kWdZ@stkd*9FdU;k9bHTQj8_jkL>V?L@9(owEorLjjsFJ`p6 zgT{pDNgDhYP98+A3t{Ra4oQNCe{2331N~z(Lh<6WqjGjYFK4S|k2#-pmx1RzqQufQ zYn2>6vE%hK!ERt8;4tn({8c+^t%~ceL6j$j*a`FCO2|$i^GIWk$$C7mXnss?%1sKH zUvBn5+?x1#JAIi-5vT$B_Z-NdB}4tOTAk7r62Mj_;`>;T7Ffg(t;c~8p;>SP zV=&FIGT6w{duXRp3x+LAGOw+J9nGIZAeX+COV-U!VAyCB0{z69_}F-31tC8t#S$>) z&@K@ZPWcl4quy4b?b&2c-F!6qF1_>!;@`-nmmMAAw}*ii{B7|P!R-TEcKZF$ttbZa z#y^}Yv(cHd1vp|vbnl8^WDbp>-hKKF)Z!t#igZlYn zdgs^+$GqMwyk+nOp=K@qwf;1DpDc>G`NeyWx`a}~1pi-Xyl8{lLFd!fob553CUNsX z_D>68M}N{BkfTLs7rTpFVA~qr6UU3W>)m1fsU^7N#|HWS6kGA9aJLyR)P3Vrn9HE; z?{W2#n=cgDqBesVZJTJNch?TT8nnHGsx9lXF!sCt?eEXJJz7QOZxD zkigr-7JgE?U_tQk3y*J__EkU`y?rh?Z9!PJUI)LxS&38Mp zF?4HD@It}X+b5Ahm1aSe%-0EjRmz#wbh{yxHqU@tw9x@`2_J;>@M-^-u7pkX+?;8O z%d8KIrQzlu=bJ?_gOtv=Ifs5Q_$%HD&Hc}zScm-dZQWC-T!cjw`GT$|r%RH|i8e`^ zhZecODedwP>c$uu;1l=!Sg-y%ck45HT0=_Hu;%9T_yg74v$=6`+7!_h!(V8DV@-m$@Z6foq?Ix$(T=5Sd6X+s~|g99lHQ9!>!6aY!Y=EXi^}f zuIAH(wn+vQkNedsj}+BExn&buD?1u!W6qyi)@&Y_Kj_?nSl)V|+3>Dw2Qu|Yu>^nJ zf}aG@X!7HgrXdTI{tiew;OUABoVy%Yu_N)mneIq1sD0^8;mCaz0M1Z2IX;leUOAR! zo<&p!F8?tFRQ8`q`PG;~ucxDtFee|!)SrABJeVlb1BL1NRX~oCT0?IETIc%w1 zkDU55mdnx%_8~z2)XqQ`P7GfJ7hA6mDpjIGf}^%l>qnvTLfK#S1+Gde;Flk^1TBC{ ztg+`^jl;XRuzxM0ve1hB$|I774@07*H5q*hlW$BIH1GTGJ46f2>lWPJC$Yikx^Z*3 z-fbwL;Dwq**z=U6B~ydDIcf;*uQl%Rn)zrX+`bxek1nn9=+#dOb8ia5WU-9m(AZ@V zMd?$Ku&_Y!G!P}X`-w@}ZKG-O9i$fQv#|)>j1+m`m*Y44h%GMXjNv(uW9XXh5?sB3 zg@yAYAu^#FT$juw0XG!;lN7{7Fzw}!**d7FI-ro5SI~)p4VsfIiMhU1F}z|G>m&Da z*|Y+oYYF!+E#8PZyeI$de>np|*-Fw~bP5<;eEtMnHsR;i5W+r~^ zCar%^h>z4p)WD{MfTdfuL^rh&ghIfT)CoVymgA~z<9{azBIG089y#kB^VaH6H@Oxt z8u5o{t^7SX=k%qg3A6L@qZva$*Q^u7u*B#Vhuit5@CII5cAbP6y69H~5QmitEI-nm zG#%b25I+vQFNshkEIj)5_nuI9K>C_PuYGmXSIwIdzFV2wc?>e zuTWE9jpa%V*WRpaUS-4_x#mx-3PtgC?5xG(vd6;@8UT>yv>fR19^=v`JITad$*xyh z$A6~&tNjGYu!^ORc^z|U>M~HrN#e`IkIH%+gD@^7t7#LkVAz^U(1w+||QTStlLl9sLfX=ehoeA1F!k|9vI4nF5mfEdKI zecn>b9@YK94j@WPJQ7rEsude#Y{R?+Ko_dgvakhS4~Wu=G@<<}lHe@@wOl0?SX$C<6qE9du|?Y=_-oc88NCS8lwzg#iJcJIVFzz?wa* z;_v7MokSDF+Zh=~ZB*RzkQ{M|H%O&;aQJDZce*~kKsW;Ru|7_`f^C2>DI~rpuk!DB z?gR-5gs>SnJk3wjJf=nYUh<^K9Qh>Fsri#v7^< z?f+ztFdfFRfw+ka*D!B$EzWLafn1)t_5v_iCr2FUnH)Q|im2&~az? zEC7;abmw(3`2O4ZMQ?@gbuHSs8)VO^)Df%vF16*g?BUl#9TvE-Ue-C>t!~5uSN9uP z;-1c<2h`h7i8@0}kO}Ojr*g(CnUI^7Xr`wbW9X$DbR`sqZtEsPkk;;%@XOKlFoRW2 zMM**|1VbCl?&`dK-paL4ib`0XVYJ-nP}~wtj(d#yr{5idRE9f*>Y| zfu$9cl>`u{5>&;LM-Q66Qw-=aGjkqij{$gUD!+{jnh z%Uy=75xSd^tL2oR<<(j;ot>8dM?BKiA9h^+ynH@@6nR5Qc&6E1(!PIA%j1mo{cRa_ z@sy)BvN?pB#&Ckiv~HAhEovG+2?l|gpW;xLGgxkm?+M}72T(%CSCSaCVeu|GBuc0G zQ@G)}FPE6_-1>1X&=`YL<))bduhN>HARcn~jDGDF_am8b+@LF%W)7(2HzUNN=Dl29 z-B8abQ6e&|C^@4Cw%uDe{el@+<<6e8=1|@KD551g+3Sf!wLkC2V+HQ(W9r?d-*229 zE3KJJ21J8$x!pWgu^dUYx4D9uoJ*4r55Pr1nMCpXYEPAqVjU!&6dFU(uJ)Pnn)^1- ziZ@~6G7_+5I+qFpnCCz&$+lpPRYt4RZ@I8mp-w@T0V#9AbV_hgsp)cjp> z&r4w%dL^R#2i(Mgph(4@yrg*IW0Du)!7}v1DAIfWHr}HH|Gb+E6O~O+tTb4b*gh`u ztkyKAx;GtD*1n^^oS@9_u5UcTz)#yWQ`iicCapzrmhK$)`SdGA253Ll@U*fij_^_m zIgK@|%r}xVmj>M8 zyye!{K|sMx#mlT4NF90f@}xlQ%N-$oCj->;;4s_Ts;k5rAaG+C$F{o3DlW$F*PxTT zJ3A|GFBp)qJlBFGYQu6<>Cu2DcI*@G((D`q!PRt$H+m65ulH|hIOqKP{w?O!9Yfd$ zDoj=}$Ch2v+=DB1g{@I8o`K#QYl_-?XmW-g{B_MNh-nbt;r0urD5_IwkxeT_YgzhB z%9!kC`aMFHdliuf|9`FNy$U>ON9+k7x=EP|f)p#j6X$hVUBy(Qj zE%r};ol~T})|I4T7KCwYUwx8G#`!c+9;?FQx{}Aq2_h|+1a-r(pIcIw@2`!H`=CTq z3~dh(wKdlBH;=zi7uwOx51Zk)tPU?ZAZ~g&5`Bh7(;gd1NcP ztD@dU&3tCy@AwgE{yf(Q$x$g4>P7$5Wxh0Bc8*#0 z$SBD8Pa%fg8VO~Q{a7!D>yR+144>#)hI4$#CKkPw5S#-)*ddwiJp)~Eb%pqc^{vEL zvXcxUaKnk|kt)YB7i~+I0c1t+Nv;H-f;jMc2mtMLXU1a;hpU%B3Yp{3>B||dsVW>p zH_Ux6p+g06F4Q=~dohpX%YL1kh+f=|%>SoqW3jzi2k5%=S2g}DQo0#D-yhqHq34ZY zWh_D-hg+m7(q;=_T0L#n%Nj~TuPyJ4^0TXf>AMs)@8D)QFZ%0TBBC&I_F7r|5T?+d zb>z0}$#3*sxX-tDYgw@f^=2M2A$4OkW+T8>T)8X$1-0I84oE?pSXDF5`|?KC5orqN zXF00wZj2@rZ2qrBxImKm>A2FW#X^LOg4}eVKS`7ISi804nq6T@2>J)RcIzIy#-Uwr z&SJ&HSn7V-YmJSLOVrs`%?^vw$6U1r>NNj=(Ee1*wp%kqbF-p0t8t?NcD<+LvJKf3 zfs4(T#v(rp%2|y$PrZD#6*uHe8L7L*rI7!w@iu;W+q9=JR);;mh7|9`BJatIbFk}h z%kHpEOPM6+kMcfu1;Uc7B($Yo?9{ChSlfpZoLeya@0X`SOM>!zH*@Zyq&AYpHog!} z03O%sog6_n`F9NWhb`JJe3ko}y`X(Wymm*i;&uK=@q5UWCJDbKm&H@Yc zYn-qo!0}kgpxT~|GpwiQ z@7}}{IjV$Tm#n^BhxOjUwK%O`FWZfNEppQ!By)feLu&8LZU8rR*jiTHc7N(FBnUOA zV4^BnBQ%NS+Y z&514|2f5N-IMKccG z`~U$q*C)+!8Fpwo_EYy z`}R9_v^Z48!X4nIuU&I8-^ggk?ZWsOc=N@rdz-hhcN>({u9IF_q||_WsBf4Ht1CL$ z)5_PGDfu|rVoc;6Rq>HfEtI9v(7-|!zhUubR6)wj4Pyk@VF4etAe1E(&0MzY;SrJ~ zr93vW<@nN0*O>UEDQyp?0pNaHpN^fWBv(2;@JRYOktue6LiuIvR#s3eJ#%|znR(&{b9y3XkE%wg->oCn2Q zre#SdZQ3#q4>R`y2<`zW%dZi@77}#21hJjS$`GU22S#|1*C%N}5Mp#2vxK7VS*&!| zSRY|Tlo1m3tz^+OUkKay)+B43cyn78yQ}WPGIIqIBDUrC>)aYCQRX4rL;uU)geF-@ z{=Cl%)jKB9Hg!GughT;afhK3d`f*v@_)Da~3d^-^($fRx1LEXUO6VFxW(+AIUvPTK z4t(n>h7F}@+EcH@6DB74zXzbWpl&0t66gXO$M*rW_IPqjA{4c z9W2yax3iqaJ^x_*S%(g>DBzZ1>E+4GkIH*t@RMs_sJR>d!-w6DdSFdr&L_tOTo^;> z?!l=Y(y}ueryZAXALFdfnhz_TTCtt&^jp)t3O44UIn0v8kpM%mcpEQsC?f#H0Nd(pzIR} zJt=5RL~_Bm^aw*@v0bt*6`CKF7U@}gH9yOVHhaAVEv}iqf?gtsKIXb#Egg4p^)dSr zqG(^`iaR;M!Oo19^L&_|~K~S!rAIK>-CaKAE-` zibn)!@S?s6oxd&a$vqWsIarqD*4EZ4*)CX6x{TH_m;s^@CxFGt?ryr&*>wjogk@Ts z;8kIn8K`~GV<<8Zu0wSB3+>*?r)Cf>GwbZbuqBBK;g0%^3`O`}K34b`IPAXOEN z7CH7PF`k@)8q#)mqX;!DbyUqYr$CVD?(v>-ey2P_*)`f)K{rypBHN+dt<#CGz!kKs zAL2M$r2!vAr}xx^1k;5_A2COjN7b+^(s{^hF=rBdy(FkY!cu01eM#Y_5LZrH*0ZEc ztVDggYF-y4J}DGsP8&Ft-@?-OI>1A+m_9p7eQ3ldQZ!J6cn_WIA_ad$eho=p{BReO|# z4qxKgk-fY#1A-0u50lf%*lTs!bs5I%VMtw4a?tLQ9kITN;K!$z1*v3S2Dwyo;J9>q z|9f2gZ>0sa2aVsol>!&pKC4V=zFGutk?IMmaVWC-E=6h{$?=82vGZs6HfdS*X$Usy zo%)#X9RZ}oKtUVs&UKjpfBa=Wi8_OzUSTmmmjQIc!zL zkFqfYG$4^?h|gvVBnI-HFXJXd6wgzE{moBr4Ryg!6y~Qgl&U9*yqwJ=vtct}!6D{W zjJ?>%OMwV#SJA#%Jm;83Ps1jzXH|)9_>ii9CRd96s27?s^~B0Hydd`6g+?SD!1F~A z36Y#hk{~p~!5<=0Q=4=e{E6H>>`1d5L~veRzMDtuvb*o;*!StpLm%Yh$;Z6WKM}~K zG^ynLgIhX=D0V*>=qlK{aVcJ?(>jYsnzlSgIZirW?<Qsc#(u>M(b!hmEl!X+g`xMhXCo2jqxJd-!Y}m*F zRv~tuTDm7oGS@fW6K@t*RLfK`sk_h1?6XMlLUj3A%O&>KL%C-}am#dcqI;WaWgGuXO2|NipZ|)JrG&Zkv=AjE)1&dEYK>2@rclMQm1vJzpXkbJ_?@NE15o#39bTiEsWSII z;o7iblLcJj`9m=GMuX6@cc`^_f&&Orp7^Qse^1KN} zR1;~RzP*z8R6zA&*bEeI$|u#V+3;-qffJl4n#8-vsJ$s65u8+K`gu{umq>I}Pc~ys zLVrQjsp4?qcu%%Uc)<(ft$Gr5Syn4HRs|Y7j0e35o_G!EorN=kJ zffSiX;l8*dBz=xMW~}$`uFwSkXj4V-E;JmK2 zd#12RY{>7swz22*fUnJ*@<#{p4=aetL>3zrI~C{U(pv`Q6EqdoI zfSu3Hog;XZ8d!G4?}E{O21usc4zeuCsW&A)UN^7hZQEZVD$38QonFXP$w#RswYnp0 znXH6Kl2yS_2)xta6?o4A5fGvZUqT^zC68Nw(0PEd-*ltKb@jro9H!WXl z9G=5X&ZeN;gD>F+^1HbiVvpEpK>E?vm9wLIpQYpYx7w5vi5Y+?n?G3jG+1T2Mk!fM zOZf19Y?qbkM`4X&rJUG^#o8V>xSw&(Uc0&Z+#c;;4+6L2j9U`YleyQ;H0( zudRS@_q{j|%d)W5toxa%x94ZvcsL?Hl0M#X!l9sDf3B9s*gO_#dt`X_2jOc1k+$Mp?O_o0daA6^}5W?J(4tp7M$Il>| z@Y??CdbWb_AeZIu^_#LW)EN4yQ9|c)}NYdrsQTdRx^tZ1+%iA>J(!8m)vVjJC= z`Z!c6L-qg7%?U-k4!AysV9Fz;1jpSfOi&eqYpQ0%=X@aqs=yQX?{Q$t$jJQ;hmltG zH1WDT;Uj7=jxFJ&D^WycJ;a>dP*)Bg!<&`w<=>1ESa-l@_W zhL9d>))VO{;_n|tE`fuRLBxe=kkhamgLqf;d+pJeL0SKmUoiXdZN|1N$@_H=^ud>1 zxrkCovhQa?2U`WF$Wb;_wx5M|Ivw31CvM2V!3ZVILShcq_p25A4(}>$YhR%o_0jj1 z|7Tc}SNe0GShPB$r$gs?e`67MvLAnVCD;7TXl3MbI+6d_u-Pr?5jZS+_~&%k(*lqb zS^MxTs<7ooW^B<6#r2_rToC2%=TPlDbbB69UOo-}k9lHfc-Z|&w%k&C?M~yeVH_W-nP12k$5UO^Y|fBmjP-b9BdeZzb`c! z^FTF11k1;!NGnYgac*6<_IrJz4Xv_EMy1~l%5Qh2d@91^LB)()vkeD5S;3w4*Lqfl zM&;OzRQ0KLgp79)AIO!uG#Y($MsgZ#lkfSGpXdyFNzKE>E!jYSM;s=TUJodv<|>CHc5*>m>AWnsaAUzc8|i$GYxqe#CHv>;x=zv8a-vG59YNs(g2wlW}HoQs}e;m zk<$}&aSHNY*3duD$?7r@7_t6Mu0?)j5hL}px_JC(i`*!}bVc%|2QF}8647BMPBi7f)VNAAVn zX9s(Vx_^E|U6xO3K4pyLv3QJzxW&ytGY!VQ1WoEhe^0P^bkV=R-mb7Y+*Q1AF!<8+1*NotKbA@ndC|ihQ_miNltNVEw4y@KLEd1 zt-#XBVaO!;nJlt^C^)pM4;UrJ&Aa7jxJm-2!;So&G!w^kxr2$Z(ONu0$4$1X6f^wa zuAgOYFDv{>f2~o*NpE-eY?mcD<~qCNGhfalA$J-)44N+^6gI+XcLPw7H)+MZ$12BU zYl}M|S(_xk(P1;y(gg{-zg4~V%xbw!@6E{Dqx>@)oAL|M_{SNeqv3r+n;5ad*c3dZ znF&O2-GIXTIlg=jY>W1SIc+LH5YAQA`jR`r=wyp+?Q6Q17nXw!H9dvL%TI%W#fDu` z9=(1Ro;($yr(*AKhaYh7xcv;vJFK@Sz756uFO-q@v_ZPF->AZUH>o)<_?_(|&`+8R zA|Q7uI~*q8Z?p<%O>}eT3+tiOzP{W6BID@%*1ka$Ryogl8Bc$N(Hx6wt)gDkW4$iy z{A~6|)~oIQ=+#J-+ZIJo9&UF|Cf8ct^Rt$o|8cO1CA1Wg2eoAKt%WCTl^1H`T&X#8 zQcTL9+%h!O@@r#7oNZ!XAXtsaDS`DkhY}vRxCI3gi>$j;Sjx-|wMX1r%`z}ICz{tv z-l(T*oYe#9+Y7ojO^ez;xokOk4A6wF(u{OD&No);?@c9^8oE%4n+I$YV|sVI-H0xC zpqHjXi4igp43sg-Uiwi0(QoImZKj1%)!H+xOgI?S)WcHwUixIJul=Kv_8^WLlsg>hj!B*g`#a3De>Df@9rX7$E);$TbDE_iZCpMt}YIjN$;8 z6A|eU$G!lREr_A`X#4}G`|4{a_lNp_yE`YE5tMbOKo_pN1@GR!JeL6_%qsyv?2-df zvEeQ`&nm{i!KE!lb}+xTLSkm$1@_lb2zn7ElS$}s>aCe?vv$xJE|-mqE2-9}qjXqr zJRV=}xwc9(S#o~Fcfgf8yUloi*@adX7JKv}x0+3Fm;;+VT8n!7pU8f2h zZH@e(9I@;iuwm^e;?`0JVgqrmsH<{0oHr~DrBfjk^GGsb=W(mB@$9B{t=7!Fwaj{< z>K0)QEz5~0MBn`nLr}cuOp%XbUZQfQAKfDNo)6edTt)i;nFr37j>+y4VGY& zzoBdu3}tLgQjCH#Uj0YRXCiU=H@N4vLkFM{&x4N|?jY~=uZ&%4=90zSYRkXrRtbIq zgs2S#kZwMj=GIbPb$(e>UM`3|q?p5e^i5!;X7o~QGbHV2u8%kDO+allb4=)-xW`$j z_ve})H?Q^-H)?lep|~}=%>UX!f;^nFe%^n_j=T%pfp#^Nh*~6laH7Qv>JDvgj}YO! zP0+YrOMVq3d41HlC`v^t)hF6oEWGo36K@aCMF5sYrvIRd8i`1J{!kxQruOi8y|_hw z)&SyLwqADcM9__7;T$7)%zeAjp&x6s9T9)tk{|p;vnrq{XrKIF>ClO}vX|)D77Kcg z7(uC%n($%q?JKc8AV82l26>QUx?_R}`?N&yub)bdlqYfqqR5u|Q1}{e^q|BZ1l@Ge zlJw@JX>rl!Om1tSV|t?gw2Iv@0F8$4`AK>U8>|&~KgtjXxDjz+`IQW9Oe2T?0^0Xh z6}-Uj;(uhqBgG{D*Rjn6d1)a76P_HkfF&6G-YT*DxlHv58J^Tqf(n+~Fo1c*&*7q_ zo0B{|ZNgpOgdL$G;bI-qyYDx^K2y*{~*)F?77prSl`FJzR( zG?G4v_4Z8w1?{oI`8|C1s`C9@M!}a^v{}uHVfS(La`vn@G-=(iE=8hKjNizabvMJ&eUER z%Q1azpefg+b*4ygOs&ZC%B3Im;%iwZh=m1}FTFuCD5fXnNbWgQPpLV|Rz`LzA^Gi3 z;aUV|kP;qwwXnJ_^tE#)@MA>t0oTXs`JnvIk)fZjXC3Evt#2&P>zoG-uCk}pn z-5+hy5Lv{%&u?&xXk>ONvt%U&V~WJyiSvP&cYGefKR#b5D#d)TXH|!+ziIJqG<}ar zNxNs}*cTEYNGwr#H`+9N50X$5v7H>b|K7Wbnl$U!nUoLmE{lf%JEFz9iV@|OxguCV z?ftEU9*>T9ZxwptO!+o>lHcMD_z<}$KV&VRp2&4A*%Ldk<)OmTwf?q6X80<+=w~^& zluwOq^p^HiRBj%{KfK#^@-X20oP_J9^wdvs(rCZ&%>i&W)^s+we20+tp2VUYPed&` z=mVRAmnFUg@e%MQJl8RNR4SRD7cL!&mR^PYfQ zrv1pCf!&e5Ukq5cvrfT2H!9ZiQ!Y2)@mY`kJI%b4%@7{e#K27sxO8KcCNo54KZ3Tx z>{G@7I8LXpNlo?&zVuD1ddFTiP?9YpZV@)W68OrWL{7&d{JOvATCdM^@5wR`6aK8* zvpQ5?M%?_NNZgvh>4w_sjo{743jvKgTJq71MBWi9eP~u3wI!jkSwrU9F98)O7;0Sq zxUki~2EA0OBUtcd>F!d8IVnzIx?f5jy|%WC;%wxQFRRoWyc?BA3=*GcEgB+-d4UqV z@P}kPj#!14??6Q6tOKGlj*w>%;w^2Yq`U31L_^k8g*Ya@Fn1eXGxk!n_L?weZH=%M z?`s&LQNoJHpD?FjUG|c(Bh`hjUgT*uL93qIvx;f&S6Q6<04pTCcmp+YDyeO=Hme*D1 zTE#`%H7BjuZaUY?(sMd;X)^W@wg6%&CoE|$y~daK>*0G>FNwjVa9Y8gr<0&ri>7Sc zvh9_;0Of3-C0p`DdDlty!ecc4==0KT7~z|9K9MKw0ixZo4gKThnWd5Xd&N zNR?1V$0kxT$hkIdGkTuLR;2yHw({5NB6Stt!hJ3;07n^wdR5$hAU zbPh~&I&;2FUb!5=AfU~~dRD&^M&uG*+RJp`FJPv=cjx%Q@W!V(LW-b8YplenW!M=nP>GRSN6rqxw%sciT zr{CNtmo!s#JjQmc_>(oYSjmzb?l*^N%aX6Ji?taD2- zHtL!#1!X!vyO#Nmn9DRhC>OaRN;4b-3*$shPmJm{1m>krW>VqPd4K-q_ zar_|~TmA*!iR-JfB}3MjdC(aYK4!^$c6^sJQ@Vv-rQj($3KhE3;j87 z*R(@y$Dy~X+p{FQ++|~8XAo?)xEbm|3~>;?#>SJl4C=6>9I7cg^7NUgHO3vDb9J&h zNHgNkCFB(pj^}&1WKX_nd;Kse{iI03fH7L}rLwgCX0Q$?r;JXPUhimHecQ%3OzRPQ zfJ3{;+}UxEqSSWe=!K7|E+qFICkr2|wkcyLGS};mvwotvl9eMorbR@OE14%59g<;2m|ayZ41E_W5A(&6MuE?*-D0L{ay>g|2430L;>Gshw-GTfk` zDOdtky<>*c+m61i*6}m5_Zs^jE9Aqtd54yi*R>G;?a+JfWoosxb_AJTOmir4QtKav zk_)NQA%lMo<1sH;Av3*igq1p~i7%lI=!LeRur82tabd#{t|^4n%P3C}cJ7IKD*E9E zsY+MxR>ID;pB4->t5c#7SjE1G4(OhsK8aFDNSS6>AJO_w2T7kWI^^H%Npz|p>opM* zfvZ~ieKI&JJL@)JXN19NBFT^p>E@BJS zE$vtv6I5pQu}gH0O4=&R>#!*p1+iYz#p{QLb^WjAHE%APxn`#**8^HJPNM-{v&|>2 zHDuOY#jCrm>D({(^4rzb{9t`dM&Wy_Bea~$nm0Zj=R7WXmdheQ>=YaXY^Gix2f+cY zj22{h_bnUCBQ1YCM>}6rAR19GG=jU}kP77MifvYtGem~Yfd9v638NZyeS>KY;2^?% z(7H@Y6y1c`@V~>$e^<4HLIfmMqNIg#r0;LR>w+q*#q7W$f{P88CdMxpeZP)=!nw%} zf?raOb(}5c4!(j^Xm1=0Xg4{g@p9i35J$(tWOR*PPX@-?oR(?H#!=TO*X2fjtE8^o z-c2h(S(KN7%swDurEX^%zS_uLbGjApIwWl+_*P~@Jy6Sw4|M~2Yb|rrw9myRNPBte z&wjNQPYx8npIB$m_xdlil8yt!)wsfbK8v|&t!N-IagBQFtq(0o)F@=)(|X)+e%8f0 zJ75d`#Hp*>dk+?$L;_T>YHdY$pJ0h`P#kO(8^^8^^aV??}yK*~MZWy>IHS!4xArL!wC`^Wo( zil9vj2~Wi#^_me!shT;nAH&fe-&1#i*pW){V?szCg%~hELh>eZ2R5=vW@vV9h0Oj7u>=mP%7VQ$jRL#D372K zDX+J!VACiTo_|M?uD*;*;Q1?K#R(B}7%*qeRkLCf6mm)`IC-U5wOW3PM@g2 z9^_X*WGqmo>u7ch16(B*);$c19B_0 zXZCF&QGR6A`1~6sD&mig%$h4RCnJze=bip|hNnNge@wkDt)U9yTq>G9>){q|)he(n zKE#6FTh-A2uE+xetNf%8qOl~1a!2mHB-I`g;A8hSLrYpe=;K^N&cz|q`1cfAPl|_H zPpVdMMBOoa{Cs=x#6P>FguNNmFhb#lsrecau$VKx^3svnuwydKaPO+@XUmTG05=XVyl66kG z+VZ9~(hkTAYf9XlT(LNb7c=y*e-{ari0F|bQw=AaR-E{iZapsxi7Eshn60-9WhxIH z{K;AtqARB(U|$>6l4JCB7JXKnD~SZPJ$k){htJ8+;YFI+(|VbQV&SR#bC!ORJPoYw$@f5u>8I)L<9RPFS#Lj4=x=g zFIg06&+HBoN2JFCtw$E-#6}nK(!v)~7z~ z<`I1c6)`f8PE@WTh4n{19W|Nwa{+H#*OsJ_ey^Kr#vndz_K0inJF2&S*sdB1qbI4r zSO;yn`)8D|nZWHq^3Ku}aF5$D>+aJEQ4Oo1P?hXJvnlGS*_&Qh z!vk?hw2;sK#;{Gfx#X^x)hy}(>{{)2nXYS>;WM>ueOFZ_sT_6C%Ddr3rtSD482far z3>4NG1kdA44_}F4t}6m*2EWe5zP+d)#>Sr1HC^Z``0Lyk0|&z8d^DO65;raTCSE+}Mr>oH zm}T_pb-O5>b(CF!D5mGdt_2qU`AY%ni**qnc}HBgluKwdtd%~}ivR7D#UP4Ul8ue1 zhbE(coomCE87>HDduBRmV|E~|&bA@*=x?GR?Vs=CvW{tEY26+x;u{QgH3+%PPaIK~ns3kQ_vE2)2(uX%S>& zdh40>1TwJ?gX1n2YY3x*(sa_+6=GBb`P5_QXokh;tm81ujw6nv(H6iXO4+#n)J(3Z zVmDK!oBP)ylX$*&(S7z*@?(JvQhXT!ZH$m_p^Y@ryvIA+MP_f?*!$#_RhSpV27rh| za`0YLpr8`WzEfrMGhS-#TrLuy#Dx^U29 zu23@|^#O0trFJ!)2{HZf64%?Va%$|D`-qtzX8BHCKPAv1Lpd~G3yzZu5BRS=WiOk~ z;$7Y_*7l7SnwSefT6P)LHLCr6MZ-#5&oJNuw`5MOoX>zPx!Cdkbog%EpGzD5ybUa_ z+;_)sB#Kd&A~>Qt3xwia9~B0ueEGx>IKTg1iW2jk=8g+zkY<}dk!`*bk-_XwIs|Pu zUnFt8f0UMRo18FgDDh40aFyA#17BH4UEKd_+BO(p_*8(U`Hz2$<5TUZ8v{Aw_k`vl zlI#WC!q0vNDBfps<P7ip$dr)~GkRdry{k6I)IAeFBx_D3K#KIPqSZfoRXep7FR6A>U z9Q)JZu8`>yHd7&9%|8b&ZOrc9!00d8whx2v?){3OS?4DiSM)Xl?#O)FFjPJ--f_9J zwrxdbQJMVfT%+}AswLvvso8q1OPs+3vUbhy_;h@z$w^++-=(<|{0pv;=-`I|NvAKr z{W@3JWEPD4b#Ck)C#cA ztw#Mir%}3O4_3BAC%V^~7Qi25KK{7+Es5Ikq|g6szxtux>8x9O?XPo>#!Hlw#uuu) ztOD}=8$WL?HII9LJYseq@vL13;EBr-;vnPX?_cL0M#P+iHSPVJn%|pRtskHB?{`{v zpJ+m!*q&}pfz|3K1TfYAH1nTak=b<$)>&`bs~`8Q_ILU4dh3RB@Q~TmkqKDzSWT;z z>I-ZxY;p-sJc^rmy8z-`M%+i{o(N2>4DX!+7w&|9wPI(P3yFEo5_xq0X6E8Zr5 z7yq}-bjtw}yG!t@B@KMSU73^TYt1r?cJQO5zQE4i)0ES=1E~}4(}Q2<#*((b>`9%! z5fC%KdtlNz%z(F=C4!H1;M5op=_UaF&*0VJy{%xdv|jc9meyamc;UAT=l}ED#ovDa zf0x$Z088s(a84m%#O;TFaQzqRinbDwz4K^;`+u0fuam*0+UPfuu2U zXS=lEL~^;A{<---jJH!r3_s2}^QW*JyuCU8*SR-MRkb%x^bV3_jw?-r*Ieo>yQ+W6 z)CS5dBR?PKD(-`PqK94A?Pif0m!ED`8!xdff+|aGHBbL{-JpqDY-np^9)IK6!9d+wys zZ@qJIer4aMYRTNDJ#6aY6{U<(oLl-}MlbI8=4%w@!#xEmQ@J(+>}AH%m6tcJ8r{sv zRA8Fz+gb+x@;4ixTJ0wZ-Fz=q9gdW*yi;bWQ-=L%K0^M?s?UD!(UL;o%o6Y)`zt3) zS-wL`KOFi=D-QTx>-5PE_}ON&>07Afg<%}~i97IZzN+n$1@QTKnt~Dg^Xz-|_lDS= z^XpX?8=gShl7(dtdSMt;zG$@Nou{sS7KkvGOjC>6|(S~qSrkBMTWtv2y zI0SIi9>$y56yuDT=;56MzGBDyN~sq+4>nC^F%~-FAn3re&yF9=aPykhvNb%vdvrkdM6E7k#_t!^X0xN`v-&f&H3Tr_B{Py2&`vrtqnk9MTM~Wiz zEXL1bPSVgUEAFm^e%ktn=q(}bdo{v-Rzu%RqIhGz&WI^1a$-K+j!tJ#v^9Biu;vYF zjQ-Xwpr&!5PBg*b_szRZFhU%>F`weO<>J6fkonM)GmlHk; zl=TZx+CX%=t_g$Td3%jr%X%qahCMw%F?^M3rg9)r6sFPPXHt=ZzaWbh?=&6QIe|UE z?40gMsX_TsSPAn9*p64Hjfxf8H;xLr-AHjMeSSD0LWT3|vzups~iAo-=~*1@@so+l7Z0nO2GPech^ z1V{-#810~Y&%hLIS+Ms;H-yJ-Lsn?ePWjz-i{tmX{GDgGrimuTHsc2GHTPz%SxJ`Q zBxkZ&`t^v_o`+rkdBJ7OI3z+AeEsc_dEN<+@l7%9DF47uybTa&E)U$v@QWMKp^Td~ zg~MEY7kstF7+;JiiG0AbCUCn{j~+e z+`|&Y?fUo#law}t`z)+%v8+oHnFTAQfDfK`yDufQ;i{oX3_qlgtfiFME}CU#XLx!#7}C^$hCqODrz$%pq)5 zCV$LkH&L(5RyJSUxR6eFC<#@oV4E<;Wh+WVTJ-Jet=YHVQ@cJBtg%oq-j?{_uYDXV z#^}ep;_-U!&&i@gzEphm@f}z9fy4g0z-j|v$aJEWU>9O*ks2#5;rFEmwiJJ%VaEAg z9r5JM0AO8t-fr@RyqgS7f+gz0czWk`W}~GuE!iVM6@JIbb`!Q_UY-)i$<{X`6mD_z z(-_uOD&mFojl27hY(Qqzlih-CVvZDH4lhepyJ5WJfk_{b1v~TS%y_0f{HER*-x}Cc zaXW!HC^{pVhy$aVom%g4AbH1cA z7nM(q{U+tpsMa!GH?9vUj@EC9Z%Ypl6g%KV+waPRx#D-PowwlH5abhY0>dWo5S)Kr zFY5Kl!iarbGisNRiNCMT0?#EONb_sLKJ=>xW?v7T+DyO*50f86D@CPUALxd+W8P1A ze-MOKAkd#b`N~@K&(wTvW>dJ=xFnlWAh0dTME9r}wOHmk*d~v@sMZRLBFH(Q(r;sc zkc4T6Dt&O80j%Rxqv-VPQ#EP=*a3~!n*ALFIP?2#-GDX=a;AnNh#AZgDx=ol%8b)l z!r0e+8IucSTY|>D6soHtm-SNjBnT;1X|vooEpv>ZTAwGrU|SYKO%>Si~_egba~vQ zoXo_!8e+3aJ~CmBzMhK2WnGY9Ku;o$2AIj#6Tyv(z#*?+kPYZNcj8Mzm22%nDu{<% zbvxmkGIz(~TZ1*V_){J_v$q(i;R(w;rx1>HU|Rq$ay)*6!1?|6ts?Ly2)vsjxrBQa z#b631P7WTJ)NcNaVUqgS%DO(Vr{$axe$Gi|eMF-5uN&TV9gG$LP%Qb%*SiAD9zE4= zdG&8&9_1o{RkNXcnGMaTp?>WEtFa^WV!2kh6m58VhE3%Am8JSldu(_~`sWWPF&Rc7 z@6JQs1w5pTRPOjdVu+Rax`R1lMRcE3psKTHr0x(tGISagQ}AE?xwsk#f&FJTckJtHqPmGnOBIe|RaN@ckk{0V133vphqPh@o|l zURs|P|7*c4j0nTg5k@`ysVGx@O)n!3j9evfjA^YuC}EQ8!m$lr)%a=BaG2lx;x>JStk4F;e^e* zithyqiLXEl(CwsDaYLM}AaL!aWohoSidKy7z_^7;|d zE)I|VGWTexTx&WOVZQyU*dx4vNjq&*QiE}gDR~LL^ogOA;)f>pONhOh4hoio^hJq%AoBY|z<2}ho z3BGD(H+emme>>Xvd;Yf=9+izK5@x`&Uk*v2nd$#&39YD9lA-(21JAT0W$~<$IwLNk zeK{uMHiXcWpb9QK@%x%~z&u!8#_lG@9?!o|3BZ~x0XYs;5$zZuJ@DG_i4Y!~Gg=bh z^3m)gFj>!nWoAg}>gAOV`wQP2`q!;&j$~Ed(VwAY-&1A?u+NaC!v9X5ugp*jcEjeH zV0*fk^gumyxnFALtqat4FkxI+=s@cR`|B@clFb&)blF!+o(k)Do}>W*B)^u}!*gug z?WS17<6`FPABTEkQi1~?ZUD%^0$Avps`ob z>%qQ&)J*GRj&q$_{q`r*Q8DQ?z=c-&LSXtBl3b6klL{{-_63_XGybgwPP%q2Cu&5R_!eo13WD7OCofRoPtU3^h62uUs1-;BC+i8>>96+hNNN2Kw8 zrJd-6G1MLWihB5yudU%$Fh3Kn{g-SDFYxVX>$sQ8SOFy}|D(VJ9gaV;vz zXpLR|&%g0FBTA;0;?acoHx{LXIxehr8cf2F(wb(v)Ys$Cng*;PwvndumWv0)OXC5tc!;emYn8uSJ@z%=}w{diTcfB;6-- z;8^}s6+)Zy`VLpCcCb(lx0EczXN`n*;LkiCU4`THmF*zjzv$S5etNemb`^&#Y2Jb zfZCyVolUJ_VaOl3-f&MhmKaNI&N}ryvxphkN za4;^LA9)bA3&GB+sRYIelTAU4`ZslBP4|lobDcLQfyg#Z+F)QU`;(lVT_}a%F>gE~ z@}Z(N^dS&joak~jdJ`nz=YP|XIE2ikh_}L=OoM(FUAWrLtx-|h_{eY%&LnA#D^mmy4t^2Q zYjbF`Vm=^r(hm@qf5v;`b}d4{o7JwY037%xz98J+v+5(XBAu&NfRg8(<*_Dse=t-~ z<_z~B@HOWDLQk2}TkA)AY?H^>3}}5AI{cGfTg<~ZV(v9+oB%)xu3QjlevS7Sc%U*(8)FWIuw9h`@=d7 z8g9ln>-As@-C2$R{f*1~uqBA)MZOSVNUi%Ku(5&+)x=7xP=cEsEjI8y4&tCcR_DmG zvja|ME^wz8v~*}F$jjXEtLuWrJ(Nj@yiblCF%}rbw82Ssfv%|*hL}m0cREQPK}kP# zcxrZzZQXY zYkbj5oz^ecp7*oK5swJvWs)^_2%YqY&tuV88O$@8NGjZlE4Vwc%8H?ja;Vx z{`i(H?#+p&zF(^+vC&ZhS9_<>(ahY*d5HEYVA8*DX1cJmH1tt*659V7(RmE(?RR(t zEpq2m`{nR@4?Oe6o?uo9%TL?X4cFr{ExRRCg9BA<>ZN5Ofh_c-ls0s~b`R!YCfN0V zMann8`Yov$a46A$*zI6s-e=m0$KnDLV0>hpMsvoBdp9j~|Gtre?{{_2>#W*!j7 zThK?om(DO#h)5Cx&4ulF0O5p;g>4pTodaKtVgh{#5t8b z+%Em13UhMn%C+K+uR6Ty5M-==T6d8@z=KqFk_DOS=?qy#8I+AV%#^~|M4}CMvqL9R zYGfn$m!bV`5FYi5pMzBQj+l}A#u|=tWEQTb&OFa3qs`FkH);a=c$CILY`&m_=ya*Y z`Pz@Hnz2BEsjHp^9PS%1sd{FZU^g53@TAsG2-x2=DODi0EI6Cmb&avR@mn|l8sE{S z6Td`dGd(@`*_{i>B-9if zXB;!YI{(>VM7Bp6OJs*}ioztLTw-2#`AX+T#Sd2obn_0XD3_{kL3GR|F| zYmB_j9`(DDe!+p-xIe#vG5@!}ER06Q&OAz9CCmpvY)u3SMQooC?79;OhiQUadtZE_ zgQtVJYNgc!6a0KbGL$AC69LdFVh0b;cpTR8zKlS03?$lbMq{c!f>ccEJ7WOE3h^Yj z4SIDEgsl3dX1&s2vWKP+zALbh0LXv8^rJ8eFCY73Lx7NNF(1JLgls@a;qVt%d+xyC zY7oL=?tB<;@~DE>62hmc>nq`RhwlQ-EpMqn|4Ii6qZCbGw7<3$&6N+%r*5!*<*ryjO7F@lGze$*}Jvk#=X3xO=x;xBVr zT)|EJ!d9*{Aakss1Ir?ae1UJ?Mh__hLxyfFBaADPXmyn=F1_pX0tAmxJ;4Z)rXnYQ zt-v3R)p4rI^}_bd_tK?C1LXa@oT4(YN_I)m#abTYuOQU@l0%K$sn~TP7mFb0vOmkG zf)u%soy_d;-f_>%>;tebfWiqMS+;o;!;>|S=|k!nWujDOrOTtq%|l$7!DXBYf8py+ z#oxr5y7V@6O*TL-G_irXK)e0iIy)FtDhdpRI>kG88Uf@A`p9(k z5+uF})Ha7%^En`$Pk%m3+GshBr}gWRO3%ASoF~VMw*_B@VhtKq$6^|w9UfBxL8&c5 zMh}cVR#+EPvjPO z9yiW~oQlKep5Rigg31ZlrF5=$+{h!3w3ZZ2>#DJpCrYm|5B8@bDA?Qy%}evj?Xd(3 z9spsx%aL1k zTQ1tTr$NB&0hjPL$AjNyC#dJlqg$ zYleAJSw8fnQ&24#JWY3-$F6rTr1XGRKw9{DY# z!JvizWzE37z34M@pKWyK);tLW2$LbQICuw}JEe)Ry;$6uoYJ|>G;ALEsr$NJeCVx$ zP6|}>BjhPMy}s0_k2ddi?J{A0oq7lfPq`u_dV8PAVJ)hWtUm1*v!~D~)0pm(_rZfc zZpc|dRaRYvO21)4Cj{df4R8@7B5dA&djJlBfW<7q6~QMu+GDW{s#`1AzG}`&YP}U0DM_4 zVcg}T$tDL#_)tH<4oEn1%YcM~2lhBLCDu2k>qc)grj>Il_!szcR0IfAYF+z*T~uY) zdKzO9{==P86W!cG!K!cHOow`sa&HI7WZ$|sv8EMv9d}J=QtY5WDWInoU^tJ^Oi}#B zW}Lk&H%>Fe$0k>$vy=;8LVv`nZ#()8VE^o_{R*dnM3s4?g?Ov*PVSH7XOccb2i3Ln; zmbz~j4L*U^7&4bgjizlqrNmP7HEyr<6;J;T9p};5ba&4!k~;WsiM@Q-%{!(DJCn0M zwe^8>1|UGQkD&D)(Eb;oy>gReN@uy!{Rn(RbK6%Pa%r{1ef9>zqUm^#7a?RT{w<)!*1q5YZBZt+`yl3k$X6sLR7}!!u{ZsmY^u) z6;JA&O*nLZM>%>VXZK#ZpzW+RHA^U^5Tjz*56QATb2KhhUju>HeBfVgeZ{rP3Z3nN z!4NqUs{|f$EJe4_5i^t0W|9^?Ct&WLUUUh;eFw}dF3jo7h~MocvJKL#x*uE+XHG;y z0Jg>Pg#Jc$Gv?vAX7M51$ErL38KKQK60Pc{w_sDJy<7m8dK3vegkHuHTKwIAn17K3 zyYzN#5S2-|ghQ6I49}3#L(d+98SM2c;O%5vibPLrXIm7OgW?wYI!L1`(96)?uvV;BF)s=GjHPI{>>_| z$4V~Rd!QI73yjE1T+^8odP~?ftrIrg*GL^??m&qN>kP5c;x=))!NvN(mDUAc7yl54 z+xcN@fifrL#OEJkqg2Me68}jS$In<)}&yt_;fuB+{ zVeu3tdPczDMi=wAW~TbLxJS808$MM0iz4Agn>sHmzS+REU-%KTPQ~{eIGN`Eof!3W z_9>)hOXovy45xianJ-gBz)P50kS<%T`1sI3h%%4?4&b|97Cc{dU&(OYj zH1O|v7CqgRt-P}AZ?C)pB@``?GX*&7?r?~ys z-gRMXQ!U-caF>g!l(uG#w_CSpNfYw$SXC)f_jovj&G*)KJ+VF?s<6jQ%FpqeL(xlU z>&)t?vb6bE0nauct7^8UPHwGu>*IGeROd8SJk+Xjck-AxK;R=`(tN-LCjVj?`p<91 z%$T@TMNeYq&f6j~V=i;LI`qN`_RnvRhSTloLQMejBAAY=L#r>nHT`*|0dU3No1O8X z+u66&5Sx70Z;aSrKJ=!hABWT%n}L`KS@k?WviDev{1MaubJnsqduZl%FekR^k zdxpgsSNJK?-Ve2zucO1V`eE1T?pwD;O=Lps1oc+67rCOlfVBZ*1nB8m&pqdJo_aMi z!B9|e>dKTTGCudtmm`!aVp5GqkWU>%{vmS($g%m&!k@o?@rQ&D)hqy38js(4!tp8Q zDuupz0THq}ThAX8YO*F>!ZM~ayg}`IKh`A3a8Zn?N(ah4$aVXFZG2@!ee7pR%`Huq zY~vA{mn}hA)>>I5u$&iomcX+i^6tyXjVg$khrbEH8lgx|JD6mS|e=D zaar@LsKKeQ{aEt%3)j=|-C>A}nNuEsyQs8^2rEyZH4!O2csp7jFR^ zI4|lzS^gR8{XPxCRp+KaMUYb1UEpTp?d1zeh(Lm>KuMXnH3R-jCs>ms#0NxrU({p1 z0c*POL_3J?n}}CD;7t9y3z$#rB>G7wLYwH#<=HsGgZDWNsh2hrtkF46JuBmzT$

z;Tk%dv{an{TSJ$!+~oFGSqbKj_cKyo(7)Ys+J0mGmT2F3a(4ntSU|kk#Mj&7{{MaM zb?dL$H~WuKXagD;d@pnWlrVr?;4*fW2Ut&jAa*uAc~ra&LQnq{E8#~2IAwb`Euh{P z`!kgwy?2DR|JUGZb33^(SQXUm$U*-c$*B*StkTf9ugz8|xDzdVX5P z*LUO&TZmB9Q)5o)6hx9Fs{6P$U+}Blx=Qd$aS8H z&h~w2r7TzD#Lh6& zP+Qs#ND^zFkxFynFG}7Jvhu2Paot8PeIX=CZd_5cm(YAci?ZCJcc8P%!!Mj^PSc$C zjais^anZo+A~XLNU}$zS&d)h`TB8l&0OShDO(Ba7VRGd}F-=+E&Lo13%&$}@$-&tjp<5Uw7_Ds@jMsi?YZhH=y9lw4sC-S&b)5X3TF|6HPa;W`pQfRuA zZO}Rrl=0~hdhJXp_cNgJ)I55)PK~;>JF}{UD^4HbzQ5!8D==|f1J)WRsd4blhNr0_ zTsBcoO4rf0Dv|l-Ur9gxh4xm)6CKb9$4#^&Ks8e%y3lB^UcEIiR>oFz%F|h=#g52tx9~;`9eM@w_v^zJYpLl?u-B;xYJKLuL{htFV$FwNu zeQf7PA&=Njl{&2RJm|)XX4S-_v@ImYS=9;JWy0hGomybZR$g9l-)s6^?U&npXO5QkuaqNlJqPlj!NW)#BOt_aVH|p3E(~LZu;CmLJ zySWSlcLBiWa+x#UKtlB2X(@SsHZl0=m*R687AZe~OFT4{IW0ZB*`vA)GkjhTF|V>T z1LlO_ufZ$&mMtN{q#vT>q_$d@z9)ZEz)$0I9Xu8+zZjGhnq*7gIzk4bnRDGrK;SC1 z%uDzANs=|bT7Ol4(=6Dt@U)oC-sKkj+YGkF31t<8GJM{jzRd!5a&|Ja(#X^!G7lDN z^&`QS|82=aKR>gP@IeiJmoPZR;CGHC^*-e}SbKnE!z7%A+j1YaW&oS{di4y*)9ey~ zP*Ujn?3<5beFAglU)!qSn<;sp6zs4?L9Y#G-oJ3Ehje0MJ9uhMLgT2wDDjVgH}L-^ zV)nHy7b^1mM^Vz;)beaZmlq4&N`9BrBo!OF%xD6Wm}UW3WW?6|6p7SvRo-SjQLTar z0QLoN3$X9&TfeY}VfIB9pq+@y3n}&U>^T z`Y~K6LX&haY53e6*nO_$x|l3B9vA4+yZ9YGG9AmPA$REe-)0T`X@)!%V!Wk8&I4wr zLVT*DJC`Lrb0K>YB}9|B@3)n?^csVb(6{({?Cud?kSoRjGPU#;!1-jHmqANJTvUOf zej#Otbe#N2?sMtOC^B#7iOiy@5%&>|9R{Q_R-HlqTU*-5-tYegxA2ArXB!dJtg&bRHc^_5LcT{@eiLY}%_n9YG z1P+}cM$NsLlNAh%A08*67GI@O$;yC8zRLG*dn0pFX034ttIO>k0zGkG7BX_Hy7+N7 zuPxWhXRFEZ<_X(2(2zeHRVHV4#x}mazRs!S_CECH&gW&E#cqDz0ar}?Oi~-KY;6RM z68+Uz(r!N8mJF1G$|mH>V&eQV)eAsN2ObYne}{JxtO#DT%J^rCq9Tbd~l#f0O4%MRq8fZcEYtR$31*45VQ&D=~?vIhpX?&}#% z*wi^=^nhRyxq^OsS$;tyO(|fB&aI!pXH4-Eud!bLrky4<$NL@hAEj4OPHV|2;re*C zNBS&&QCnD>dchh&3~@ra)}U9RF|PossOy)qDk^I>MgB&bxZaR-SKyLh$ zf#@?{c~SLVGGku0RY*1gMZ5lI*mpej+CWM?mldd$YtlgJ}q5m zV+z1an*mRwK2vHuP)2=|l0DTm%A%KCKaZgNrs0Mp`}7m@HCi_7xaJ zYo>$(ySADf40D~@g~_M_yZc^Stw^TIJ0*X)3q+WgR-@jijSrJ>NS3hd(b)X|@ZOSy z_}x}+`uK!LUUJp13m{>CAN7ec0#4xNhW!JnPX?S=s+LBNwz^065;3MiT! zR*74bK#|S;TF;v+sW`O9uwGHRx6g{S3VeU*W)F-d2 zKyL9L_EK@4$Kp!d(M3c4JS`bIi!O|HaO#e1gr#Krbk{$>jVC{g8p|m#>K)%SbZhHk zWNxMFo@$xvApgExSt$nscVsFd(P-6tEzeg7GRnN{kx4@2`x8s#Q-#Sf;Kfzgy}C8aCR_KYiTk&_w`l|EF*bFyoY|5xoC9zSaa$%ghg~uE;~q)EIHytMORmv4 z&R;bTbZr%%Z_FUwjV+%-lmDU|6Ljhzx9!O3cg+c{k;#EB{MiPi{MCTxi&~s>H-`^< znqXWMQKW!ESrfV1Bu(Tx+alJmJSoU`2DtlXOESUG9GXXoZO~}b$`;D)p475MVEoKs za8hHlm3|BzcuMej{MHj@izF?@YMy#9MsG{+dlypXkXiD8fwQHvuLMDS-(LxX$Ujep zggtXs-o05s@#fV2K_2<%Hz^S(uX!Hj{(Yr{1*|?L;dN^g3&y>-85xIr^MMA{=^-AB z$4ok^Fq0+i$%UN%t7KsM08rRl}WuVGqeta_@;)t zT#6~HK_JBgA1ZcDl5_pR6ahM`vG(8TEl01J2cT!}?> zeb4FR2*4h~EIiq^klOU4GCq|_An0;Zx>{2fPskTGi=Oe2 zNrHF;(D(b-y{+*FJIS<}!K{{qoJ6J&I1+JnT)4ElcY>M#HrMT2?%mhFgAU5`? zcA-6357-QebTqq=Pg606ns6y{p!Te^8y=N z7_u5-OCCUe9LsWfI}-yJpkxIY8y&P78QA(U;1HXzb?L!>`Cbl4-RU)@GGTE6M!p?1 z1Q6p#m0wcqz_eM>G;Gg5zX5Myqg}sCdY4D(%Rb+v1TQr>KzD6M5A};o!3T>?=LS|uB9ZO+((-WCa*&_4dhVqFfzCdFHOUgO zC}k1dgK_w}iAqmkd7Yc4$kuGR>~7^RsApI&ogN$gu^HOTrnkJ23|Yhg?rGJ7-mi%J zPH*{f79IaR7%uL02p5cPO_%k^bWQ8&!wr~)`#pSY*&?ofuftnOa{RVGs1cg9odypa0b@B*fFK~PrR!9tVoQ5Rx(I;(msYSCYZIAU{*arq z4jY%QS8{dh=u#sM+y8VS5n2Tp3qEH-0=I;a(=n-J$!Y&P`D^U9vN*hcuOVlPxYe^g_d|tBv-%aq2Eg;q z=#-5Hy0~#ZvcB@lnu|VFp$@j4kI%7LY1su>Q-Rxjp&WIbPwuq6PA9Hus zKyM2~$(Sh46eOF^9RBx)IBJI9PkNUU)CoRzof~pcV+dt6d}%p^^*x22apuaUyr`nI zMt8qJOAfh@@O`TnwTCdRILRAl3{ste;n9j;ldN5zoHbbO&2%`NXE;BFuz&M%ummD? zU+|s`tW%IUB>=OGO(STZ*3TFg~zb#C#*9WRf7T#$>njXwWJq zVXNc3WA{<8#BCRU+9w{da1audx;vgHChL}UnkymDruHS2K z8qDa3t-6w#AH9IFtda6Y{rRhAjK%t27!0=qjn})bw*SJ=5s;2G`C4x!$%L6gxJ_`z zQ_=&GdrneA!6y|55PVxAck9a(I~1<2YoaWSp~j(iMb-I^&AapKR{(Y%*v0xs9o%IM z5DIYtRr7D1CW%3{$u&$sIRvpaeOa+)MyN`SfpixEzUuaq+1R~8q}3;!#@kC0)9X7G zpW0~|{)$7C8)yK{gb6MmH~I`M^lj2~=hZdSqIl431Jt^UwFiqGBb>V_9oTOZwHYJ% zCm8^(7odLtEzc(*vj((a$Rup&B8Uk1xv)ttU0A%)(#G&5l7JUr3O)c zZh&dX3ZU9VVTZKVxuON?koaLD}c zSfcd1B+28-URoYa8?QTebEJcHuohzqw+72u5Dy`C8Y@st%;KuBBzKnD1kKx8>lziZ z-9t);@l3$Up<6ip*tI@M=jdU92we0&%H*=dNfrv5jklG>XBr&}q3V{2g3yMNv$%e4aUzedHLR$=-BU}aIqS7?`6AT*b2lRl z=#c@!Ai?{Iv62KPy*VDpx|8_^RaFCW^!-+-Ywe{@S^6UokpkjF(IGzavvBYb#ie)Z zGuir-8R1wghyXfwj3%iOR>fGN)@I_llJJqQIiRTGmb{ULaY-?k?U6Ru|X^*Ond#%RqF?$XQdEYo;9wawEXT0ZIT8W`){P>J9b8?q<|#o6FP#*+hILF|1YDpx2U zh(<~8%4FS9?Z3n5f?h!Dw;N0*{rOQ$QP2M3`dWZjG>%1(z1vRqxFJdu?lH_j#|=@B zTNa#eBelz2ZoGiz>ShE#hU`OfK(Jd)wMKQx);xRyOSLdBUA1oD7%mB2W|`_S$oC&m z7@VNX#gjN(99>2Ke>gLM%Kc6plr){=QTBg4gXS1SNRx08hx&UD_r{q|vlcqb&#$bw zKs@?Ezg0aB&&{jLT=fI^{b}j{2qFXu0(ky@e@xQuXFxLP7NCDcMkOS)>Uo~-QGyhG z)|6%=w)yWLFk=f4(-_>TXPzAdapOQWnauvS{zXAek68H5Lz>&Cgb_2`TG)?#ge2QmbdXOSF6 zDizQ+TZI-*H@@Vyj>FAxY6X)zQI|tAOPamgMm1FNP76EFtB_E9Kt48PsjX7XRCUh=(R&7J}my=+Yxv&R9q+j#|+$4qr<=%Yo zGd0+L<1#nUGj6vX6o`%~OF!_uB;t(I^zqmfi|Zo_RgZUoY^k2}VhDD%)9Lb27nOX+X7?m8}0*IoMT;pb)0yslB`tXRZyh2qWQ^3~z!zvbe1%M7hB zXPvpM)*;h^PC^B6K&EDz#ov)YAVFNA*vLqJ7c!!zV70SAY-94iGUZTE@-l<0G+eqfq@0>+4tQPQ|$zr=~-NS{HtSGP5^1C#INy7`(m5u^bhp+4I zB?sjWubzdM0Qy5UqhB#Az*dA=gHE$x#dLxtMjQ0x`#rbYmARC5Au8k>x=7hyVg-nI zTwZCOkK*@XpiK*H8?*qXEg$hRJ*Z1RCOPL@iFOYw8^6k0NDp2-6L! zVW7dS?Q99+oG{V6LevbGV&>CZ#&#G(u{ytQWZ4-`wn>v z+VnN*?N(203;36w)q^IIQRtSbLCenw53dv)7_IzfJh4P%Dwef`=$_Lx`L~PbjQC8} zc8X7!Nw)-OMBhMVp^ zO>}IE^l!+)rxB8hcMF}ltrE7n0Gx8T#HmLgx_=0{>UQnV<}%*xeF^C_zhq6LtUmz> zG^Yqb#bCT9HTN^Ie^)U)dpNU5E=Et?73jiP0lFRx>lwu1;{mfcq2)n83Mw{W3E^AJ zL?iA?=fzj_nh$O|Fjqg>DAD3UinXDFBZmC^iXAyHORY`5nBYGuSeJ8K)9uC*>7pmt zIsB@R46Daee%i<;*FlE@2JU)$!>)ue=nm}Ev#iy#j6L>+?8X&Wt7iut!1Ww@3s@9V z-&L`(tqo#;JmNuMtcu9E!^+I(pSZfQrE*f26QScll7wX6L$^9CXL9YE`Imi|zUUWd zpEHog8ZvGj4c0BjO7oPv4;Q3Oo^fp#;3RjUk(>8P`(g(mrNoywYli^DP#2R^e^|#T zUi9zzWw8$ZEP0+LVxtz@0s^^#a#s3+Jia?2HO+sZpFVC=1g!N-Hpnvqm%Gn$IY<=! zgg2jHV*EKh<7ez&UHf|1M^*fr?jNZQXz~eX>SZGXkkVBj3!xT^L4f>k_J7?2fsjFC z`U&pbi;~_CpUFV6MgXWz2ATpLb8Z0vFdYX*5SOWuZ{mipi;H~h_8svCtaI|1euodL zm8tv-3xl$HUyc{W*8Hh=`X^?(Q`C5n|5`X8M2iW2 z!$H+ggnyV=+sa~%0mzy#bkLBA-+0VaxIA9F+xfeQx8xEqC9!i*xfHjlb%P4ev}c+r zV^4-#1kSqI>6jF+L}feh~a8h5FkZbHuHg!!rqdWA5Y%jB%WBd+bR&1+3jK zEg>pgR?q(ukZMh?68OJAETKd?b31_u;B{)|2_Pqaxdt_oy=j>l+q&~z{uKdI0o0@b z-vXQVSIsA~tMsAcdUX2D<7&iaW;e_I)0db!ur4Z7ib*Sy_69vIJFT!-Ni$IVGHKVl z;*Zp2EX`xde#^mxh6K@BqK%#7Vi9bOQAUW5#btMAeL<6LfK(aG;lp?uUv2a#xjxY9 zpWo!5HG=XGmUeC}+Lom?16x_A?4b84w%&&Tt$LP|?*pBw9$7VXluSJ%B9(6VA-#I= zTDntK@W(+n3tgmpb=j0=AJFon8~c6IaB!fRU(1bR0>~Q+JO)>$YaiPss%vSZBo-RP zELsXt-ltle_9zZ-t~sF8rgSu48c+1&!0!?u`{)T@tie`$8Swpo&59L|6}Ss zz}f2mzwzGPs;EsBt=KAdP{p@KY>L_;6?@mNTHm&21dUCM+SH6qik8^X+618#MG3Wc zpX2`hp8x;3t~d_jaGmjauh%%2us@RXNtK*h+Vp0a8PCQaC$8D?m!&y-COF)2Us2=x zvH>+NLHciOIJBkAU|W@S55(%+jHdcZw-@sW60Q6gYva8|@S&Kzw4rfySZzu{;CE#= zk6r#3#8j!BYADaAS58b5%a-FyM*w~zSFVCKDIiaO<)u$7+RC_K*rFn>Enze5B;iMF z)Hs0h>o2n#w)fz?0qNUMQUpkVv=iz`Kj>Ibr*NL4VD8a{D;`k|`IlDr)7$3|dTRWl$}MP4)kRFB^dYQ2%3dJ0V$S@mNnWQmPL} zx637@LfYnqCFQ*rD?th&*SlSyQ2BX!5LG@&^UR`}yoXM=v@SrDR1O2mJ%$cW+lwBj z0N+=@lcm+n?M{qAQ25OP>xW18AF%m}QwaJjd(rDUM#LD(M}~O-cqbSE<}lM>tDl5L zF3W=ZDcEdSwzVqrDs=x5BVj>Y>i{CqKW^}_f{pd}HUO1O8%{UC zHHYUrqb~s|8ITN@Wpf@v=ME`ysn?r7z;Rmo2enfrfO+6utR_emDH2@<7_NQvNboev3sViEvOEc|o%3+h!NuD%y~xE&wdPwMYX+ef; zu9GzXqVU0to$PR_IaP%uhqhRZY$Zfdx#i?uvwM=ejCi9KYu2kZZ|a}ZDpT`ouOP)I zK|O>{U<~b(rYB3g6r{iNyn^i7o)>Lcc*%b`oEHEbT$9$KqfofZ+RqR~JB(vz-udMq z$4TS!C2dOw#P+MVlbk;WH>46W__yPnSvdl%>ku!UpUme8ONg8MSYVLOZcGeMbh~($ z41k-uZYnWnD4EphXFEkU05j2xZbkA_(4>7@xJgUiVxr3HKrJfh6IN(inAvQH&cW>pQa(4b)wLV^ zV-lP8OpfIZBzZ8?Z{VRrO&hf~rVa@MT4)dV1r!@%EBCyxQry#L7_O{B&;Dhz!|qee zCwQdNh);hI>`Aoz-Q=oN>@)B0)m)6AXPZ89fNAC*mWxzUjY#`3(i4WVBG=or=1)6=UkLrG|7t_ffGQjqm zD!$%NNfu&bFEZ4g_CHgwZN7XGtP&{z_*guWawAXwvrh7!n4k~P?se}u4(G?sVw2hd zAkDwsDebDEfwb;ZhUb}0hUjgeoCcM~`E~Yox6^}YT2h~<0L-XbvH15%=`kfgWqD38 zPqv~oD6CAxz&nhUfDl27ESQafBa`3DWI-Gts260QHP@x>1J@c6qyp%qn8Ev!8A#~6 z*Q*x$4`&5<=v_t^)MIKvy|z3gQJt1ZP5VjWqN`GprJcRqNCP{>cX`M`Xu~cV1{_;OCzJo?~z+yVLk1DtKz!Z<*?#8O45VD z{u@AxrIisR|Gk2(^=f^camo^T2}4?=$Jy)Gy)+kKz3gCR6m{|#0t-UTkk#v0bJnLc@*jlgYj&xR8j-dOh}gzI@|eC@6pG$ z4jy(%h~7|MFot6-;t=%Ex~c};>Xe6F;u@UvqL9*eSsb_bI> z$lWfW$QLLbRso3XCIq{;)NfpReM9-z+vpK-f` zxciEwQ6pIcR;s!dfd07tC$gucZNF~KBX)hj-Cf(ffkP+xNqX!Wj}VB{U_#W}U7c9` z}>C90&P*Crcg ztjY~+%2Xjzo|gGe@?c=`>{iG~wz9Xlu}f(v9Kysq)_$Gn{zuiRC0dIh(6-i~IJzV` zPOQ=2k{@sBX=#5}(Jzf9yRM(!xbY?`9^`obs79z87-=)Mm=cz!#Z(1TO6d_JFp*{61Lo*-p`{r}09{s(}H^0ApJOIquK z0$`1qe{9$shh4jHlZm2d03r|I>cwxa+gAY*TK}{PSg?Sm+qdVh@Bq_d@OB*mR@uAL ztYCYh%F5z%%41!e2UT+%@#ohfbJ0ZH$Ea1_KgDLLys1Pu$iA>2)EHpb+leZ?4+unb zmC+h6sipkJfvy{dH)HG|Sm*BhWv^rP-vamraWRir(>LO-t>`Dw>YZ)7h*WxUwKsV& zdg)=7oy>Fj+{!C84e8HZ6i; z(+rNwY5@hWF7p!(d~@;>D2X8CoYqv~&0|tPwq7`-^_A*yqiQqp6DeQZGQ}_6ujoM6 zCN6aUrPGz~gWx4Y zNDqwf4oIW_5Ms;}?E|oco!C%SA+#OFb~3m-2jU?d-Q9Ggil{vd^bE4$pkJESubG>S zTg-bY*#U4dtUhzBKW#KH2k`a=aS_D&9TjH`cU`j(V@tf-RI1}06IJSWE6SW$@7rxV zTy+qPwpa~E*(zM=1pH#n7Bx5WPF1E$^9hFf@0GAG(?&md^-6$TD11$H4)O4`L4jY9 zicT+iFmX@4wD*v^juamsgq-vPfR|e7{;9ZssnB;<>?sazhuLlrw-5ZkfH>KP&~YJL z_H4c!dDCeYe)cYFW>FQ=e2jolA+a{u_K$H;w7<4e?QH+IA)Ay4CjZztfKI&iENOA9 z*NMSl+S>IHG5@+nhb8^1?g7N_yJ&d3VT2dT0Mg9_gy%6>qhTY(dt7piQ*M33@qh#k z%h{NAtBmz<%xW{E*QYV8agMatI}LPXGkRdlG*Kr_PEaF__}WYyM)1(+?68-dr#oXY z*QzZA~TpUg|jU zDDYQ_TelAum)wNgEZw{JY2T!+XC2rvHetn#MTfVC)Mq#~;YxtE1K`x_7zuKNQ{VZS z^)t5yyw{B!XoKraVO}{ab#wIdHKVJjU(Rd}#g>M!vvIC-oW>c?h3}26@!Ib-<A zfg*OqED}uu@i)UV4b*%03WyP2zO%aHUL%qP5Q>qw|jO4ZD6$BpeYLGq& zu{c>}h)pjPB7&jP1uOR7g7mRriAIcs44AAN$Z7JeYg-|0QZqx#uy2Q3X49+C1HY`5 z*krvL?50<+b`may6czkcw~O^q74&$Lik?DuyppH1ZcdYgT4$`Z5mbxK=S>Q+zx{=@ zu;><-_QDjvT5%*qriJ&;ME-~_C>TsY#>(IFYJ5I{!D?+Hd2X+o_W5)ay~J$d-E2;2 zAp);cz@e&*x#x_sbQ0m<8;FdB46hZ}2USVW*fdsoU;MVGFZN?D=yWoot&flX-T4Th zL`(fh;Ohm1xWqi-l@hm{Vg11>{&ubZtG=+uEVi?dCpZ)l@daR50lmiJBe9H0`%4Kv z<#oX7>s#V$fn@7j243h|_k9t1OIoB!Is(>b-b3{>)P{!fYami6J<&<~Yr}fp0VGC~ z!oABEZTXvVb=sBq|Y+Gl~y zLRc=@jJ+l%8N?3)cgD-QjxRH^@Vkxrm$Z7dL8Uh!_ndb;xA;nHo)|g;pnA224U=bV zN%Ymji6`o5Y<@EhRsBc^Pw=q^ykze}M>j?N+tN7q@UymIh%4O%Exlvw_5Li^jy-b6 zURtOa!!alQ<_?ylsPBYZ??VreE={uPPLh%pY5yPWwzA}k{R8%dX05l*K(cz|bxB@@ zXA&ToQeS4byhL)ojN6YTWHqX2FcoR!9w`h4>~;0h4GVluE4WZ>MQHmyasLBtA`Iuaah1hR%d$}h&x!C27Pp0T^oajF;5 zKDuxO$sdt)eolh&(1=Div{*|CQ-bWAwuguORZgOvO{?6gbZ<9(C`uSY84-%KmeFCU z^Qz@;B8lu%^@rE%q2id$0^7Pw&!l;>bykVWj7pmsEx!*rAK-i5%Z?x^Uf1!m@BOV2 zk2Wkh2*k#I@~729lkJ2$@Lw$&N%fYrz$NH&*H%8ccbHeK#R-BW{xH9%q2tMRLuhFm z5;LToVS54vt7~N7N1wN+;<<>oj)-aGNz#47lL%O8q=m7GH814Ubl?s5al09uJ zgHnPo)!X>(U5Oo_hhW3FQWGgZ_9Q$PYEppO8rH^lXc{zSfyUN zk-zCtJuoXHL)TaZ+t>{6CVn#9V$;2t*f23;4#?*;4=&G(O0pNJ-Dd=F|7{kkN1V*w9@>V3K19GTFgB@20s@?V>Sxx z>EXiM0{*Bjp$CM)XMHTxH>`MdNM8Y6Rp~s}Lw+xkt~pDnsGhQ0)^?$0@C-({@TRPS zow0P$hPKB|87D+SkTe5pvf>>S56)JDO>e0O$Q~@AvI?YhIuB-=6VXyX^%?jhN+m%1 zMWn_0WGFumvZeh9@0yy>{bHSC!!YP(ihx!N+)!Yz1;UpA%Vv$7HjYDPOT3=A|1O zY+67NHChD2{6WT(LRPsIuYIkY%}JTyfL(kH-z|>GaoX)>bR5Yb~iDD)(UuAvVv| zy$7(^WE}&C>V35Q6lObPs&E9&JlC8oawq+*W9IIbTQje;41{A&2^p<-Jc9Z^$8%`1cA_p%zY!1#E>Azr3Ku&ce(Fd?!M;z( znqr6L*q!jES2YHmECRGHLIEYI5P-@sKuUvShkllnw|`UX9Q%7^6rD!2UP9DYfvAB} zL9wOlHu#zXOh&-8%oqmk2V5SOOU=%|dhrk{z%0@EG(5xynon4jw!0~#jZ~!UM?|U{ z5pF%67RZeX{wF6QyN0%}tz@5tX2c+Ap%WS{rR|>HpkBlq*1#GE{@H0jua4$Jv4E@{gd7fn-e)QDY-c=8 zhvilKj6h2H7g+k)N-FNKI|2cJZRW10B*wnqC6c?2XD|_n$ukM*tp4hHhL0zyQQUA> z{({)zMhEt(wwjZ)7PK$MvDY?Rfyru_Mo{s++tU)Xc~Y}`{)jbmFr_*sP=q>>J;MZI zWd8m>IdMhHPYg`^jKRc5%kc5u>HvI>w%!Af^^(?&qECT?E zf2sC22;EfnhiWnM$fc~(W*x5 zN972RQ+B7&9{aovWk(*70kdeY6PhZz`KLf3(dlVYBO>)R)+%A~4Te=mSyXQy^a)DT z+)qaOC)G0x4@alA*%rRWScGPy-xiCcML#<)K*x?;jlC=w3j3d$I8jO2IE)wJQBp@- z=(H2F{8Q8ydnZ}X?(VA|E1=M^{sdD&`$^~&6ha1MYH~Cpf2&~|?^}C_G9_6~Blj0va9wp3$yzs*+1QjC3CRJ|Vyvn||WNk^_OV z`LSE3jx+eEPqWVd!&MMz~2@reId-a7Ho{2tyJYf+&8}yW!E58!~agz}7Sk8OtF^vY+ zr!@<#)ytGdu~&;F8?Jj#ZSRPmdP1f(zJg}ok;z5YlAkP1k0Q1-=)#94p_) z@>5n&PJ*gJ;-dMs=2ChM_((2U_AWr<+(R>07U(Ch0np3;#U57;N!mYOEC`2!HF#Zb zOu+2v7CQB|5zKQyin^gFk%`o6iOo;lUYo)jrj(H|B9t!}$mIU5IJ^3xgt3aDZ_FL( z&@*YS@=80p?E_IK**why^=X}lDH}#dc$1%oenEqg8aCs7{C%*Qo2Lk&&pbeQZUwu# zv<#MnJb}`AZ7wG8gZWiiitP{+D%~4KC0QCE>d_Thp()~C)6%O`2B`wnH>>-`@`Rw2 zh*SwRYS7huL4XhR`~AD~*iWNWh-D6ok{*jB#`V}Tko)PBn+8-QjHv0Cr-NgBQVt07 zD3LSSXOK)vG2({{c`tbmAFG;9$0g&KaEm%}jHLth_5&U8b%s$8XG3hgACd$BucSJx zgT8X!%gSZoJ#uwz*#@*2#4Y8pByM*BM}rBdRa*xzq@7rV6rL}+IybNbdLzzfG3T_) zdjn{02l05@rl?on{Qq829HfaXJ5td;9M&#dk)Xg)_2#bR0kNV=B_sj}6_2BFxj^C8 zQ-U0WnylO4L{>#|GXT;__S<|(y=r4dS;GwA7|Ji(i_$Z;N6%Q24_PBfkt<+3aM)mh z%aW~zo|z)B@KwRz*YEoN>htR_`Mp!F-v0^u2v{0J-M*V`S+_-}o09zOLcC@zu zrB>4ERnLU_W46Z?DhI9^TM4X4%V8xL6DaUrb86FBjVRNm);zlIT#OXGOPLluPzN#C zVyFB7cVlyNUybr2X&h>^XFV$jdbZ5q39@hH;_C%S$ld+J)fF283~z?t!mU}IgFnWW z0^-<*$9_WOpfHP|fMAKG7ZNXUY&CZz(zkz~7(o43SB!R9-#@lSDggb9Vtnu`<#iK? ze$TST4(ldPeEnh85UOenZ+|V{58&1!o?_FB={}Y}(*uV1U)mRX2{{qys8ByBo8?r9 zt#P5uA#FXK){RHF?+0T<4Ns%c`rZl|5W=9%mrsEUDyWUWwscRZt&x$Qw zW*bu?-r4{;xUxy(ZVwe}EYmuxv-4+e!Y7lCFVn<%%3LarBKA4C zQb4A2_ufRrTs!vTY?Dsfjv047_dXx)9w|=1G$u$)DREKsUQ8$G8e`ALJU6`qa+ktU z&TIVGRx4Ga3E`pn5aj2n%AUX;xd>PCRiw!b6LNC99%vt@_B-l*I0h6!x_0Pdqo%uV z9MXovomh=#=Qd0H@gQ5Cg^GO(pMYTTB^}n9Q+^a5;Bz1s+QH?Z5EuHcDviM0wjrif zanB#A0J2#6Fg{n*XR)l|1tds4`?T(>WBrAT2oMNym=Pn=sQ|ZU$ zFEeq0lsGnw<|!J`b$%GhSO&SziT}y13o{2f?{(46HfNf%I+GK#W7)JSpFoXNA1lFs ziRYv;pfqdL4jE|>d5faeiA{^gZ1QB)vN9GsAAqmhIyGu2w&;s$jGjb_&#^2BSD+3Yk}dkS)XRINzd!rd|WtqNAd$BOc! zYjlqgT2KX`Eg>4b$gZwE0FREg5njDae&{ZBhRk@LG5l6bU3C>|Ge`BkHoLeBtv8FX zDDehy> z^%lIFnI7TBXKm^1dTRbbb6HWCwJHzb%>hr|Cn*c%fAkNAp|FvTPQYLQJ^-6o1_KGa zXyYc+=4ZG&_oqrIp-+p(vjVKMu2<|Q*ndAJV##$8I;;NGQ;>gPX7l!dp)*ei`&5=Q zWqB3LpITcG%gXcW`gf4US@q}}MeD)5^yTKD#jBr(@(-!9jKAVbd(Slh)T!V_vPL0l>ZBp_a?>==6VA_w-B~L3L!9$(&pGzCe^@%vRKDz0hdX5Oz%jCY@$}>G)`1~E zR}$I=s8J6R(y6G5E=W_^SW9tdefzHhp(W6twf2)UzwW#tD6R0?EltW{wEWW~_%W}? zkj{JKC>xPn;gRI_@3S4PrdfnYX}Qi1_#$TGtth*A}$r znii#Aq644hFu@{qMq!57S`IDsRIXs{gccI=T@odqn|e>nGjk1~$S$y}BHx}4-BgD1 z%KuR|_{?488ZLcNcy$6geT=39)|TRtm&w0y9v;(Ng+C=88F%CrgJx47rLAlznWI~g z)cdrm;OllTHX(R7wl-0so^t!t;R$G9EmZ>~?bnGlsjFZJlDlEN#byvc~ z_3_^;H$22@DRI<%PFz&{AgAS^YeLTod0oqG3rhf%HoN&*f~Gr0u%TS(jDiYRl1BMh zT-10Itpl63Du7jmtmk@60 z=EBrVBIsd#;x4`#(2Wisq!zmNce?#FR@GlWQ?H}Xy~i8qPl*=&cDC~wtzu)L{47aZ zKhnn0RSvYwqQflz$f>gVIvI?WX-=3x>>&kOMfm1~WgIPAE}yLu7;n?tp|yb@Ag!Yz z8D}oOAy}&wUb@!Un2TcV(ApV_BtUU0IC&0 zZ{EV)1y&j9+&}a~j0SBHy))IEj{xYmJ))jj67+bVmNivj^_Vr|51p8T1t|kwt^GWe zleXIHf(11_FAONq)cu=Sip#4PrQU($53746Rn8yh)+8?ahko2-^eQ%9L2hn~8@h2} z$grYwVrt>e%FR@gN)A;5Bmc=DJC0jwNLBJvjfwk23dE!-mv9w2TsW%#94YXk z)WC`DW_v_>rdwp97>6wivY{w0uPWnDTW|i7DA-EA?piyp>Lv3!9iD#Pxq^5C9YG4< zx+sb^P?;vQjck$=KVxN$T&HevW;k;nJG#Nk1op`QYT>K1ar-rB zAmeVbBCny&(--PH>Rq%Z08^Wv#Z-a*Z909OdfSd2I3~S4FJ@Aeoz{3@;7BCO4)fO8HUn&X3#>q^y2ezo(6??TNIw1J zcoMUOQ}QP@z!QjGBQe9!zT0f&l`S0P7PR2~Xu!uf0+vHh`g14r4S$%2Ou8Znz=i+aB%pQIjtI(huNwdfs;J8B5g@ zD6=0+;R;uF)KPe)RSzHD)y3nk)`#Qx4}{K=)`FOHhtmI|aQS|hQ{Xq!_J;LbGI6Z@ z`PQMutnG!VKg!!SP~Z(ked-zv*>rlQoH{@GiQ6nOK*-FShh!`y?<$rSmr!7!D#h~U zoxbQ(xCj%W-E0b;TSs!bTaNohHL7YJyvcc7y1kx5{n*JHQfVcYWZX#NKn#6#qN`ab z<|X`*jxVvD)$e-NKBdDyi=R8BeQu`HcT+)o|oF%&lV37q{iJgmxHLMu5b5bCP!k=ET6TjR0v7@YUC@mbo9IR>3} zTsK!ny=gk2{b`6M>-mWb_i7*?59BW*)YQ|}_;9lO2@qe48}vHgI_fLoZ%*ub?VYD{ zbEl`(k31kdKP9a01B=Wr^{-{*kNUf`z@UpVw750~X%4d4`#5w=lfEG#@umz=u6t~P zSAklN0%J#UfPy5!$I%BXS`(%UPu>}`uRL-}emY_8Z`~iPssJ5tdrCjFfcoUg#+&*^ zb>5Jte1^Ll!MJDypm>#*UM>;X8aQskC5sHq*|aa#S>Yu}Cx|9yv3Yw&H}iyAU==A$YV1290gzX;?^M2mvZ;ht?=c3I4tc$$4-Mt;i%uk zwX@y(56shJO%J{+3zcN^n0|#$y`Gv8(T&;%mKdP-^||x&U_;Gl zHAZMJUmTj54AR$8keTgIu12exkc3O6am*oHS)0}nz$Ri5oG556Pi!woe-OFGq+pRS zq~5TiGr%t^%gOtVTDO+P7F=(vHGOk&h;jR^1bC z*yxM-Y-3Z3JIZDMIBNKpYkeRX9jV>V|KpM*6^?MDfzsQFx^OJ26eXuN@#kLYKT`O(Mg3 zrL<9Ob`0ehiI}qjK=>lIz-Cs?9csZ+u448se0vyOKY8p05%3`c@M5WH&fLb&c~F!H zf%sj=Rs+YekwJ=y{UPJ^h`GZcW>J-be>gf>a-HK4l6yWT-g?v%tkHE4*;xl9vVr(S z$S6$z>eEKmRXgnG$ZPI-%W<9$m#4cV9`F4TT#e`>cMX;@6np~-Pkh$zu+!kdNrs3+ zp%ri6JvNIDrlMR6ie^nMw$0b19XoOoStxS491ALVi^jC#s0}Um4BGEN4u=>SJaVxU zFW4h{0rdt_+J0UK)N-8*_>1RqwNd~&l=)RXYEh(ms5mn7lYoSE&h~F0R-{`H>{;DA zlO}@!9G^oTPuC3*0Hr%dG#-0A@G6<}wLTe}7HON_~YzgF?5(@W2S0Jju)R!6Y{gOJHSY!i)3a2P*C2+ zLA4z7Vn=(4w0v()7QjzSCumli;dM1u zefpR49WLN9=XvD0P>-( z#S=)6me^LE<)y`@*bi{0%we!Y^>A951}z{z4Bn@eN8yV7oIhVu(z@-fG#|+hc7d#! zw@0O{?yClv=Zk*#V`m^0sPdxgTW2Y4KK;TECVncu#mhr}u`YsRI1T$1zy&<&N~wX$ zH#0h+D{x(1z?m2fa$;Z4-4XPS`zFq3o>}-MKC)#ohi3KFjIrC}&o2B#`Qa7VSAfaS zGaZJ`EP3i$FK*g}h!ZMfNp>4$m6_IEzFp}+MTqdzVOS;`YKlr!sUO-fF5@JM!Lxlo zF5Csu%*?|OZb(fewgPbEEA>eekmF$S#M46XHQ4(cBwuI4#ix z(H(uy=rA|}BD9wcl)^Z_-a^K1M>{9KjuBq7kne_51ol6!{d*m1fp&+paN}Ec)r{3c znJMLE)yKZ-#GEroe^qaP4U*Lt{4JiVP)eq$rn38`10Pp*&&&MYKv3AZx@+bAr{T4x zfie6AsGoKvD-3~O}U4_k!7@Feu1TKo0MUr`3azsGvwXc!mnR&* zRxoLkLCt#Cw4MYp`N!neyN&epA z2f4WRDAjmW7dmPu^Qp=Gw$e``Y#_SJAar>!vlp9W{bg+`+a!7!(O?*@R}i2p5bE@} ziXrD&^ne%`LyqUix4yW&oEBE~r9U7zg!@Pve7}95;%-B@kz5}&SZtW>8PuRGk0-p$ zJ)x4W{zPNJxuliCZv2Hu2RR7RF5rG3tO!D~V4h2I z>19$<0826-)SR`APLGYxhCf#dWzMix&sK*(eEX*IgRBYeGWS{(5V0=auyxjis33mI z-D|umfji45#kTf%i=%MHglvQ3RTh3L0K6Qc#09YP^w8m<0Zh<&Id07|_@3*ocPCfg zaKg%qH}3cWr9#yXzYJKxX>aG~>FoioqqKHqr_X`t}Toh^pw#-+9$`D-ZHaGp5GHH1`Yt$zsuxAL)dlgw!=bvkBC};0G-}_qKPNoe zlWRKra!yxiZ?qxjur8&Hi5>?IKRFT44vCS@V&;t}FQ#kM z21XY&J32>;*-U+$3hJ2dCxOb$uSxFRjQ}&x3 zdf*P91INyVl7&esDTJ|>{*UcJs{%cACr{TGWIzaeS^w36>b2%qA3F3?573<>?Y?v! zBPp?r2mb%a&exhK{votcX-e+%CBK}MiRb%TGXGyQ2=!!=#s-=0a6$18il&9BcbN=} z+Gv2~X#Bkr^W#BXv@)pk+4LQJ&9sTJ-0eM<%)3I$H8#W`?(7JV&Gt@0^#M4Nk!(Em zSYBgph5qHLLFFgD-a?MsB~><%z6pf~Pt`8yswR|e<(tB5m@jtZz|Qbz-EJ;*l8=FlZNVjl)|zy-FbV?=0!r{;}%K?zfRO1(0&s!+PY*qxe*AJzEJ% z9~!$q2W$Kr4RW#m>dUXAMm0bpUnT&7)S8U3@7s*)iz19(X1XF5H(XPhyN2|5x`>Aq zOHFM;O%e+SS6d%=Fnl1^zkV{(-GIJ(!{*OGE14|W))oAD zl3*O28~|D(82s_rv5OA+tY#+Zg{e}*S<0zC}NG3mjb6{yrFYHq1jX2 zkj%4WKD4Q|+pj(@h~(bmsX+TOc0`*`Jiy5$`l;A-G!g$^SrXDSjM(Mj;6=;&H))vn z^ZOyO+<6I-njN2M8L|uWNJRcW;+h+&p($j+wR`#iXpa z3mog1imxU%+kWfk%oSufHa&I*TXH*gJWW~CFFMX&UaPXUUIQ-C@f-LbxjTMKev*La z_KQdTU9hrSMsq%+Osc5>UI6`|_IC3#n#Y~+f&h2MwJ*_jEVABjFQVW6y|NbXP;>bA z%F*{Te}KBamUFZM|9jV9U0C=scE+%S>3;#ZW_RTDNmcvo|!4kC2=<7-f9QP7B z4NFY!uH1(vzWBngZJiXTz^;5%UCruL>?j=Ze1h!6JSQ3iG za;%jb^?L?M+ZwL)!ZDA6VNot6nHU^s-k)){YQrLG9Ct~C*Jy^J>c$qirpI(D;LTl* zbcr=Q!mpuKA6L@Zyb&p>Pi#ERdG@gsWi@nO<97~ZqD5>p)EAlFozr-lF2Z^%6ca9z z&oJ?;u&zBYTyw>CE-vTi@!^H<;V#E1wN>X(OLZzvCU^kWh|L@6YBEBzbvdi)nC2xe z+9tHqy7PIL#_ia^KQ3)3sN7PiR9EbEEX8g?KCGw!{zEmPRS@$f@Q>^Vag;XiS z$iuk`v_UJe%s(jH4^L#*m0Oi{>Cknb6;sLD{Aa9oLES3|#-Hdkm086@Tju|K-%s0F zT++Q)1EwJh`)roC^+0`1vK5JiMGH-LY~ATjX&woy$fE~++j!#~ct@eDnd4BF%JCJ6 ziPpuvad@*el!yFl4`K?}yj&!8Dyf0T;S4!Biyla~m6=Uxd60$fHBnz^DA!!B=M0!~ zYP7!SXy+Q_J4wM(uaAwdN6ErW(1EL(e#3N$3)q5}Xt&!qp&HDa2BmXtb6h4&^(bwV z58-tnD6+|YWP|Xf^ONb5rxpUmggXl}GdE8|1y^I*0}^tm^Oi%s<`&IiT3YwWPR%`2 zp17fua-`1eh(S|6!%;dxpS=3Y>{W^h_Uk-H3))!NRw|gnS;3!K2rEn($Ta2xUxvuf zhF!#v?GDArXH>-O#o3%;&O&eTP*uHck&FKv?l7%XdIoY$I0&m?#>SGDd2IA?56m5f zx;Q2uonYnG;W7H(qlphfx{MQQ9&EDTASscd8|Fe-4Ppb7fNCPea&JWIcyb38M9Wm% zo1}_Mvg-fEm`vn67SDhYx4Z=88vFxd+Dr2hCUiQpH9`2F8{9KZ87uwHPl!6tC*9%FF;2>@-o=nq8RKD5?s8nG3~A<*}bf3%h#TdJrZ$jg5IuC~)W%l`)6* zA)?o5&QQ!b^E?}kf8$V0OyIxKOmDUH;>O=Az48~BSua`UMv2nwr7TkP*aA7Xk3Y4c ze8n&IL!qBQac-9zEpMI|H~}a3x%YQzLE0%HxnM)1n8a5UwVcP@qyr)hD{u*(^dct~ zP5eQO!Wv*%Qw_28jW~|YNVe5iLa&fav1tB7*H>%SMJeIQkmW40<~bA_Bc(nrL}wBn$7UK!7Pjo&X^K@1QEq1pCzz}RQq4RIiEPR`gzM$c zd$CEKh_oyp3KLvmF=XR;kD{k-X}jWjs@ibNzgOnwemx2~ZH`GlrGQ>{bHNr0>u^U~ z?nrVc7(dPn&uex$OurQX^IS|RiZfPCFjhR%2QLoACV&{-)JOIGACY@ITf4l&HC5IB zh{JRF*KA)AnQ9i)Xzsd3G$9OI;)*Ge50{dcgenobcn@n&VbjDFp;ow*X#-#J;PY{ACMuyEv} zTl>_yR68)O?e%XWkz!$yrGeNsKI>LpFdssE(Sh;!L-M}eW@(ol-V^M=SjmSdu3Dna#c5-rMrm!>UU5ZJQlcU*&=sx zEFE>vp4{)go|TNBUV)wwzZ-jcI{WHK#7D1sS{bX<4`C+o%jfyz)tHj92hm#LbfYie z;m!gf#d?n%BgPE5>Lj7>8y{JqeS3}V#(V2T#%fkP%{V%(@Z-xJl7*o34vX28s0F|3 z{G#8K`=o(&tw8xh;Q{XxFs$wO-z$P5dWEc*v zIcLL^4D>Hgmr|F*SYfXxW$4;5tBr`(g^L2;v3Mc$vR}xev59<)*`3~+rO4hIgrZk= z{Y2@3V_c~z>22l0PL#XJZ`-s`US4dTrldQe1 zJtq&0hgze^ALgq`AEL_EeIHEqIY!HIJ$WP#R@QIT3uI&l9dMu(>&&4`bSr8G_oFQ{ zr;?8okam^PY6g>j!W$ZvjEo7HB1{1;uJb#hxV%HvHUythtPxBr>QumlBM+X zf>fW@a?4rWU92~9$*S;eKD_LN=~r_w-O{5}rlrHyN#@be;=lMSruVM=bM@+t zTeoje+`N9{+O?~<{<(6M_R%#uv1j_%?^%0dhqt-Jz22g8zb?=#86ZX;N_f9Z$gAo2 za|5Jo2+WlwZFU&`^W5h>_ltZL+s>Dxj671Wl78;~oxXDWpMS30RJ;nv?Syi{7Y5EK z@{tMIPJgewRZLbqjy`L8uxqIQU5bb2>DKtpJHgOBSpmVX8an?8?bVY1^!=DpafuH| zWpesb`~GWq4CdJC=Un*yH0eU6eQEjPdV5bsii^a|1$UeFSYZbI$H19vXrGSO)1<#w zzP=rNeA1CR5aGrt81Uo8ct3oXZt&^ovCSyU2pbDCxlGhC0aL1XVf3x=A}`k2W4$t1 zWS?09vssl{e5&e;7}y1hzyt0nr#b7C0FGXZ8#^(ix3f6@*-1+<8^q=`0iM{ zUD>Zvg#nXqBYsjrKQAtKf>Wxp3QiyCqW@m870C>pqWlFvhg4<#yK`{$cry6Ec>-An zSF^+}(%?@+i&UDbK!M zq#5=r9sxR>qFZa>Pjlx-DSv(610(@Ofnyv;hH8uC`0sTb@1Bdcy*>}$?b)~?Xmhy_ zptVGDfCKyjvb)Lep?|7?e$7v~Jm;CqugJa0?=)*wIUakd-z835eqqjeb_o|5$19;4 zLA#0tZExaFw0@-n-yDOFz~xkdojv%dVNpKtmUYhRHoy1{fl=v=sw@v8TvqLX`CIKq zRi*>cu+RZt{xqi!!flA?R ze}HmJ3G;W^=@O&Li;Q1dADhAQt_J=t4B7K*r3&#U&boOQ=`i4*G^$e^6`al4UsLq=AH&I<{7r&{T12b1FQCo$=2=c>D| z7kS4;q544sp`}Z0PVHj`xu@$sif2e4#o(aM_7dh}|KR#vnp5l0)PmDzL0x=~a0#tx zW`e?8}XX7?E8FthXk0$;;n&$}~GB@7r0q z{;u=?J;?w6rQk?&m$^})IdJ5Ef0_(zo4dSIWy*}oGB5vPYyaG`; zE;~@d=DZYQJ-C{dfBKKNcQ2O;q(6%Oavzn=AQ~>e6MLP-+)c{focuY~`9ACwu)qF_hZm*)I> z?_16*)Z+y9vh=y;n6&1s-)WbhV$#|?5G$^*!I<<}X6Yud^#)}+*89#%rPBr_X12L? z05i)hd2nNpOo=%(5%mK*c&(g|6Harz8%SmXo!6wt%~&S`i){)mxnxW5=%B>OY9i* z`Y_O6OvtUb&<`WFVgIli1PGz~cIcJYuDN)TD8M2A`KNs}fOqLQG*2W@hz7bEWuIS| z??$Dm&vdaZqHd;avZnN32DQIe`1Ke#0R=8xdMrQuoNpf}F}2QL0^$aDLU+S=%@l!p zS?Ug~B`!?AY5cn3H7T2r5$_T?tQJef2HKgowr-3hIgmla>R5l>bWa`eb5XxT*7cdjoN4 zrwdNiv!gbdXX1X>3Rae13I1Z3jwPR9CS$o+wmoCK4U9A^@ZaZ;RU3;$t=`eGsw@n1 zO0C{8{s-lJI9}^C6C?T;=w&#z_~_^bt_HJ{3w-SH_2vH`&aUIto*`5j)1t;T4;}34 zqf@;4ylXa29IE^A7|!uxk1g2~qG?^rR`7u(XGx_{&u-s&<*u#wW&WpoU@N6Yj&#Lc zJYzTaxT?mTL-_JNW98T@S68Qv;iupJ6@K_1j`DJb9`-3E3h~@)x<84p`-p*=Y0vo1Ld3Qa=<$N2t`OYD%=KU%{em z&Fc(ZfYu}OiMyBDyLiP#*{+9b56OMGp8eQ8>ZS#ig){7ub5GaqMLjgAoi%8c|s-zw%F zIm&m#XiWXksOLDDj&A=;yxq5mxYhr{5?!%J)aJt9CGMP~FF-lbB-H)1a9A zgtqSzmg<&D$753undt5_!c2LndVVMqabDg*a=Y;td%~xllA#8_>r(X>i^@UD#ffCI z!sghtTvCbHT^;v}LHuBmPSVAX%&n91n})+gnY_bQ{nH^ru+~Z(nu2O?kzGpJxmtdf z?11Xo4Dxi|`2OdUGVl_DPU)!T>cB+=Nv)f-4|+u#(@ID4d0oUMAn%;$|7C2_W} zpwF7rJ|NHu2Kb@bj(LJQC0zN)Rl;nJOq>tqe#G1xp3sF5uKztkpbfmR(6E>46Rj&R z$1#;>GE?oLps-$!V9LUNOe*76`pVhUQY!VXT-Ig2y4iOW`VIu_>`ZjF+-Vd>5bQ*T z9jC02Ss|%?jj5dw>ZyCAB$Q$adeun@KEwHC5Sws~cQ5uQg!9j_9w$}= zA1%Ln!u0RQ=GA|QhR}CE#um;Nsh6qKXaUKy`2q<3wHXq!$A@noS z@e*bsHvX|Vj-cuRKI;(PpT6$CpeS8{=0gA~6q*^e*PIo>ySr9*s)9%~ce4_CEk8yZ~UXbr4br5 zbcZz=`u3|mdLl&G&w%;LKMMh_l|05e;gW#EMT*hu@{gbMp2wNhOLq*jc<8!IH^LCE zuH*3;!Do=UP6xfYrhs~3w|bCYw)a)yNGN4Aji24>IbhoyZoP)sj8VAYI)Zhz+Lm5r zY`5xyCEVF;i?q;)lD1ovq>5-lZ<+NJFR122mMe+NWZ5OZZ_W06A(ba5PwO^DF3dRk zLn~g)xXs{v>j&rBg3^lBB$Pmj7pw8!OU47%89Q&9JavWTHi~hVZEc{>v6*t5Alz9k zKgnk!+W)ZMcVO&^abp~LmyUq53tO|VUpZ-eyfS-ur6_qA{WC#7D}Fb1{5j%&3cY!Q zgz(aXa4{*ef9fx%ZsHa~zXS`GNXjo8JDK7WI;q~i;TETY!3nbW$A)JLpN(8WrURpU zNc)$&60UO&?4(YOzQO6VhA3Vj?XkgZBWN$68NLV0Dms}@rT%X%J&@ma#Xm$??SD7{ zJviha3<#nmZJjiM98nACde%z^22*_>%M}~pysVIXoZ`9Od)>K!3k&-1>RGa1|e&a3zWGZquwi@G`J$e=eKUYxe zsgOF>^Orr+Fg$9^YVhL4)a$d(3334< z`*yVK?k)Mqj%?W{+j2A_?7us0y9}E7VtBc4tp5#)3L7?bV$=^cQD2Gr4>LX3BlCj&xrktyHS>*1 z-gFWdPQ>+cbplSo`!w4QJ##WC~&RtuS~{h z6DQ3fC3ei!WN~d;hhjSja>SpE+U0b#Li-QJI1smh6{i)w-OQG|I{$xdu1{FsgdLrN zJ8?U^NggcdtfUgK1%XaU9fF52O-i9l6JI4L&malz>hcFD%S0{r%8u&XJaMw+8x@v$U0b4){Lr%1vBu7+lYets_NrF5e+66H z+af-Fhw6G?r?`uxh-?k%kl9X`R=3E`!=@4kD-JY|FV!dO#b&GaJHteat^pd$CEqf* z{V+=z@~m~uzA@tg?Vj#kQq4oSXpBT8u_25iPpr3wIP}IpGePR;CRdbkPJYc*lE2<% z-V`03yw+L*st}e4Ogl`#*L(nG82Y+p(nIW&8clTRQ&J&4s9>)2IGKMCs_7d?Meau` z>H>7|A|vfmnJyEd-IazjuZ1u;3aK^(+N zl$zUT75L9?zf=7|F<`a3%=lI4%6mA&3AAXL0+q#q{^VvOb-sqgbC>8iHB(M&`OMz5 zhDhCVVO^7(6mFV4Ra`4ncouFX{~NbrhlC~&{u~qSe)Ai9%lzmm$WZ-BD5Y7>Z2||= zkUn!J<4^njd^N>Ss(RqoD zp;Sazr(K_H?oqgFUC2;Bd*lilao5)^H<9U@hiub4**;Pu${NyGx&#>k|?A-DDbrS`d z(A~E<0m0HNC$P}A_D&;lx4B1svdaT$5o-t;GKj0b(#OZ&uEzzx1*&0`CM54D;cdxqA`w`KdKj2IJtsn3M zYFLM8EPZ^jM$@z!kQNr(&xxog#+d}mIBl91^N&VE0z~PB+$xpF+f7eeS4p$8i16z7& z+1ovgGP~N^JQqO#%C()tb`?UIT#`tH@^5YsG(iZB+zg2$ zm`tGI5ExjZ-0tBFu5o2X4((AJTQCn}tZ%AWQQ{-CeP&uAZE34oO+0d*Fu#8+EX3QL z_HV(*ogW$Q4NCRBkM&}m#*0Micix-ZS$2Q@mQ{^=#-?yL5K}8NU6_Ir;vK;_K&Z2+ zcGn85LPkuk*=a@=A#DM=Ur~O_i9nxREOIbqOv!2kz}H|)T@r;Ct!x9`?U;bVtJ^XW zGJbdq2Enc)6pgM)@&8TW;3>9Ck@O^GtYY6cL@vUU=Od?C|1oc9}45b?I3HlVI>f4Pxc{IHEomU1^YnqTEu zSX~|D(ww)C?1Er&wZ~=OO^b%N9znYJEUT7^st3qkKU-Ij$!>CMn)ny@&X{v1m~G_k zXcg|C;J8%PPYx0j)JK3qvZt*Hh-dE8bi!ASzMdse-vdPRF`j9_x8;mTon&@-xw)Yun5W8b^ zot&FZm8W^VBr(3JvF)4$*|ZY@LY#^HLt5s;D8udW?m2}IrSv)bVIyJL z%0Zviu7>{<|*9s0_iT??q^QWH&yBe`>Ix+E) z462;S=YrQAnzxY-1|sj-h-_U_vBQ_dXQ(#2t~SJP>hf?$JTTd$ZO4iy>JvDO)KBE! zKD9&jb7$Wx(rD;dZ1#XyKzo!R0@DkJ5G-~u^$#@rx_j1+_=?I+z8rW&WK*hoO|g%c z{CVvk6@z@WZ7t{X++!g9THOP^U60$9;7HDU3(dS8hW8gle#GBn-TZ%wuq>OpX-7fH z+yom3e(J(6amTn1TbmW3Q1DWP%(K;TDEv=pJTr-!MHR}$O85$#&;4Qt(L^o333Y33 z5>Z-Zc9zZM<4BvXpT2-)6j^#6c~}d z#fVoy6-|bITBe@gr`|OrS)rr?$d2MLwyHm@9Tn3@ynRRy-js@_LeG7N^Wpa&eW8np zUYuA#`s3YZpVz^m7(MUk_BU5s`>#t1C$3o5O$Dp;a8rLn2dkH<)WUkrZRHr$uK*w| zX*}j@{gX;u;8ceyil~p4DO6^4wFB!XE$diWw_Z~VD8{&s<%WsR9?iAbGG`Z{q5GWcEpa|@ja zIJ*wd1BrZck-yKS>n!)Rkd*I+V&@kc=Zr#r^(k0tIb<|X(@hjq?iBRp5!-c&?PKmZ zJ7ttofmeSk)I1cEPW5_+jKEvgHU2c|6C!5v76(+DzUlC%=>^-ALf{szEkPOVdGmPV zpZV+~89i%Mly}h#p-ruren;>LnEG}_0wsNim26*ox>xFCCU-g%3=h)dKPr!ey5CQ> zEViO@WVrgeY4j%Jj3g`TJRpZ>di%tGfl3Qn)yFI?+%L{_`zo&RH@0PSILXSaV?3(@ zghCR0DstTUc`pPM;DfwW7Lf(cjM(KGNfpZz&UR|BbC^`3k=35 zEgl#D!9H6$n~D5=5Mw6_yxLzJe(2|ai4vQN4KapGKK4m3OpZp_7KXeKV8OC%`JHmB z{hjHW?3^&7MCQ_{Uo4LumAayNT6-?Q6yx+a2!-c-m3EYDE2%N2TrGuCTCUgstItZ$ z-G*4a6RhB;f|U_*iWY1CxMPhZ>W6qLWUB*oWkt=l3@}~<0R)@zzq1Fl)I%h zdi_)2>_nEGS9@*lH`_{K-0NcmrJw!_|8;0?l@}~3bUcGxpZze4#q0MHI>ueR=G!$)B-3w^Qf7|xE30r*&AKjSMxwoI zu7>%5SKv-Bxof|g2K(4blCKRrRBzInvN-Q}RUxQFbHGt6oPoGp3UC%e8z<5AxClk|pN?MPdk(YM(#M zS{f*$&;Ff1it&6Uz2W;#GDFNd`>5DrVt@z7VNjJ|ta3sc{~S-*=rTN6b|8dBp$2VZS%Ve_2Jk=&QfEhW3j$ zO}e80G9Ty{1jyz$k_%LpkSe6-8W!kfy;(wgW}NB8_tt#8c)Ph%gZUZ=8QZGUi9gIB z2G#v%k6Lpg7R+tvP~CwtTN1H5hYcm3RTFO@8tYbO2TQH!KRAceb7~p%A94r6p8>dv zh=46#2S81-O9iRVEyOt7)9W86^JLSnwUVcXfmyfXlH8W9llaRcG`d8-ZW^^TNC<`I zk=5Jh>zdRWJBH4c=fvkjh&OKET=e)H|69Kq7dbwLy;T7fEk3ium0D=X?pP>8C*2Df zSh?jE0uF8*16v*yoJZ)yBA~^|bB<2U_r@ZO=!pG*x~hGzP15((^b83%Ko4nj+8g}f z8p8Eo=REGh0I$D55Vf>_Or&YJI#aU#KQA-!MMq+cGehHdm^OU{4>`9#$y(96hP&6KWUd@EA$4|(RxTJ-U)*%W zb%T;*#Upx4V`k>lmOPeuw2cJ4lCYs{c*cvB5$sFV0{6-X)#ehu#WPCz7I>zuAH(u- z&Sc)V3pTdT+JBvC2dJ1}Pebk}rNx?hLt2vCc-Hg_+G<{k?IA@?|AGY$X{&ug>858T z*ZY_cY<#qiFO-5kOTX}Ef7$SP|NY5ok`B{0Av?7myKGdXUZ-bYNb}C&k@8FX8I9-3EP@-h7H^n?T;s zwuG&<#6GF#wWP}=@`ZF1*g8%tlWW=6IsGHMcA~E5Tt1*k!RXEg|D7^ZD}KKhz}2rb zu356o07XwV31?fB)OdGw40v~4RadLTs^_E*@frFKIB=BtE3mrzvFlP}m3hBQySbc} z;;YM4>ns;%>s~&gn3+}=`NI=~k=t0;vgZ7Szd%i!Ck6TUV(o@be4|#iz6#m7M6Lsy zX3~F@6hAOUiRIgW=4}gqHc_p{=_5R45-bw=D@f z_Tl|Mzt1-}N`=+nOlvNsc3;s~PS1xB}?jBdCO9+2Cpy~MX zU3eh>o5RT|mgS#6g$iIU zFVu&+IXHg{F~z0$U$4bss0Kbjv}<;TjL8QZ@bbIZTFN=Z*13!H$6_c*~Y zEW-Z(v0>u!FGIsa>Meajzs`!;uf8Oom`92`{y)Ep#bzg8E4JJKos(OWROWIBO<6E0 z@2lvkRM|PSv#|i1zsa!`*HruWA-kAF?KtpihHL8vB>(b6&$$~;chF0GzE)!CZUic% zJAR~>@EdQSm9|7w0^_T$sS8hfll}djfr-V3%TsuLBrOS<%+~@cq9}iCok&>Ntz15A zCIR3&B{+LFMJY4o{5~0N9zIE%pL}G7W!Pn;dA`*{rDF!;+bFY_Q=XVCD<+j-VH}MRmWw8fb+h=10VS_<_l>hUt`b zjw~&K;nC{IYgKj*FYRj9rY9hXhgWR3lPOH}=;;L4&v5<~CfCtc;FIvc9`9VD@cPyH=C z$;^z8rt)DzK>+h}t7z(&4hOusyH}?u&9SsRJKTA+hEAEu_G~Uvd=*kV!2#HUM$v@C zX6*REW3265lR!$&thw~i*BNv-%?esnzVgc-)a3uXBU=bESqv zwIqZ6NN4y4RU7>2`4T?5)|Eg$jSR_ZrMnvWSyIk1_EVsPpGH$KC<#_G+=+1vPOe)0 zbIIVSygAj2e+WiVMq{$s-?0AVAI(st8jOxeucd69+Cm;__mD*rueIdZO#sKLzZ3uE zCYr{q+zLSD{g7OiXlBVTE`~9;pU6dS`+%TirmW?d}>li-LQg!V_9I1|DBx!b*zY zaYly1Tfd-`)9fEK}D5F#g*i729lc<|nwfdCYgL`=#UEoJJ%+7bH$6 zy;X(nHxKWW3U$Un1+wp7(C!oVL(^Ki{xZUKtxi`nn9mt&CPFX=W-~`HRtl%q9hnEF z_)!i^M#knmT6Mxlxz=XYJoJltc-v~)hWj+=)+_n3*7g6tO7hYLU;A||#EcW-a1Rrg z(-!g_{6EW@CD_%<(r(x>-7U%G%0{51j+MxG&`BHX{fJN-s8VPQd%TegM&A(Y#TimM z=lshx&$Qga`5(gjrMpwIQ$Zl##LkVmO(UR{H42z<&-M4+dtfR#$LVXTDdmo?r$=Wn zV_VlHyJ^mxK%i8@pxLZVC#c@MIloa)mb8*dRhQQDL^fAu3JLPiAcrHoMUVT z3GF&eiy3#*7S}5w$vmAcP@EY^WprZy&Nni(S0>D7`3^?xA6rPWNcHEOfUqO#2ktw1 zttWg?)uyvEb5+KJB_VHkTQ75`-;@DnH))2F%JJO<4? zk~&{O4n`&*#XC^c3&UZwQF56apM6vPgNhFty+6_%b3PqAd7cRl*OIa=m8DIf|2&Tl zzL))r@bN0B@Ts4;2|{U*T=1rD%ma0IZbN>8@F|jQHj+cl)Osc6wIg5Y{bwzcl+EEHpw|8$eRhOwc#HE^%v`L|dNnigcP)=(^(d^btbFN+V7S9k5H;H2^CCuUzyGt>_ zJmPDTS_l_J4H}K1?Fnu$7Savh{I?NIHzKUA-D{Ew@!p&sTV}p1v?l`58 z(o$^x|hOi5p&r%?>w_oI}QQ9erZbqHDLVC z##4b_l5E#kIS;Qj?UF9dEZqY@3#SlCM$nN|3O%pcFPDGUO1ekp;0&r1_v&Ayt4UA) z>98^-A3XrG@LND|`yc|XcsqQ(kB*;)8@tV&ZA9F8g~GDUPu$GW{azK}M275-UtOB7 z*|(AhFa~g+Wj})_E;fgmYo+^DkyiWfb>4r`-!s#nD(reNighndsiOE&k;GQp4O7~= z)A|!q%gaf#ht5oLDLyCKQeT-o@ky&p1jx~TA7bp9dusC}ZQ4x!i?SG!Zq+#~YWZ4w z^n3EoF7D$Kk!^x($tAZ>vu%ItN?zr?|6ripnmEKHnD+@E_rfXa5XN1F590Bw zywkq%+Kiy?MqmR87FgvS2^|xMGay(Ku*Hi03^1O_4sq%t-a-)YbuUDw7jJ9|sbBnD z-THAdZ`89obj23I+He*(P;Nh&I$<+kf-bEBCT+l&$P61{t#czMAWSS^sku~#BZzHl>qe)2LH?w zZK+v|-lg6MtOWsa^`$cx3!h0v2dRU&=KTusDl-scMXuLcg_*Rw22|ta=^N>-vf4Pq zxHKn_By?s8NykMvZkTSxd`Uk|uSyHSRt3)MownH0iyBb%3o>siSQEOQe<2)Ro zyn?poWthQ5>|$QDL2o5%-e_dxpt zb(qr*s>dfEP>i<#P1DMJh<((@5q677ss3ZlRZHT)c-`p;qev#6`?MsJq(dmKSe!B4 zOPpUvsyn+@oOCqU`@*s1#=^9&ESUzpO@pL~aZ>t>b?n)JAXCk)?37k+0#Z-fzl+W% zq%I17Sq=Aq6$GFEht)?)Qf;A9`@`HuGQXf|fjJ9HCWZtVPMZY(kEfbFX{9W4!u5;D zg!iHSc4_Dw?%%iNma(#S4yB}HJvD|?VCZ&!aa8nnlhknE?nW__+Cs6tZa9=ChYeKM zeNf8LQESeNYwI9Y{aWtN_ix)Udl|lr4r0Bi!cQfnG9DRt?ZFQ=7I5ce}EhWl&pdF-TF>7xv^B3qu zOwB%aD7=y^`s6FYa_)g1)VZy zd16xPwrSu^8Rt&YH?_;8gc1>YCDQ>+P%SuFBOMmin~tHWl?pzE2?yuNU8(muOn4i`g#GK7PD8wj-GBZOTaln^jZY&Zq3^+@aBZ2K z%2{q->!-0)DgBPeltv0Io#vB%+}I5q4!&ANw}@53;n|xlnR;E6n-XilLjse=U*5T& zo%S2q6CtJZV8)k=cWyV1WjQsihG|&e&foE|kuL>msYGujpo4k{-bPQ$jAwF`yRzFY zH5ANolY@eJxzg*y#9_$kHZC147>3e+NvWho?OC-n;;B&a2NY)|^WEhS1*1u2&P?Pd zj#fx@M)Vr;lj)t9puJHmN+B7ed}W6A&^%hjg6cY*U1WjJOu-ARSTgcAd1@;p!3!lu zuNoxC55leE6~vZ{NdB=ZL7pw3qdZVQ`ajkifJKoCKc4I2>@8!RR)KWqS>Wd1nQ%fwzO(HScVrs65m1MydcT(Mq-Hg?4I)suU)K2 z>;GFO4(AN^W(7}8%{_I>EpbA04ftV-dfz2;jVAsxf0`LG=~`13w(E}X{T#$l$Y97C z!3?)`LudsTX}_@5ooqr`7QZ>GF*V0%vXE zK{W$RJTkdoyp3n7&Mu)I=iLmqD2oCsj?)u;$~X>y)VXU?n)h$o_n++P-mq7q8VbhF z>nRcVlU^5A%97@lrKlekh852LEIv=t37fHRQ5ySm(q|12dC2BIbB|tt&Lb*l?WG;J z8@3=jm-VSVrO9M{Jg1cqj{iXTn^7d`eIi?wL;eplMBT%4_G28Zb8ixzo~vYS!qwHc zeu70{j`j=~$#tPl91V~HF>iweYq^`lQczt+=wR2WkCdAk#bm=~Awb58s>SF|5~zAA zSi&*wO-POBloczY_>qZ>gNww;0})K#}OdiG+|8iV0K?djM0pR$iHF*>ZfYm&9) zWQiJ~G&`#l0VcYKIuIc?K$_K%l%1EaNF$u;nO4_x+Hg`o3wL(P>ks{kayLOIYM=`{ zL1hRnZt6wA#Rg(YOY{PP5&HlE!XYBGap5Q8GLT&{YuE67RVC3tyvMYLegE zu2ncuQ(kMUgY?Xmg{rCD(36rcnEAK}@Y~^dRePY}+Xi3ZfO7lTN68l+b!hVijvG{n zh6)gFx5dXy(s4h-~n$_Pjzn&_CkFqnmL;H+q1Gr;Cd^%;#EBA|LS)7~g z?=msDt{g9Zm}99_!HFu&SGur4bU*b{)Hh-B>l#Md~2ZagDR`LX&%iOL`Qhj9RkbXajYSw)4RY=iHV za}Ihx7mO`hd#AbtFClhbXzwo)Xi&Oa(2~2dA3YDqaD$-tlFHb9@HJp^v_+egkbH|y zqg6r~@V(L4SCLb-?jk)`(|eC|2(C&xquT`}IollFHG$$7c~YSc&7b%;U5H1i{H>}c zsGwq>y%XW8x_3N7R3npc<1VFzG*J9-hBB3lap z&j&w6;zgyh2hz9m`lkZItvaP>h-i43hLmF5NStAD;AIhA>bSn+M`QF%gDk$|AK8)6 zGHgYl5+h%6WCB5Me-YMGcw%3+GJhjj);8bBo>UEM(eDvYR-QiO(CWSWM%E5gb#{w< z9HeNnP7JMLnH3SpjIR)gVVjhrJNp@w#UxJFrFliQ2g9JdYu06e_t=mf0O>pxJlCHsuD7pTH`qEpObMTSxqn;Xh5M-L zTh0VNet{tYlMaoWv56=UA9ip>9Qe$ZV|S;4snN4bjf4Vo@2e1QZ`p=qP0#;R02^O9 z?Lt#+snZU#4{TGt_2vJ4+t-h2vZwKRgf((Nw0PGKiPTeVw0v zRdfo)VSOVfSpz=v8fKl@oe*wqTRi7$3rO|1dK~MwFQxm>3YS`pzMSL`7I3ymAUyG3 zudOjjz*(Y&IaBlpyIkKke)ZW~ zDJCp|O2qU{4ezQEv(am@lhtDPA{k@Jg(0Wkv(l$ozks#}s$yG?QN}wg{$$8#iai>c z|J(vU>$a$x?6_tVimx~LhIs=n`MMaU6;6XIk>uLZ1uvfGJoEZbGf^=OIhfRM0>+C; zqFJe#uWvyqd>NA6b$F}x&d49H3Ga8Vo-o~nUINM45Y!cm8zBe@FSQMr_PER!Xxd6J zz)e}pe(BN;YgyDHlUm2tXRwHx4tiSg&n0n>_ZbHauDZP>&P|@|-&T9h;R-JO^ygTI)wyTI1W@c__ zsWFMY0jIZz=#C6tNP2b;o|aAggDnD2%}R4IYgK6K6vYxlpU#w&Gf%AEC_f3yt)r+% zc$0qm7;7FDO!7ywL^iuIwb{_cn=O#9e}<%A&Jwh$Xfm`y3@DDVU>Lxo{cvahyQ$ZB{sHps6t(0{wso-w+NMCeGrs03WqHY$B&`I zXdv@w7qG5epkPjzxJYv<9q}Fp`hGAFU)4R~TKOvM=dseuva0j{mMdtuMm_-MCC>?j zb##_t_+;$ z1UUZP1OkZCes!b1pb}Kh>E72Y{cixAgtIwui`dNhxNj>+FBvQoqb_ zKBYFZusWP(y%vUMlYC2M(3PHWc47!iNw+L$%ro?0O4h$ljN@!fM)??8Xu-spJsQPKhs_wZC7Wgp$?{%`ZQbINpDAP8zq?05MRT3_ z1{Oy&p<<6JV_Y8P1n;I5_Z9o_MJUcmY4-Z<{eu8fclETtZ;XXpt1gDu)iqj-k!qDW#?v*2Z@yyRz>qNu6axyw9S*(=l zvyMJN_l!sP#fg%TrgcKD>sUhUMojQ4f~AfoIjK=o-n|NWl_QA(Uc1JkK# zo(AM3aSO(CX})lDuGVqcG)?a&=szc}n2RRCP)7mTd<18w_QrW+jNCW^)*YY<*i}vo zL4s9<5ZYXh2CCAVVn!OV=`}=oTsJ*4$b_WJq`ubjWp0Uf z2_rEFHX4RkoYKwD*L(aKZo4AE_f4DE{m}zWnU3x;%%|QDM z5ndhFZ^Ir3Z2QUz{gaqa2f3<<-&U?s*lzAZfJV9yCfCLJTyLAsx?{Qu?Y{IvWmR)T zBf)X+u2P;+lZ(|QVX8-U(U}06XNjz}Fz&`$bc^)!K_P+&=WR!9+x6q(g7IaYB4buv z$fW;Ebo(HpS4=#Cy$U{9BwW;OY}b%J%lpa$<}plj%sO6<%@pH5FuWJAbId4s5+|2S zi*&tfD=hmFZ@-os1Hm7s5y9(wy6L=73}e*DCO!fdNjKGlZPtt$8urpf*9-D;DGfkJ zs)Y9oCb|tG!-AhBr#d7XQ3@|*Clk?uvSS)^kFV!2**Iz}y&eaiBxjw~cpQP7Lvh3! z_ixWCWtF#25MafctSC@9Zmiw-NbS9m6BC z(hZi?7A@bAg@`;}ZrK~%ec*F{+rs^jxc3y!4O&zFmPT?D*<;~VK&#I}kI*Alhg$O| zrr;bawSZfmn~24HiVJBE5a^$sRKI{yFpO)?qM4U3kpI$_8Q+do#8@-ML&%U1xNUu% zM*idF`#CCQ`;KE>FB(A5Dtz14qFYeUZLuuo!MZr&^b1D=CWM~N;~2(c-39_rJBVCIDLdd2bFrlFCL!b zc+;Hd`VHpV@~C)NIQ598&TtHLI-GG1NBq?HeQzfxGh-bRn?3h7(zhZ*X!l25rL#Nt z3Q|5#DIf4uSDwvomd=YqbMS|R6d_Wi)SOEOG|^UnnAYZN8#-^eFbZW)VjU_Te>*OE z-#uGKbkJj{_lo*AGurW9m+|l>+m6zb*95Dq^x#@+#kF?d&)M*bQp#wKcs6YnS$aWy zh-qhu=GPECzii5v=Q8S@)<|;)=0r~dcXePoE{}UV+@_&CGI{(`2@|gR*O>e>BR9XI zMW6X3F)?)!Tcd(y$wYq1MaKJ)F-gMQ@7D+%_JhnX>9X_cRJ+jMmu4oy<@~}{8HvG4 zhpGC?;_sDjB!N#r8P5O1dD&m?WqzcDKi-cSg62Q5t#)&-^V+XwslabLN!eRk_(!iv zyw}aNe+JV-Elm++&CU?Vh^F9^SbH@Y*_oD;6FMG2JiD*r^zUfmWcUYkjTGWM1Zufw zRE9om?sGPaf6IAHo-1}+z0KT(40>Fu8l1rb8l1nWgl|*V7o>Q$YP`O+<=3YoP@bX@ zokenSUqg%M1xO01arE)+f{7iOf0Y#OuQmldd>FuM^7Zd?kcj+CiB0+;tP$KWX@m^( z|4VS|SP4!Jifzx4x!kE-!;o8I)yV(XY$f(e(PH%KoG!k{{-Dg;j0QJEFCU9`MSNzd z@3`g{$+NhA`A^xZ3)seLjqdJ1SFcLBAfQ_aeFK{22KE-?T+(RODZZikTlm7*PKuLW zjBs9gaC}!i0ZA?|Nunq}>va{5SLEl{|J6uwred2f z^wdY$643XcPhcCNsXqBXJ8A95u}Wcqw=hf2Yf&#s1hYSMl@HQUzAYrqjrXPvdqj4juwwa#M0v2d~ zB??SUi`cZEM*AGuIw!}4gRk|8``eRlvWvJG+eD7wdtgF7&p7{z{d{m}MLf4cBiz?o zVf334q`uNx-QcR9rggGgzaZ$br}&-g&`Xo}Oql)FVxVC3R}2N`E^J|zSFF~QD>kmK zJ&ia@FmQZ1+jdd>rbliieCGP5R*>J0rq#fh39D0ty~Fc$J)nP-7wEtBI%8#V7s#DxtC;yH{ElLQ;kDwX z;@Ms$9$u40aN19--rtUz@lq$|I1zza5C6bd3!9I(r6x(|{63IM-NpJP7r(+aU=7JL z^=?(GcR<_EOkUaK75{uo3wM4v%B%84!_Jf{-P$L8GKln<(H~>QX?kpk0=@uX@0OudaX)`$=3~d14@p!huHXT&j)#N77liHT}MC9|>usnRJ6A1c5P9x@$1N5z^u4ZV(vVF>*-P2w}8< zN=QjcNGn~^Ap-h&_WeEo0LQ^`ym#IAeO~AJI_q1sUxMK9j+U=q=nq;fixWh1W%I6M zAGG zV28%7s{*0VBAXM+&>SU567YgVRxk4==pP!{T$raH@LL$%%L?w0km^*po}k{c_xKi2 zMdgCP9aFtZ_B??a45Xm(7UgB;bmOLi!#wOAM}w?Bzy0MMI7mr+x31CFlI%2hts_@W z)3Kq(=rOZh@?69)+bBUaItAqn#Tw(flc{Fdp2Qfb@x05<`&%@+-ogFPlJ!7ET<6s$ z_*$zD@yt|+CB4dLJjMv38cMKuz#AG8C6L*rz5RY;u@4~ zY+@Gi&3}BH5I<;!rbS^j#Z)1Z)R$!pPe0MV$+Qf&sMOh@KW$SDF9A&kS}t>%p zrT<}vG{MRgJSq0gm*nV=;L&2@Sj36mpk_OIjN_rd#*dbcP#vV_>!G(rSLy)30iPIU zO3&{L7HfB|;t^hoK3M#YA$EefLbqaVoIaUn|P04FK3dZ zJL=z;pY2u)QbkL;8|!ecsJ*`_dG2NyTz&>*7j%hvckq&A78gCU^0X9TTH}@AnyiKd-oD93vfSs9~hB#*H<3b%HLSSeHs_^-Tr0y3mNbE-zwD-FP2VshL3+p?pb zOGJ*v(dtu$HUTmngZh3j3KZsrT*N&MNJkjC6u- z+$;&pEh40v`mCd@GV@~96bi?QE@NY{_~EpKJ#>JSp=%_YkH~umLm4EOL*VyG1H`p& zM?+{J`dM(Pcn4kOQ&lS4qH$K4IgXbinDCSBap6pf`jkT|6fX()mhGXkm|tl1mDbT8 zaflKa9r#24CvDh#_Q0RU#WuPuUordhn*h$r;4!}^bizVCGvd`j|0#1T0he=ITCwdZ2ro*F#3p?PM0y#7)ix1M>D5@9Nu>0ZnZe?66h?WpNE$0@3 zB()j09fMQ2F{OFwaxGlyA%b*w@UD@WGCf(PzsU13obJ}2)NjfGTHXNV4V8QR&s23A zFa=lj^6=v^-l-Y9r)I|OfJ}6fs`*vSJs1G;$yEiNxUB)Ib3TlftJn3E9g#i9F3K|l z@V_s(edO<(b$vcRd|31IaE2*8=AQ;yG$~7k+by?y7t;tWR2mqDSEQk#x?5ii7UEJ+YWfXD3srBr&e0=J)vg!-<<)Oq&U53;}*u`J4 z#_&SjPfWX(o

fJ3c{<4E2xxd^3pWavr@g&0$JcjB|eoi3F4Dm)le0Xb*aFq4oTE zwh#HCRfu|Ts)-ONiyu=cO}SsuSYqH%s5Ro0(M#vhB9qDOD0_K6rBwQ{vZ!t>8!)TH z)M~W+Xt7md2BwGijH{C$MGs-y?J|H%VZsxzrR|Uf1RbbqmmiyHt?bp5Zshx7sN@;H zoJe%QKTP)J1%0(d#YO9VwfFYY{?=`l#V>nI{3N!>svbx#ZkKwEyb4u&m_vr;-yj4A zKd7Hob>@A>umx;Dsp;|!-qnOYL#(c)>v*+4Yru^_m)kiI{Q@TA;0#HVeAU1iLhe!? z2yL0gw^ueFoa$y13N(ruqgV{bu~d!?e#Ny4pt3fHiCql=jeCQ}(BjENwyjNg_Fa{* z=ymHKH2sh}%PO7LbkMlyJbwSF37&1x%de}l2D8J7fqf}Ug?zjgX-S)>DoIC@=YKru zOkUZ^*&G2Mt!~larPy`JKVDq#5=qKRcC@W!0c5=T`rdo=^+CbO)=8OM{Mu;{*PB`` zN4~(-+Fm9zc+?{{Q}5`X3ft-G%q+l6vh8T;mSRc+htIkGEF&QwL((P5*EP^ge{3Kl zd2`8a?$CNrH@91n7S$e8*2W<&e0 z)-jN}?V+`DhPT%9{aFqXh0`gQD*aR37p@ni{JgkSTV0Bo5=Bp4pl+y`Vi%>dtBh=a zBDgp42#rq^{#~ox!WO~3n*3rhyj)~Ap}y+%h1ON1qsiUG-|xiG3igC9igLG_I!wp!M7Z>X#YWqH&x>fm)l82fAPEN-IcomS>@f7=@Oo;8_t-Km1KK2JZJOEB&cjm152 zqRn+;33*TAa6ORzg%=`3?K=CfFr(Vl$Z))1(HdZ?tR{tnDy#g7S8Yi_1A1{7&}TR& z8p9Bn+?`{{HEt6ZzI}wyPu<>hm#QsyL-}*SF41z%tCB#%LDgT63{_H%;B`B!GDumD z5vR1wgyf#Atq^<}$_0#7xoi0}Om<6z?2e5J>bEzZ<`RI^aq<4-FaLABj%hfqpz(;# zmItTkG%!sfQ*-*cFOL)Vsa{=}8vE!9wJHR~LT8bHnbac~%S-#)Yt`Fj2!KNuE^M}XU(`ztz$yDJ zkN5#S;j&AXsH#Wuy+`jo?lZiKfqdP;)U6#C9#F%sW*8`{-t1T}#MC^z~sNbW+wW~#O>=?SvYn~1dq;;wO%H%s{Y7^*eg*4H8U>GX{%zp60Cib?Z#8P zI7!MMnL)nDu)vS^j3CE_Elon0<0A)=nRI8fkvSjbkB^a^#WZ=P)QBx^4p-M>eP`c| z)ZRlpR#4T2C+HcA0(wMdDP;^FP>2I9*Ym2|ktxGYC)>v$Fwo`gnBXC)3cV5AE>f{} z1-s6{@v%SG``=!B+_tTrUU&9K{xWmmi9TcCQht-g8?!>PD%GK}baZNtW zVY}QYGP&?)^s1|_kK>-#&pak@bkhmUG0~JlhR#`ua9|W7k@JGOpRW415wPFaljd^~ zBeKjm;gG*Ixc&NH?J>9F1j-}#_cObpxnEdqFg~ymvfXO;jRf(|#e5X{m+iI7Qpz|?Yy!b%ID#kFiw zH6UE4zo-)hcU(yV8T)yaQwK$&?1kXv1(c^pkfHS-5}FLkzvBjiOGMj#p``iORmkMW z91PcWW2HNQFNW1@!e9X5P=_};M1%d#lir_1=c8O5T-|#e9A608bSO#N*2?W-bJn62 z&@f8OR9&m%7~EpwP(mReC#+JFe<5h)?`XB@jsj<7msuf`AJFV$eY=OQa8d4|j&tO8 zJU|^^O2R3?7K~UVwe1S6d0lJg>T~!<)$gG|zBiHib?t`JgL0a;&<9fBof9@J_1ZP7 zEL6X@5;C{9rN63rzhC+XqWM^TI?rKNvnk%v1PL!A6%wbO9f{uAcAC4x!9;cu$~t z<%bPF>wr)78vK|P6zwa1sIGVn#dyF5Zx+_+Ovc*YTos%qI2_y8F|G}w)sk5@(LeBS zNo^s#b{Bf2Vv%E{6Ym!ORIkTEzo34llachV-`S0kZP`}P<6LeQefd-J zi_Uue_>mFHdiKUBu9sp*OXTedHm$6-UZW#toc)!&3-ex`!iZxS?iNOWP}j>~$t+FW zBpFCP76K&^GfKp-FRQtZS>HDqCkyNoZQ7&g6SoBy1iEq!7i>qFtysqx>o#sje3s5# z_#TfsbCx*a~p(qn4z3h!!!8@ha6WhN||%`b=W1Ioqz? zebz=$T`ZHB?8=h8Vqc;lm`CNED~I^Z5zypb$bEcH8UUipdEzq+GI$zBrA~6Y#g+i| zcyEs8x<^uiq|?=P;18OWA6uVz9Ohx~bc|dkTB*(37{aC)wY{;1wZa_O(|>1cwc<+3 zY7JviK94#MZ;c-bHJ8o2#e3o>HIe=vixPvk)KYf0TDFb{=+zn>9TK z53r0qUT*4GyMVEy1?VYuG>J_p(-_nJKhYuSR~J*iL3lR=owV-)MNr zkH)`;5cK}n)PhmO#sLp@4X8&BAp)J_kKC2pD1oI}lK7L+--;?B`~K3#Jg9Dm_rZZ8 zZipLxLnWc)@<`)lN0#skqK`)XZ|+_v3y$0UqFvMrRG$ZCcPx8{c)=7U4Jk2!#0&7U z=|!M-n6;SEd`#{UoUSt~0I0T*_tI)mVxknUHE+7Xn0xu0k4)dchokQ9_`GwRTN32)90W}@_(~xe2HTa>-5l- z>8N%Fny5NRj&R`{n3-t5AD9wDkEmQP*NQ)-nDf(g#b(|7>wn>1Kkm*{1IH0iOcC87nJU-*o?xOF~HFQh0%u%z@&tJMpn7cN2CuNZAy%c+GaK%e(uv z?n+0#)#%mSkXRPcuV-bHI4g5Ixv;USu*EM*creCkPD=9asRK#G51p6(0$EiKcn&3Q z=c@D8D|&@gvKgZfr7FohiwP6i77oM%Nk%Exx`@KbYo$|fbhUU#Q>u(HB|y@tVC-$e z-|3~@wym0Kwkz|^s1k$}V#=t40{6zzMo@r_K--nklFWY39p=RE{YLb6>W%;tg-nKX zb8U$dIfr5@_k~?JQ;x!l#n#Wyg?Mf-b;@})GIGagQa7}$maF5;ll~tJd_)(p$(RcQ zNb?;hcMKbly5R}Bxa@tDbce@;I@36naNKjMC*~7|UBiXD1$zguz@c#lr;Zq z71f_!>ou*YIaGQ?5cmi#Vqe-Q`-Q2Go`q}`^TO?_e(^_)GzlXvA8u*MZ3+3?Vmh54 z*H1QO=IOg9)9W-A;_7A$@)}60=s_Cs?IkI(i#73U?v?g9EvciVP-oW_d8fA^FKPWsCECbz%5x+*^t8o44;2T`Gsmo3l-GbY?UvqmI z(NMu4Vxmk&oI*3T_8T3|pK`UzK;E9yR17wW;arXULyo1rhF2Nm@{qYS?d=(Ifd<3m zH$AwNZ4MDS$8~&1i%}zYd>|cE1qNj;YgAH1_D3+R{@d>N$P@i7@_pU`+BHfmY$_p^ zby~4Z4br{9G^~|gCHpd=6}Cp)1QwiPWZk^)%UIQix)a}se(T&T?DUUf~ZVGI)uny?6|CAUU{p& z8ivfDl#CdARxoGyetxJS5oMgsMl!2xlV#&MvC(uHf-?15Qzf3Q;0=2N)7l63JJO%W zsTw`94zXLz2js`(^u(L^gwkt2jn=|049jOIHtER1IvuM$uUYj2GDQK4;2Trd*u<3_ zJ+ha6T1$StdLthCv6TF6R02>xppnUUtZ`X|9CWVm>c4SAF@9INTKIQ6)pGHCFCX91)4q_+pq_zWKe+O96cM zMzKQpQ?Iui~>X z_j0*hnIHFQzSrMS2Afot5S1B=?`y;@)Ls9CZ^4%1Eq6Fket$?YIBzONOXT zH#UL*!YoI%ml*wh+N5uw4v`zI@0vw2B=9o}#zt=!oBdG3E{fj?ZO=qHCU)oO0KLs_ z63B&CYqkK1&G+S>tJz@3&K(NGGevHFDnnG6XjhC}d|PuQ{Q%?~Y9Ln379{WGx*_*+ zwdMfd)e~3ld4de}CBM03$>C%@*LHz96R?#+ywND39WMo**S#Q5l$&Jdk1(j=gv;0l z`r>OQV79s(ypvfM0~*)`tLoW#R%?h*tZLmRlmrH0pob*|s=XmghGz;&pfLN3Rh!$7 zJj#(3l=oI^`7@u9np9*|saVUilX1rUARYK)_w9*sWO~XSNjZgAitaxxoYvey9pme) zk|25;0&T!hOOj%zb?wis5!_>VmU$24aC~V12^Y)aKOeY)DHn#D{N!^;#0og!sAH>^ zt#E_2QgcrzC3YSEX!X}zb8FGcEIdIWT!knL@x*hrGNjZ)+xsz9lnvl#y-@*z-q>vB zsaNeIoA>9uY9m$)HA&5_CAzUDd`iL%FBqh$+YDXQ9~iFE=P&rL8-#(`qzC#@TS*J1 zRr&WO+T=1~_GU#J1<@MVcOhC#!hEwQ>*|^Qdyt;oP9@k@ch&ZtitqVCMc&&pD=Z;K zg~i4@0cp*%5K#K+B1tr5M?_>yOfHGP&cWm#Wg(e~{*`qA0n#^|c}dZ29BXy0(X66h z`#0$16yp&iqJ#suVL+Em5zSh_Q9n(qviOF|IJ8#fEl~Q{$pA%4GxNn!mx)%VB}McZxKy!M}e;PY~4= zEe?oc!=8UMye!=rxrWX88TaJFJe5oX$>t!aIScYNuXrlEQ5n6PFg3WQAr04=H7mds1P*%L}6j0S^qe&$*AlsA|imQIeUpMy^)Q4Mn8DDK-6=q7c$t7$7KApy#ecj zB5ISG^fPPNj{eygnOJeab)i2Jp$S2$kCeYU->yXn20n24yEd{-`p;($=#4MYR|h(d zZbf-xNpel|)rQ{47ywot{p4?F%CdrN4{}!p9h8Y!naa_}@u`ePZ}4}0e?(mMXtEXU zkG<~?a==StCYVUR5_WGD^4En?rP(rS<_!*S8c<3cQOJKQ`CW^z_u&(D{qtgv!?&(3 zQW7aCqba@x91%TqPyJFNe?^T=R2UYOis2k1fRctGO~0J_F%i1Xb$&e=U1B7X6iWzJ zRg>l>!egM;JUa6G5m1+4botV7pXwBvx!qiKw9{oTic9Nj%aWM&&Bu%~mQ`Mx{|*#g zo}Jfsa?8(DQ&8Ao-)2tj{AG>hAZF8DByZ;L$Xls?%OBL0HJqe&t^e#*`0C6=U2Sb4 z!jvM$B|zmZof;juIcssISae+f&l-!Hcb>Du5{&5$^dm)V%LN-mpP7Y?tAQFLYs2)s z?;t|>$9kB)QXBF=HRbV&C6UmiGok5E&Ui0K&G<^CO6sd>U`J ziYu7J9H_7FT~{jzxwX^K#U{q28{|~CMlQjvEypiOY=*tvC8+#g=)0kU>Z|;l7rZKI zoP!?gLfw+3H=@dtzrcQn0uv^1+Br`u)d_VZ@Sb#=^KqmUpu8qBVap&2k(IzU#Oc z4C$zEG{xH9kX*c$_0O`*IA8kDt-7@6>~tAe{5%9-N3=ORv-~J~(oSWV;v7)2Fjdt2 z7-X%+S5x0jqjld_Uh(eCNF~KY?JLIt4bvl7qe!-(mtuO%-+yl!a5=q>Rp0uQdzf6Q z>=EE_IKsV-wL8%e6N%-6{!uA=9Rv^)hEA7g$Ii!RzhEwffIBJwYz^5`mP!DTQfgSG zq*)318woRR@NZ*Ud%zi?|8}4DWKQ2%Rc`A=9tdCi{mhJ->|#~>i@$UL$6h0*dCKxY zQQW^TR}(_^&>}ljf6~K*8X72ctDdi;SyTNj13h1B4ri9Di7et+4G@gS)+cmdZMv+{P*D)BXpj zxPVC!|AIx)V^4H4V}RS$#G;Rb(r;{t4Kn;SxPeIFfs~WNExR=UehX=R_Nke+U&d=REc$RqX22FsMS?Xth_{RncryU#lFm8G3l zlEk9i=#-y0^Tu|@D|IXrevw=yNf_G4J_rO|LvRV~H)(2KKFJ*W!0bAat^CKyX0^6X zsRMW$VxF~n#BMWZ)%(I&*Y43mIQPvFlYyj(@d&cEA~lFQ%{0*s)-`X(@)A9!BUF*g z0#6kc75bEHjN>}Ne&bf?8#3CaP;cqpNjvJj*2z1lk~OwftGfEYHAK9O->oFK)>SLf z0q4zRu1dnnaqia>fOu$6jno8_Qd!Z&8O%!AJ0*jas55xZM$)XTPWo8#ssnL^<1(7I zGF?PtMzx|rJ6@)~?!QBns?SPN9ui^@C+%z^#;V>2nVRQ*dy;yxZFjhKLo$GLvlL35 z9fb0)uG{cZ?7#U|1Wxx)FSC;x+&5m8Qn@;x+^W+zW&@$T4fZ>-`t?=P& zGygJzulzo7jiHkDsV~RR_3*H)GK3P_T^iY31HwgCyCv8w8;8ZjL)VkWr@-<_Z7)Q0 zbHQ(MN_>REm5x@}s29|<$3}ouis+Ku_f(TVYcVSikQnL+(Yrbrk=`15h7_T%>MMJ6 zo^BkE|CbDsBGDwR=VwVWRehNSC2AM*&h(s&@0)H*(IYuw zB}(@Fg%p_Dr1V@V_hBwGq<%5ky7p1m7q&Cp%7bhPekaFPOGIcueRVayC(H?pkQv36 zo;2IDSq(@~9K+M=OdzEV%CvT=ZwRu6|6nPX{n$w+&8+V&O=IJo-on~?A=V5A=@p$} zXOh&%S;dam+XFs!wqwjZqwa$GI^9_;m z%D0&$#P>rU5>O@INt!7!*h&d6Uu|Ig7Fl?QMu9b9KF+CNSCu5h9slvQZ9@r*5o zfg#$ri1bslk=*J^nYh! zbESU=i!Rb}^dNPFX)Eo?TXJSvyocIj>6%g2YYtZnRGRNo|P>2Q;E%F=+JQ4XOS4GtWqP}}|!Pb;=X6Y2$U-J0dMZvV^aVEf=`W5IPP%lGO(rSc_Gq=h}JcjCBQbml* z6&$AcJ94pA@cjYyme@4g0#dB~_q4|2Cm&@4OkX8%HyBv}&Czv|@pqEwJZ}R>^68u} z^knG)of4kGAl+iqajz^mqfyqLSYxs{pBsSim`>efWWqrNQ^#?mWG_Sk6J`5~Yh~=f z38_2V9ndI{Zs zKbJ9-JHPm1-)JF zmaq1qWz(-66Z%R_IEkBLY%~dhO6C(bk+RNuu{?VJ;mFQ?K$KSq`@-AS+j8hsHUkp_ ze>;{vpT-1k9`K^rG2nGQI|CZF6KF#k&k8nG>|Hu0BzL@CqsO*bNFAF~%O&mvGaOj( z0>O1e(Pqac3g{PV^zTG;k`$6c)3<*Bs*Uk1)1iNvjoNnId%m!x(>>Qg3ny~Pv1tOf zdL3Sg-|`E#9Q?rE-p1g6i?LYNTnUo!dI){U#-%sw z{MNL+3rv)hqA`1vk?poyjDL8RjL&YHM{rnFwkv6iY|bnJh`7q+7>4ESV)>RII z*qA)|1JgW9dL3)0{af{u;c^xu9cR%8DB0b{;W^!uAK> zM-TFju`{eyj!sk3do7kQxn{!`QF~+c0rfdF`meZYq?-!E;Ba{<6>^sP1H|8Q2!DiY$Cwo zB^0Npv>gjwytxg_@OebD_imUr?5vzF%PSyr8h50xDc5m3aty0Y`T394JS06b z4d|~q9IH!N{>}ddQbb@@VkeWe!K!U6&WC#)z@Ez(Q$^d?)ACXC_8zOn~5fZ6ptJXe4^M1k)KIcWf z>teSgv7(rn_GrOqhL!(ww(E353rM%}-_zu(|9OrVrY7F-t3)!qMszJxr9R#N+*1x& z%@l2)PKw;VlhW6YvbU2!l74=3LX!H=U>V~PoKl@SpY8iUyUx5y5cVH(`N>JN6P7)t z>BYo^?UClYowK|S0A>vIQLb=c5#;3nBDDI)|2h5M>6SKTOVl^kHlKXb&jjEGo|-1MM{%`3jOrT#))a)RJ;Y}@6dKc!}eMgeAI1A1?k03y+$7?(Zd5BqxKIO zl#7B5gT@4z1|J!&(Sd0O6#wCHbG)1#bubvFQ=cV{<$Yi7HuKNS+7&11C0~Iv=lN~^ zIWNwPn19x85wm33o<$mWrv=U1*61cQ$G?QQ9~b)XAHRcgEhMe}iIYu*%9a12H`v@K zPEb!XX86`L#D^TJ)F#nU)^W?s>S_d~gdl~CMz_2r0&{a5U6_nWOBv|nj~*Gyk7Srz zWZT#NE4q|k&?5dPvdq9pul1<;-_w}w50lMZh9(GQKGyd!B0BwqR&*x1rLip7Qy>r; zw!x5F=!)v4VGlY3ZGpW24TTUR#vLp4@oWB~>7vWUkD^(^#Z%pA*OUBm#QSm8mW!WF1 zgX8dc$OM{1J=z|yDu^~8Wuq=7r(x>%r1cSWPIJ5{5natM|0=eOWjly~q*A+Afp5w9 z7BE9eH3lga?(3MWlE{_acdi3%Uxn+ODnxV_YL+!UulysiQlGcwn6p@H^jX=_%2PkQ zKQt(IoRCs-I!Ht8j*yp9eX`;y)VRr2@o*i(e|P1>m-4Jk2*rmm->s>h5_>1)f335a zCrfd+GAEdTrf3S_iv>$RHrUeIBN}!qP5_%T-9xsRS2F;*WB}FW-<&gHTyeJ8V=#O8 z&(t|g^yUp`AuG3un&@~?*+;IbTAoLc**IMqzzW6pQz&&|(tkLTgSFOl{NGX$TtV)0 zwkIx%9fo4b&!ec?VyaUI*|&Hkk;Pz$+=t}MuohoP`g3I}0>usu- zn*4$bmzaN=1fXw<95g_Xp*8mXK%fxN$0EFr(dr=lB{TEQ^w;9Dz(0$LSUx)>Pm_>H zv39U?D48$zfI+#4|APP0O{q~D1jcAShfYXKe(>3%Q?hY`=_`58zig=lHKEv(S%_Xo z7lsueB}|8e#11DGVr>dw{Z)6$ZQ^RbzD=lqdx{weL2C=#1suZ|wEJFRzcqNo&V(9x z6P{~wUFksAWKyk6Cy`i^)-kj?*ED!r-7l-kl*sbczVi!BxeNsjG^%wZpy34Tkg4=& zUAxb6us1P0_3Nhb5{@cC^FT?(>9SRPV&P$2pHJ0r>B{`h>Bd~O6F$sRodfCP-|ig? z%0JtsA07w}sU@gjl`-aV_qs4($p2~>UCFV;qxmXMM~%?16f70j!>c+?$?(Rhpu+9V z%%zT}ODIIBxA7B{mQZ@l0;vh`&f|DIz%sHAcF`Sr7`BQx5Y8>9Vu=(P5)hKS1HYSB zoo15FF%Tf4I`hyUxLU`Y30LYY6uuhAj3|K~G`!2fW^$+T{Nm??X>`wUf8SQmXWLOo zXYWzr6lu~>QPWuLF8Z_aWGVn4?JTKGNM^o?95K8;2xREn%E>l`M9l|J`v_d}-B+7L zdQW_#Rg|1}$5m(|nX(2_Vwq^J-N)f8WHjip0GIETasz*+wt7XUMFpPaN~4B5ChQ;T zm}iIKHbcw-@0Tu7mm;JtPlq+uD~VoWJH;T8qL2Paq6xu1c5Ij*;`^z`T7~N>oFTV! zn1p_EzX$7Ng1s-Vh!K?)XmCh>@MIA%Z;f=1%qW>#1EIvR$&6ih8Vi<=o$Dbh_PFCO zn!ZtG7b@9!+v{kAy#Jj(J!k8A29tx03^&u=%i35Ch@`dp|EbCKwv-8$nI-o8K(p@- z#cf@tm=gTfg*&&d<=d+&;PQkZs|~}+3@bHM8L^AXFVs)mp#fOryN*>vBFgbi%?=@n zPpeVDhzc2lKV;I7X%pBJheFxx9N5*#GDbcVGk-6S4QIx}pYlaj)YiT3^OmihFw_@~ z8odj39pBvy5a1yVk*v7|N@)nNzf`@(`hnQjcNnDASlcsYAvZ-TpzNU}O&CZI1!HR*imCXxyzt!OHepUEv+T zRNGg6yg#X1U53O~!~RYiCSfXU1Pm5DP9F>iFjvhdB=PwQ=m0yN(?QIu6^j5cuUDW| zONpz?)^8t?505F5IV#-E7==Feot4;_vrZjD6)4;pQ+Grg42Ntfu|<0N^eS{ng+dN@ zet^z=W|)W5((i&AQVa93;m?VfUN?=x?OJwgYfcHIxP)V+WOQs4 z`rf!)2p(&fy||K)$W!GgPz#9w=h1*4<`BN)}$DUsu#+k?UX<#lW}6LCQ|VZkVB!;LMj zV0X>Eo&2By=VE^^qQ>`r#M_*KhALik9d$W|KM53xhR=)XG&15l5v#od!eiOHgDX(rwP*-x352&^1tjwjTDA@>Kz zgbSVz;aZ_SzpKDje}7P)K#OY6GbNJov4wNC3Rbl&R0t%G4fW?ELI6%DXhjiFBomKpu3(4%98Rc@sZrT5yw*3J_lV*$v*{6kcPb%* z(fdR;;}gFl-FJemk96e<&}A@@E#Evo;sw36I10SUriuj!uy=7zVj&puHpTFu?~WL3 z|A8*bw#tSkwc(Ipy8aQbG|h&Iw@F8~7c@gOmS~s7d3Q^Fgp~iz)D27UzlxeWW#h@2 z+|>uct|qZlt$@d#k+cQk($Q>a#?xg-rysx_ST6o&y#mocdSxh(fqQ{{7nHH|gp6r6 zo6Vg%OD&3KdQ#jkGuHz1u@xyN3RyUq#D%_YI%c^hOhiz556fZJ`qnTAf zAK%54Owt>#ma6C!PNqJ>Kr`rN3!f(&^Ox^Pwy1M{u5ZTzmfnb zI+zTbYZTGrUey{?iAdeaOjcZ!ERFh$au_Seu59pa&{@Q`qBEb{USo6};hMIM||lUE6~b z)7ErR_MD1_h|@MD zD)w=tZndNtQG>>HIoX!km^^aD;1Br$zRmnP=C4Gkk3%umJj8KlQ0cM%$w_V9YG-_e zg144u_>l_Q`JTgky{N&g0m`P`3*d8>p9438m0mEwSEC43jC8q6=Ss00OF5Ce#TB9{ zjrC-%ah#hkk_6e{^@VWCnw=wpEH;OfxHUc0pB{h5G3=idTc&Ie41Km!h65hiXIswG zD6Vo1{hL;A|>vM*WiFu zi6NaWC+cBptMAU5ywuCy!*<-|_D^ZmJpcPs*IqaIa97<1#!Y-3T&>;$CzOz^sLjLBBpD%YoqwF_D zenVN0@GW^vT5X9FIsg1MU8IT}dwg0|W&xZetQE2XEo4oS(Pfu>j)IDIgMs`7_i$$yz4;tq( z-;arxzmo=jQDL$f4!$c)cV&mkA<%r%f&Tbp?D}wDb)HxflwO}Xv<1hPFXa2$iI`85gXX1Y}F_$R)PtEA6aXD_p zNrS_b<*U%V8p6bsZI>?7cTQ=`j%4vjffow+7n+>6RIpB~ksx6H_3iZy@$H+pt9;q5xWBNkt7??_nBm7=I>Z%68dvweGppH_zAhLP&`$_$tBE3F~N=bLrHuZwa)N} zW4Lhm@gcHa3~eJP4^OS#kt??y!w(vlp%uj?1#;2S z)h4Zy_?JXqCgYX`_-3rWylk+4rFicH8D>y2W3I(xN!wkgDYcPt3Dx3Sz`JA{FI-H` zmQV$t>{u&vCO3%pNwDPPm>xw#rXULD6)^U?T!rDdtiIzCZe3ch;dscvG6^N;v2pV; zWxLVjM@OqDf|$wf>h0puA}g9+>krw9kuaCYT?lnCc<{sDH~k>-m4$@Cjc?QCbcFL_ zQO+M0&j*p*FzEb^O|j`n+{jq?|3((sLYJ%=X5W1DT+ty+B7^LW5-I05%YtN50pP0y zuP)U)IzCm}{nuS16IlDc=Fs>MWwICcyG5uq!1C$v*gw`Ry-U*0ww#bZpX~uUDHo|c z39@L=DlVCbGzjDJM+1TmFDyq@5vB&Nuu`r{!varQ1m1 zYTNnGqGZ0M?QTMrBwjJLp7I$|Nls>XU-N^sFW;-Pr(*zC+)y(1S+NqtIe<}2_!67) z%Uwueil2e=B~45FfD4Pey}g959mY~7pzdCnlsf(j1`w%QkqZ!4wu~#F5Yl`ap0u#1yEi@$@Ezgbf<3>b8D%j`(b95s!f`DdxOcY*T?ld^;%#m zt-ymDXI7-w-KHJe`*R(wxm=O|J^%7_4t3(^f*b&i3=uS?&FcV`xYC z_Azl)>rQy30^tRIh@LC*)4>BA^>lbDSVFyCR-VV<)%5V02jpEK_*^0f^s?VO+bu6O zO#(0E@jD@iv-AKYjmMdZ@@>X0VmD{ZM{>`%=#pDn^=H5q0KE+b*^akmIf#9;sR>@3YQYZ9}jpH{;g7W#>5CS{chtht8FZ$e`pFW z>?=j)wdZ?PEz#g5{QfpE^m!-RhCy~gGp8)Q zzH3dNcLjr<++hbj-MzxkzdPVbT@h^EV)H-S60jjb7IFOJfs+%MfX4UVq4P|==t6*G zl}Wo50vkY2GB#VLv+^Sv|5J1@(_Zp{p*uK`*(a(rI!N%Wp$lZ(rF8J43o^HtK&Wiy z6>V$l8Z#nOSjEDlL)hw{9oSRLH)Mgb3Dm7i$@oSmX7f_-IMQ&$M<+d*`&y9#eUqmr8-|=d!)iJe@w*F%>jz-=Bi;vfZ|VYjN~Hj=HixK=nuFnF15CIE?9#8R zdd*aC-vfX=xaS z7#O-4LJ%0bhLnz>L%O>`q+7aExP#Qr&x71uXo?~^E@wKI1cy%d+%BQ zwbwe&-|6FeT7YF5ayP1O1H>FTU*6M%0*7A;aQIamVeN8|8N6EXFE!BhO@7PtVEM5d z=-t!52?OzHMuAKX-c|JHP=lL0>uUSzL!Niw7}gohWm`X(Iw6Y4M5xSl29tafa7g}Y zaGTh9Uv$|=_m!Kd4|7WgZ3tFazL^+qeUXPlkF8+-G9{{zdlt`z)DZrn7tD~L+ezkA z&6I3)pKU+p+4f-<8Tb*frDL&M)b2PHR5)hct<}d5bZRe&)Vv-apW@fbvD!YUUDKK# zQF@W*UJ@jZ_U{T~x`+M8<&U?i;wEPsbxC4Pl$TAadz(Q?&pjzG6RQMSlL3UU#F}e1 z&l?}C=Sh@i*xBPhG%39`hg!#NRBFpkIU__>K=WqvkavfFxmMsOJkK}EBHDTfHD{hh zwsm+{)>c?jmekgLYGj*{m{+2Cv(wLy^R3OpurJ15Gs81B^OE@`JJ$9%o$4U}JT@sK z+TQduGXN7V+B@r*>=%8EeZn0W+wcWC<1BFkPQKr&WO*rbwG}TQD2R(zN(n{A_x1HW z6M~4-DBz7*&!ve}h&F&(x%qX% zojxC7e4Bh$vO%smT`d&`rYln4!;?)<;o3A6!cxxFldAHnF|cN2ZN_b$fg-(oYwX>A zcA?D8`AUq%sFom!{JM}Sbh5Ph-w)u)dVysr;;ywv#zgIPRx{!wN0q*2RTI zwN`(>V;1BH97|djBaJJ*A~HY)#Bb`K0fT$@{TPTQ5s)`NwyiK|J*O{S{g1RYtjc~- ze%9?Ft*Z!bKUr4ch}0!(xDQzn5E%OnV>h=t=0(~*G{?EsJ< z>@VBS3%_Os(PyKBB{Bz!4SskzPxQR7gNEUg-A0a(yq&NMEU;gTeg?y|G&WQlv;`99 za#=e`dsB3qF%IarXy1vOzWh7M{}XbOuk>PPQiKAXiRh@q&uycwDf!jcr`qYS9B0Xs zZ;NP_Ff2*9^UHJ$vUtKfHMAVN3)|TjBStt95Ov47zsotM@z-PRm-y2)BH}qP;|i+V z-dVR66T-w_uGCs{92PfwXeL$cwd#328x{ufHDK^Q)Qy-L=iUTl4cH&TWxPnAUDW(h zj-KNp1jt&qKJ zDq-9thQ*-drC4cXXl39it-DSDSO)+H&-FSpFcmXa(aR|2j69N_Zxn*JTH{}Tj^=r* zA&3AA8Vme~rYESbERmd;NisiWJdQ1+7PwZ-dT8-+wqW9;=adSEl%#b>l4N@Y-dZ7b zqY&m8fD8aa4HPBw7gK#jcv_p8YcrCYksZ~}BGzR`G0K=z?xLXyrC}nWuFZ!RSNf&g zxZh7hB<1uBz!X1T2QVvU7nAh&plurfWLSP9$$7RZjjZTBsb%IP6$nXtT{N5V7AGnC zXJ^vR_&n$RqlIw4nKPfR7)1jzpK_asYq?eo%G>)2ZA72FUr^n4kD8M8{E3pzj6Sb6 zyT+1fkM_g!{SG*eTczvkp`hj6*0oO+e^uje6$^3<=Z!h$MVuS#j9$%d)VkmzpwRB> zyq(rmFJm#0SrN;v_+wOu5avjFt6Stx{o>7cTo>?`7SIussPbc^o7O&E>PG(I%9psm zrrE3~RPhnj=UB92e-dP2A&{@e;WD9 za%OD?y^U*7vaE|{p_y${{ZcO0u90e8b66a&3_z}GYc>+?6B)pT98t{vBgIFRaEh+v zqv$d1|IjY0GhcJ8Y)%3S{y)QE-W zF42ZdIZ1ZLOh&?#6uOeCVZ=FE8%ZZ(yJKJLFFfuXhbY z`T_|{;9;wXbb2UaE9;c;r2M|{DHOs0GtX}7F%)CC8SrmORCdW zjdzvwi9b)lv_2=U2+k=!{NGIga55%eT++kRP8!Bvfi)Xt5&}nps#TRJojeMh;v`Zj zl%ZxAwk(hsWpp>Aqg}2FnwGPzcjhO3ZrAD!F5&S~?_f<-A0&8_&BgUWtv*fz)v*#e zD6V6{QzV5M2d||YIds-EanDXe8$e&uD^GA3Y*QWvd`=T6yHf$usRWCg%J*ep8B-B+j1Y#B%%j$ z1ugMkc(5FqDZk00UBD?MLiD(ZPG9TGR(h^k0^(+e7)&XOf|<52Vg7MGrvRzcy8(B} z>zB+Ka*XzvTSBDaxyd4Z^u)wRVOSuv<>W#=JMFW@{?x72Duoulj62y;y=vo6U1~gL zMrN!@M-Y%aqJtw!HY*B*K}=}k4zJ}je#5d*_O21kbFC`V9{LUQVc5w8I$w4;2}Kyl zr1r1&Qfm+WV8KxcNR>V7tS(@Qg3$E|l`-d+exRN4LP*23HuA}pb$(Yxi4nK!7 z-f>VQjS1cT1FJRNbPK5FL1%$R#b$-?urLHe6u~$$CcX= zc;yFRf6|R|D+3e7B!I%|N`zwqHo2O&LbYi3jJ5)xx-cQ{vy(eyLegJ7C1-x@HYrWK zZ=DqGgH!4iRQ#^^bsy7fr=SNIO+h|I2mjNHV^f$ zf-zbYJ9-poGUgw+BQTL}>P)jf4GPXFmWn7rx56$KWzX_+(v5;vywLJaAS)ZPHvm=|b9iqP9G>X$7H;4{<168byb$ECkBX*>~nRkl7G-g#DD;IXg7U^<~2zA`ZGzE4wBz9Q~))pB{pbzVypEMn|eceU%d z?*12uQY5ttZw0V<;x_aZ*lNCzmwJ%uAxi+LVdmvM(NgcDllKkI|7e=IDXspx!5u#X z?Tf_qJP-xD!O^8B1cA^9eUJ+`qL zB6ty#{v_ysF!7D)aTb;Lh7}IeG$8x6l|DmM}{jv3*W`5MPe@xd{5@)@%kr^&*xoD(rHSmDo|~Ct_#rix*n#^pM2~DMqZ7< z(*HHo9yqVk$(Y8&%Ez_L;>KECBD~Hm@6vsFQKM9TwI3H|b|&F}JdkHG)+TIY?LT$4 z+)=8w_5ephCzkT^yaLJK@=4T52LpS^lT-XzhAmH=h&S)EbR)Iept3Q%A~QiDf?bZ< za}DO1OHs#b4a~(1AFGo#=b~=tqF{x~pL1l~+a-grCI3bFCL`yFfMsMdd4Rn@RN z%P}Y0$^&CRCKWPpf}!EK7y+k0K55R|-0p0M+-XPmqpyt1igW?=mvliiGJ$ z&#Q?$B=*so54~!6Z!Y0Ndd7v6>Gg|tcs4~MOWm?A;S-cn z?Ph8%Um`m2SpC7keo}=I)aR~8u^*Gx(DQ=!O#SsIiu6|6_v){i?vYHKBt%~#2>2FK zI&8xmUmNHfJ;Qsb_^<~ z^^wvuYcWb!+b4)=ZVsv>pk-k{Dsgi=PTHQ7Nr0$2PA{Oqq{O2%zrlbMVM+SEct{!! z8o{m1gg{3%;X1C7DP3Z6$NPPSWBev)86KRo#2;%IYbWI1%vm#{8Az*NC`jWwhb$r) z*G#F8V43oSuc<8dLrmuB_s3!sMX!8p-+RT_N8JT*p!2yEn`T4ZVvM|Qkumv_5I#cAvO#Ft0M;Y` z5=dQo)hF<@ZzZ~$Y7s0+^M_=p;AD5bgC%JRiNpI>PD}Nvtm$)Muv6sMRQU^GA{X}I zS%M2p@BD+ps+7G;lbM~nB_jz5v!W_i;>!7*I%A{()TDM|^b@|Wbs)#fM7Hkk}IhM*>V9*efy_Qe>s1?hvp%*q<6e`HjVf z@?6E}*sAV_DPpyTqu|jc<mPw$U1(3tsfNG{4WTkzJ$Rk5w*wB}ly=?DA!tuU}TRFk5eOOz5ijTsA{>(gPx^ zSkW9fjam97UTziFd>3ZrW5S(AGcP?e7GmLlT~sp5wP9V!`^-un0)XoH%Twv{Tg_l0 zE}Y>>@pSL6l$a$C{XYkX$!#Y}!!kdN>Z1E(*R}j{rAco(JH@B#|FVRcVH(;rnIa2m z|7OZ-0&ZBGpNy=09i*_C=w=WlJpv6B*|sL7eJNsKj~DXmbvih$OW*e1#BQZE-ui#| za-Xw?3MZPebKblf612UL87BP|?5At5&gi~>uFbj*>=JWyVhny=e{(Ux(*wzN)RWe$ zHKBA5@9X8Ao^=Mo7U=44JCF^TS8g;^%ZGBn1Y@_saM7K0S#`bQE6<83WJ-?XWj)> zNxc98W_oq~XN_<(Yn@R_;|<5NXiVick3|U2C-?&n)(@;ROH+EZ!v& z^R2)|4A-chZs{jGQd^zbJSr=ihYV|ICD;ap?`oNv_UnQZ&Y$!JLNZUxY)g!kUguc2 zu_w&X0EnM$YCodmSdq+T54{pjSr>+lx&&t0{8uuIG#}i8rPcA7<^*ze@Ge@3;=Hp_ z-VPA^3YKSX*GS9!2jiq5gMf1Md<}P@CR9JJV+Q;2c*@OBCGD-&57qvQ2)|#NwSzQc zVIJOLj7nv_RTnj@IEH5&J4mmOQq#)1q&*_0dNjY3WA_f!ua!OVVz2RrW${zm6_j0p zvxD;R^X9dI++qb}t^O)Gqi>~>j>*Hiczt#e&_P~^cy&k(J+f7vBZ-d?7MEJ=zd;)X zyz#UF)!UDs;AP{K^_>5qal@5JnmGMKRMYg^;~Coeb^fF_7gHw8jQ1qW(!SKSF@{(t zDX`Wt^0J#$^w6;u*XOK%IO4)ZJ{woca=v~y2gP*Ks%X+}dRt6_jT~dn_&Y4@Ce0Bn z2o@~qKPaQ-X;8HIq>F7g*UbODNgbhRS8NJaVzZ6qPlh^-zl4*tM?u!%=NfN6P~A}U z9ducKb0JtvkL0H+?5JnLFP*z15A3T~#vN=J-=q2yoIxv$#1L)D->$K7aFcH)l!4GQka~ZOAA+cjcHF` z^UXfhzpuSqh^POOt&rmU3-D`PhUYjwc3^EkLq)-6u4rq z&a8u@-an@`aEH6GLRbM%sFo5MoN^gV6RN)!eG?$Q%j~DjByy7>b1zs|4a8)iAk=S5 z%x}KS*1(*K^XbcEfu)dPJ`3V`*C^*mH~y#(L8F)bG|coPm3{SChrbc(v{V;Fnf#df z>nHh%C}88VV4~M*2t3SAN8@pQ)Zx!G{ZXL4!$35#)`y_7*{E$6Vu36DRCObOvL?GI4 zF%1@$g?|-ViO3<@aWc0KYVaUVOGzrs&Cw)~3TVi6yOYR{KAHJ+AmxoJ2p-;=#qC}LpmsVsrv{>d9!Mg0&mpSq2CW2P7b_1{Qyi) zhSJyn)G5bTj}tC18kuQser3m8tg(tM+bi?QCUpZ`2%6jED9f7<;U`zf%9SOGtT)_1 znEQ`kNbE?eb&M@h{Ak2jGxUSC!#~ldf!eBoNEBLhgo2u(ZoAh*y(iCp;JGHo-8AKT zKgNpO)Qh;63Y#W(52F}jRjt4kcL_ETO=L}=%vICbDyckIoM}Iw%9_S$?8Oh^t#zLX z;7Oqz3Kmlog=>S&oRF=8_BTwUb>WcIJNtLng=`1c!jDd~Zk3(EoBg!r)P5z&k~dv< zH4y}h{7L1-5};4h4d4&^!jOmb@I|7poXHV00w@G=$cMC6t2 z0y_%Ir=9TehIBztpG?62I~$VTr7*NZ>BNdc>m1p;HI?-^>Q!bJjJs0Uqz!qe#y>#! z#lUYIcxNe!$vmo|b)su8p&+*lW-62I>eZc)!~CfndA!+U2bY_^^L0eF#n-=aqgQQa zo~WMzH95O^lb9z&kXmKKiMv_nwJBs6 zLS4v6gZ zC&ka8(utn3Nx7MY{D*e5zUc0nG12v7tMJP&IxO+eOj{)k4ukKU4ewzV8G#@m7H=s$ zO^a%o<&p#M+q(+`T_804lrue`t@7L=dwO4LBmZ{*I}Wum?i%=O6DW>OWfCOmDu-*8 z--I(n;;K_z^{KN&XoHURItiY%FgEcWZVIhq%x}_3oDGK)=iDk%nc4>bDHEKG#_~-* zJoKL-_qB|c>ri>=!_F5u(fISSMO9z!61g_L>}agmDem`C6~Tc$DQXhE%S@@oV1ayKph+cOcR5uWB5+i5amWC~UBz8cW_`fUhCTKaL zDdhQscRxGgyi(Y@QVmZ9A!q()3#8wxE%~ZPM1$LEyO47(a3$F=4>_J{hx^VT8SO7O z2j#!Zjs(<(td}*RAX|%EbG|x-f>#^_=AP2~@hJ<|{sqs}b0ZH$@53TxnrY@(Zg(F_ zA%6t5bVPuBjHe)%KMIVPX=XWJ3GJNF2hgbuSt>i9h}FJ-M@OOb9OB3B)Db$xQ*vIj z(PJn-$UnY(;anY4ojR!ZhRImcH8oQ5DAmxg1Z?)zXve<)IHR$LPZGc(2)V$pzTSSb z3@q^AE(}{UwrV2f!i{$O>8{}!5erzsF!_o8$J6LsYMEq)esQ5*PMV~G1FuH}jaYr~ z7FN(mmZ}@D_P^&7n9>r(f5%vlIM;bUzRAr-MRarkV#3xaPh_|Fli~V{ljH^p1lA0j z(zILC>D=k9>ss>%tlc4L1pcDv@a~N7vI6_{=wNBPbx=&q@kFnNzjBV_w+QJD(+&^h z(A+^+y_L=uZc(|E0ya|va!r9x-Al0hN4ZkUZjnOy4iB%MyfCP>;}y2H#JLk*1}T9# za))5DD)*9OyYP9*E(PUBTPqk*w1}ZjV^hy_F z>9&?hv@e+fkP3sx*EdecYIu}QW|Y~y-`iXP>e?s)@3KRC?qspp>>6i4fBaQCtLzFR zyBXyiXjjAT_Yg8);XG+mVLg%hP^ROEZo3lf{|}u1d%a(Gl4`XHvL<>VfA~eJ!aFbb zFcGYy1LTd|C-}24@0$KPo~^Qob#J_3-MH*PTkA4jpnL^}%;@|shXIPzh1OTq_A|Ym z9_n$|v98s}V;DN!=lTsJb3zj}uK>RpvwN!AE>gjmR@`((%2eOM)UFmBl1(kLBE59c zTBGvsXnD-jTY$6^hmH+ItkOgvRS64cA`R~6_+7fD;w&uu7`4zw(;s5QL#0N`nyp1>~-e`4> zN*oo(EQ|y=UGM@Ha^Z8}Gu-LEZ2v{pH7sDw;gV~1YWnj_8M53)ulc9L?Xc8DDW?My zeOA3B-q?XU1u1{?F}#r!$6Uk1*7tAUCj~~Ppd}DJ^KLMyYm$1|oKXMEm8&mrZ0m># z_o1)$*vwJhz**$upmOMEw#l}6V>&j0l|dcZFtSCldabH)S!IL$NBMs{j{qU7X)TVE zl!j@uM~CX8Mk?LJU1!JB^BBGH`)N&)_>BrIMqUK7fHC8kG;~JP^p8H>==5e8nFTwa zIL%uV_aqkYfRCaDg3su06=?;@H-o0DxKkr@LfU{%jDxseT2;r3TIgpTxW;>u+eF?U zJM*X#ok)#iHv3LOm}w}}J7ffRvmfue{qmIK)fd2eL2kEE!d2QHn$R+y+|&AYs-hpt z$PxgtCzbPaa0S=rPe0j(&NjV)MBilXK8ERQRW_#WsBboCK{L_2<^)rIEfmnvB~(pk zVWDQ!iQtGA(mxAIXD+Fo?2}ESxZY!kGGmqC$@)k$O+ueL?@5wI#KbTNMu< zHhYSyL48rl&Bl@%?_;{dTUv)LNxu(lkd=QmoH$o?0#AAfU3OnrQDo6W(RScAIL zZXkt7KabIFH(T`&VnP?<(_|eWmITvkc9xMfeV3RFt+6bJ8dl9~v%tOF!`Xa0%fRls85pV7w!9P38_Y?1*?S6w+exhe7jFm@9&qsA62V#dm#B(O`pmbSGsB2A6g@;}Yet~yg zu7}Fhv#idwhJ|s(0Rb(k4?k^?&C&$FbCT-Q-t5-8M`g2`TM9fLqP?kAKB-kM`WfnW zoFQ31CN;5U96fUKsUSBFw z1|fAQF(P597JKBGr98>CC->B^=9sR6L~Saj#Foa{Js-bxH@P6rJC>_BQz(cjucsKmPRKkU}peEh7a<$HY*R)zM>25;dwI6R4| zM;uhow_ws5jM#Q45Qk>d*$-hEF^ShjTH?x@+x`X9K|}s{VJkXDl`RhF>~6CP+n3kj zj|dLHAU-ETzp-Xc9RLd0?+qvtmr9SaR$?v}9Z_ z=b+>ZlgS2dqNh+;=Fzhdk?K|Pnu~KSif~~l{cM$_5vVS>*pU=xUWOxz$PJNo<*hR8XF(2<` zid~+wM+WBH;Qo%=+sacvK@Sa&zfjQERo0zQ?glE4)kw-7Y_bB?L1hR>&FKiF7uXcB ztDqyUV{#b@l`#d>nHNJqC+44IICvfuq*Zo@$W?&0Q_F*6$~#+ZM8vxMsLT*MGhDs3 zjG^_{!jQq49y=Doq;FO<`Ef|t;fsX+(q)T;38@_QE3h=saxgmQUHhFOV=d8BA-rn3 zoQTN^De8f`ypHOXqL3U5k~)PIwIuRJkg-xn`iSzMUu)G89kAz>@2mwXH)LMCCaBNt zN*x`&EjsL28VBO-{rOKzMxwPOywtr!*mK!4EjJ8woc0QZI=-~8*=$p2>0yQlXb@t_ zjm^eYZq(yJ)@^y!-ybi@uH-G@q7g5{7*A41dVOYB(UoIM*yKn})XMz1=8Q$7tyIJC zY5v4y+KlaItAwns?ia)bdvFw7IxK7$@;0USy`jF)MIB7vW)?Y)$KEP8VUbWKpM;3s z<^a9`6foBcEdCjceVWQ{PbYOKssJ(}n>!Q5PyYb&k&U4FJ1-yFE?looc&svPaB~fv zqykuJ$=YYIEQ6oVUX|8kQh$<9tGqWr#3AXL8#o6wlR#Qy{cp5Tv_Hm{D_ zDMOUfw^&f>d>xB6Ytn2~rp6WB9vbsPz9toA^d9aJJ-Vv;D}ldEVm_v3ROMp{Zvj~k z_A-I+Gi~&x{6XXkSM)p$ek{xoz`)wJ552gj7L3L4L}6#fZmWzvowM##0ZMaCl1;HX zHaCG4KoF?`*iQk1?vPc0NapkAe2X74?0a)eAl%=? zaz*}iL+&Y?$7xI5h+xfI3ok1i5W6@9X^iDK-bysHk;F{%k$F$SGs+5r2%V99_K`fk zva1*0E9LjTuJ-C^Ca(&f{mF&tAZG_$fnN9~d9C&%^NWRA5@2d7gEyrycbCmI;P0gm z=VN~lRFoH?ABGRQcW-u|BNpsz?|x}5!S8`qb`eM?$cwQ3IVN^nef(WP!27Mo*o%08 zaRp29BY@Wupy+S4nruhHgnRXD?ErTq$t@J{e?ET5s)*fFv*X)x-z__CANmF>!B*DJ z!^HfZS9@Yi%DdRFL&)?aPv~tnREd}J_*#pY^sOniGSQ(SsbsnXr2Hk;rzH85jw5;N z!<__isT%^vvn;<+#YF+-Xr|;Pg~E=nM_j!QhQ6ze3z2G;roiX&^BBn& zx>9DD7xicwSvHpPavRmII>f(@m2p<-o4#`ItLy%S#rH10DY4U0>CWk#tty=H36f6I z0&Iv8?;lz~J>rw6KdE2IVAS!j*9iHs*ws2y*MTS_vHx1v7Qd%!;1={VAj_vC;7l4Q zgCd;7NgpWh0HAtP!<6OU-o)~*bm_^@_+F_~Bc{>P*jnYeU$3fTY$x5Lt%x^6U!MJr zU)$$=*a)b11XHOM`JN+l#HYJcg~Vvn+(vPXjM#iRgqG0Q@NzCAOZSHMbe0QZRs_+{ z9gU%%9}9159FZDvm&z&DL^q$%C3L@%JWQ|E`qf5?@dELct@;jsx|p%-7^Vx{_9ZUG zsMT)G7QK`^Y|9TBuvSc;W3jyDqY-jKW=|9{h90x_F)Zr16e&)elV({}(G;zC$P3!U z>kVp%)R~h2>uzQSw!SXeaKq|}BOvyvb^p5k)x2iSb!(_1=A0 zDY=_(nEw}URX=)lQ=Kt(CP@b6XX_Iv3JmD#hhR5eIyz|CasKlwF-hsP4_C-n3*3K` zNpA7u{$gWEr&VNz4e87pbSzHjx*+^a7>~EE&}OJ{eWsJY9(=mG|8yhcd$vG3mJ|M5 zY~}hmuMF+v{o+Au?!Mxwn=qJ~bY&IWaK86d1*{+^*3~Ox;)qMEvC7H6wmLlr{@o!- zpMvDqv6VXD5TWLCenY8y+#WFtS~xxI>5*+7KELN^*A-Zdsv&d})N2GVOt+w?-;BeZqXx zm{@*;YoFc;E|pU}D(js!ZWHj{q_~Wbc?-Nfsq$QKb$MqsC;BoCa4nBX;C~g;6$g|n zQDqbCk8XF`Ue7Y-32Yg)_BG!ETj0&T1iwl(Lv-D~Ay&E?T|{nB;(F6Nw=P8J zth4&XQ*(r0Uc|dn{54_t^t}PjS=X zo2Pv!$AV0+FbnJ;Kww z3$Kpoz=5It6Ynd1HqorWv;iEiTjm2YVz7k;(UPL>26ct@$uB^;m4dqj1%x0W1eS6Z z`L-H;E`;TAJkK1;sI>R2D&z<2DOb2%zKV-0M)q6*(SK-3la^M05rFKfq2|%4bU$lZ zmVI&Z$cFW2|3-~^u~T2o@5{t`t|O~x-=J)Kv+}IY``f!RgZ#hmTz~1Csy}oqf{x7f zmsw2wR{}@1RMYu2$@#*4EbZudHJ^Tp3kU~}2Ge9cceSAs*4?X)OeoLqP>XCujR(IG zrh`=7O!0aqf&N3g_oMy~ZOX&@KeR+YNSUy6Y!p4OPSZv$#F6H>6!)^RI#Crb-Z;AN zQ7_D23of{LP&66xF8{K@YB;ixFc%iZdvLCEL#^gZDpK}XAFA~+$y8;NB5*L6Cr@{| zkyU}`32e#5MnZnBc7)bI%wD4MMp$>?h56@rFE$B+Tkq99ah;SM_RqX`5_lEXks_v7FFqA8u=N8MP<|=Jk&Ce^*gcEIDvoZVYo-0A&JkS(KIEQVX+9Lr z^=dCxrkwSActjisy6}wybr4f+A?=7RS}MBh)M3-m6&%rrEB_%ly8BshD2JDc--%Xo zHHWiTMABc?%9x@LH2({;+Z{dbxE8Rm=KB{?q-PWR5p88nfB;^iLowA;bW}mN-=IpkC^}ad zjW2$!qv)^W|IFl>u1p*L#R?M4j+AXA=p`<61l5^2Y{fy!O3!tuynYlAt@mZw%Ay8U z2YV;4fZlz+!JHI-_hF{&Zk&6=LzuJNLfAvcD#Ea-)qJ42t782@f8NVzH zN=_TAnl>4kw2T+T=iKR{8Gh+8=~BZ+BHZo?uroo}uW zbaJxGH4<=H^-6f9kcTwZsg}#3ueb&;GU z|6@pY=RFfWk8+dp+mOYX;bfQ0k-v*dL)+kfQjXNnTlBDZH@yYKD6{-=5bKY0-@z2UupoLyv!cwVzCjY^!{i5$E(+(yZK$ zcTyx-TWx4R;H^5+(aMq7j!gdf9<) zQl#6fg{F&JphsFc1WBYS9 z$Ow=(s3hw&yH_mj`q-B?BPp3#W;t6iA-AHHQmF*(%l-KL_)0T*Sy?%Q!hLfusrv_e zVQdP&UbEF$G)Y_UggMrHWOhdMMWU->ZX?mt2~GE5;tVJ`lEwL$zrbG*)vpvnBAjFy zOV;mQWld*r%M{+#qbeyUr)u<@ee85K+{{Ty9GQOdEo^+Ly=>uQ*1kvNLeejtY5sd^ zEfrVXkep+N8%rwZQ)OdRzc&)SEy&z-;UEmD8MRw}Jfq2KgLQ82wD?BX1JJx)ZWKYi zSo+*`cd@wEBx%VnE^!S%LJ^=`kpcFUq}P!|l#oF7h>Ag+twUG54l8gsFJG?bR)wA8 z?P|a1FayzXOL6Br0Zon+<`nu+$MJ@)saI0}oTph1szz%OE9csF|D5mdW4<(hHLoQM znVl19bm#}@Y`;H9Iesq^sk7;aem{=UH)Ied7A?q%Q1Iu3e_=rs}-4GZ0<*IBlJ48I|(){S0opnj6` z+t-G$K?g?-QR6i#*dT%5eQkvAqjsz55Y88`-IgZ=eVY6&KF3?~kwAQ(A3TBhcP9(s zZlB9hXn7C<%b-?a`1svpM$fSASAw0^bIq>=$OnU<+3x4hDv z?F59cr*9qnffISFW?W2l`wxdf*OwUXKjg=o4}Do~y}c9Si0>q9^%9bq(R>n;S!JB7 z4HKexj>l$E5U(F4xZhK;*BuP@b}9=+2NCyo?wV+;^b%QRcz5nv1B#GUX^G4c*OU<( zZa?F_lJXbF3Of)EGSSFXhhQ)7l&y}Wu3Dw>4YTOGTmScq5dj613h8*p&VE6e2NfWhGtK0hDTT?dl8hh$;l8C_;0A{k z-d4@`=G#=X~A1cLXnzfcJAX}3l6 zs1Z$?88txr(wclpe+LQ366}Pg3Y|)1+l*v>Z4|kspi%-xbDC&(HS$`CQEWu}`VJOv zwPQtn)8HQgBX4%fZ5Y}aq9ZCGgTHs@3IPcW;>09wLdWkM9&AX)e|lv{D+i#ZQdAR? zd$Y8__#Ky*_>y@b1_%Ksc%)LEFkW3KQhw!qvXKhm+fx`d&8f8U-y4oSXWNZU6?Oo$4@x3I z=jIK;qMB+HdKTJ7&|_Ut|Jtq}3wie4!0a&484!LBD4tm4|fIjH^p1-8k4fEFW}uTx+|nPv1bf z7|2Se#lG15+ouN7Gd%T^0r@bvc=R#b=J`wwvQ)9oW61)jI(-SzLCO>D<#BK3`^_PA+shoobm}wW7N@U z$8ye7i~n%%$)*Lp+lD2VK3}{@{lEXdtbTWoXyLP8c6yA6MEI67Q_x=C=wkVc>7dwYmZ($9XW zvF$~%@dOUqkokn7mzP@e2SuLoXRvhO$JFvSTnBXK^^8`#Wv7fmW$1}9jX#- zeo-awAeq32q?O|1a)q1OgE8;g8ZUJ! z!$~7VAcLfY-{g4&Eh}JXf%ph0OTK2*KO6@xe7RET9swIX4c`=%yuXSHCr(oX66~bt z-G1sged-Zc@9+sm(LGHpW3SOZpzN_A+N_#{s_2|iq{Ls)o=-}Z(Gd-WOB?s8qV+Lh zQ1hwFqP0mt84cc#?K0C_Nh;@rMI48P)07RYVG+DT5GfAGjbC(H z3UXmEvjX@J_)dN}c7kymk&8mv2x~d#H#${DN_Dt=galHToyHl%kk1FZEK!smi@Q-R zjWNfa^c!w?8IcpM3p#D7ib<&*%nqb|q*NGbjJ8dREszS5>;0z@#=D}FrZQ9}4E$X* zL+IMJ{63CD4S6_x6jE%0ghN*L6)!f+j1ozkNNgIH6n2f+2OtoZ2Yv>u9zHC2!V$;n z&+%KkgNlSiqxfJNq!d~#m5=NvHcVVj?6=WWe4HY{kjn#vmh+A4uaUgnB;<=Tl#_Q3 zqew}CfF0a7pjWUZsvg~jyJbvONyGlakQ7(RQc2StArdVYxHEH^C_=5BoW<^+Uus#U zzVkk9z=zh?OoWsRU9=HbP++DYPZoE75F%bii@&WwgcHef`14ysbs4Met= zO|;M@Gy2QbhOuyp1+pZ^3b)3HBEm^tM(1)87>VYqFJD;whlW+}c}62S#9pc|OHj+R zfr;SPQxk+z5LvQV%&ffC?jnfSOv5t{kM`O}TZk!s=H#lG5P{~GZ?Tv$xp7L-sYLq! zF?8PlRKIT=f1lx;gM(uq`y6Cs&ur(I$H++OP&l@vQj(E43&-9?U)dF<%t|GWk(H1n z8nUv<-h96PfcuB{<8j~j`@XL0^?E(;dGSS?Pm!S+i?nml=n>K8*~fQv-rE1cW>6A2 zzip-s{QGfdWu5L5@0Xq>y$GUA^5|0SmW%yguhVYMfQArP-^p}0+H#5gLtU#j{@kA> zc4ZVC`ib$}>?Tk#-p(TLqayJ{AYU*M`@4Qn`h9(J_nn(ux9*y^n_-uJz?biMi8C9E z9{<_Q|959#aa+`aeMRR{NhE%=5px;ibhVB!4kd$ou|ag!$|7*~x+h0Xz>9jI7KkdTT8j`K!qDaJ|4!*X`@H-bh{O`44aq zPq+bUYw3_;AFGBnxq?O1^wZB(bF&i;?Z;S&X3j~IfdsqeE=;{$i2EZi=DRP(bQOYA zRlGRcToViH)p5BqZhnp1DnIymgzwIs8`p!IAIv-AE9Yd|Uj{d}YUb$%NLJF^Y zQMc|@BkvRh%Z_ZsP7j=q9(cZS#ghs@P+fjtxLbRA`qzn-ZRC&%a<^R2H*_)hLpOFL zUiH|}^vGJcx;7A9nwtA_E>ZZo|4>w~5(Kqw_rz*&Y4}Rxz+Rlfh z>;KEFwBxes)aexoq24qxNa)mQpLoPNaBOOBSD63HshEpz&Mtl@GN!-ujM+rKQ|hh8 zr+nl2mOVEMCpvZR$wH^{Ekd4(PUN4gwv%tsd1}*l`vfp93$L8q%7^p?+sQ2(C*+D( z3o()*V!2hb`dhqN`SacXn}zT{!h$#$=KQ&eOLrU^Tj3qN9Ax3g29E30yA$20B7=!Q z5Q+G=y+eNiOq&Lw^YBmwBkw*0gf10XV9LS!oFpWU3g;^-D4Jtw%Ovtbt>HQS=TqSG zvj@9v=iP(UgxbG-=V$HCPyYu9o(_@J>hzgCebSta?a8m` z&d>XlKiYl#O@_079i;F--MR<5!8c~l?EZS&pWi$lf;k4Mr%azL6dx~SJxfV7&J-z> zGVbUbw>d7iuX=EJ>I-oDt~Nc%CM3$*)o_IVR|RtHI3|1hf8xg9L}!^2?XROSQKl?+ z@=f)QD9~LUc$yT)nHz|xrxwQdlRLqdho!wSEcV-l%eg|&3lC&8Ry2;XjK?gG80f=< zAM!05rnMW7N}(OcvzQIW+TtPY;xKkq2(5y2qcIkQ z={;HFZrxg?oDXM$WcPBp3M~R8WLG)*OZBC=%)E0wJ_l`e9^cYCN%dw*?8Y3Qm<7g- z<9CgxOO1EYG1D<>5B~$OTF1e(U9UuyIfk0Z-93$9&>wv%4zZcI-MK%wiE;Z64?5hY3c&2uG* zD&t%e5+C^x{D44hZ?KFP;ucNfq>6y-dmbZCz6pd#2yK~%ETW4d2D&cNO_e+T>glp1^Y`2>Yif!jQli7Hh(ih zEhZF&kFCA>F}L56fU_-Sgs0|OoJ!|EM|9W2q4b>Xf2t6(2-bbf-fYFetFeAl(Q&AU zdy640>vX81*xT3xiR1{}>>J|gi#6+0Iz?x>7g=xvey7iloXn7s=F3oV6$Hrf<3*4H z_cR`*dx6A;0D3gejh<;a^zKrEKC)weLaA}g-w}tgp#DxCrlToRksVi_aY%4@6e9G8 z^Mv9<&zA?v?OB#MJIsBP&{@<0SQ;%e;E%6eEwId=_~qjUX=>qnwU$y?4})mx9ZAT4 z`3QEo1^*HJ&m-hJi$j`^jEv6A6q9wwzSoy15hbNC%icAiC*b!F^}Zc!sTQQV1uD_?{2yX%$<= z^mdDxo|3xK`YkcqtT~yR7u^2Va6HaOx$Kz4qsTaD;}8LjH<`&KVica;V`5nUfEG!N zXB2@uqz{6e?Lx{0+VyG#2Ug4pJh>x-QptUF;IQQPl9S%Hs?e}(=hhh+*1Iy>s@Bp% zbr{MXJso(hUfea(iZ42cKp)Q3v9$S&m4`amFhIa(J`q;&f#(p?^Q8G@njbj|>1y@q z-o_zP2n(2$DoW9Ver5wUe5wm=ix4gmkHSXoO9R3pad-ZixN3y45l4#>7FcG@Pmb4M zqT(vlfWL7ndnFL%q{6o?1iL7fpErWxMZmIO%7J68W zSSZG6E5=72cbp}ocXcYAjaIt_=&sYyC!yD^Qg6`=i!&D-uf2 zCD!q(!UHi|5ay@$FcI-R#chhT% zrj%o+kq)hqiYmK!8Y9#!UU@5&7%20~imz6RJqrDV|DRg`Enrgkne$_`8D=Nm*hL`}s79vv6^uIPvVBnMR zcVodaurhv3t!c4e&5-=evtnaG(G;gPO0(X-QLkW9#j?XTRsqLLGEeI!uR$FF*!c~^NwRWyzTexV}LC7sA^eNEoggZDos>`)$U6gy&gi$709QLH2Sm`)#tL zoW?Yt7*Gq_nx|QL=6R4tggqse^5tCk&&Z$&vCl4cITr3))4y-EU!Zi9F zTaJ;mjOP9iM*G-inMsCpU#1#7-*asbAjj&kvF843M;( z%{%uxwIfdQx3OUn^6pJZ_TK^j%A{=BwMq(uZ2em5e5)w4$f5u+=(xNV8yG4Ukt~+& zeeOb5$zGr5$3*nN*`x5)Nqv~~d+bSxR&ACmY{Z2*N@@rIX@}}xLj)#$8yhH*HHmrj zUe$ysyT$o;c<4S?FaDxNxanzJjvE0b{ZP~$Rl~um&($7NjaC8glIKo>r}3gBB#$a0 z*le?FlW*J@CVk>M&{2!7<+Avv!^1-iG$yX3yc&upe20xuy-2pNmMy6qXm9rS4C!>y zLKOmQorj3xc_9;ZRTo&b$4!-Ii`0k@#m_NAnvZAYr&Wu?a(=8Q{UldMyezvPJRo&r zYa7E@F%E(u2FHTb&KqGS;f3n@Rg$N4pQ<;eQ$Q| zOhFoWjy%K54sGOuiifWtdA}Z};(E5zhJyGd&g`gW(f#U2u}ON*DN9J^|S8#ri^U>?^wE5L=H$tdy0`g1EhDI z@{e3c5GuKn;VBakZpJl9{?$0LNNCLg!Zg;b0m?LdT8Z@>%Cpav&a9DN$M`BPY9coD zz?Tws_C5jf9c}(ETetFfaT~^6s;t=sChiQ?DOK+>DOTxMa&vp)!R#>W59i-1W$=DG z`iy;;#3Ggnx~;8!b)hYnon+$;CxJ>I?5l2q1Qp=_xSB%|vP?oKO*y@uT14tN7zK0R%oEx1;%VSHmD!}d z^dy9E!`E$#901A>6XhF5gCg{P1r(va_%`e8JEa3b*s_|u%)mIL#9P5Z!8l9<2+QTM z5zr)q5OJ}VF-iJl9MY*Wo^T=Gtym{GHOV8njIFWNPy+Xk8}>8R^XuFR4a{MBec6%k zig+$EJ*YLHRZ&Oh`*#h;gdpB3o-HyW)~B&+{x6aYe#*x2mu&ugVh?d%vg6bim$aq> zqIyiq?5wMu<^6jfyhMXTX}@)*~EXtA}G>SnJT9_Wf81f_gsZB16BO_1@5!e0#tiC$`=)0a9ET}#UJ+P)?Fuc zIikXfvC)uF=(j-qtO3rnf`1l+VhbPY?f!8+UKm-(HYjND6f z^w5ZtBrY3Os6y$z-hOK_iR9xuWTsx`W@GrP`ho2`^M$9^CQ~TA?T}JK&vB0lE+J}U z)*4&@f2+XuCzXRv`8|(cnzz(`{o(!$(t4Zi zJogX*E&a=`{Sh8NiM4ywncNWw92A~+;Q9E7ddqLYDJTYIhXe%WFgVBfnnQ*i>}iw< z;sOaCWiKtTSyf)@9dD-7@Z$bPS5$5=H|*RUrxrCaZ60#9aym~sX_&Goj&lx0JY?%u z9$qa`Kl}3Huc!MNO(dfq6DwR60#wlv9B8z;B&A+N#j3nmypdJruSfuon^Hh%%Hww~4|_mYcYoz%WdvW+oqAh|=_G zyG_vRajX3a$)!CLFGkugXg>obr3&|Zgqxs~(PV%>qU~WiFgiln5FK0(SDCS3h`yrc z=TQk9*;iZ0VD2>Xu3A3C{plpO{bET}kF4MQfy)%cYmD~!<5{%zpzyaM!=@Tvx%0VInuh;ghY4wLW!Yn>F5(2uI5OktufT4q>u!q8k=iq-o|sz{4~Ifgs%V$l*-^&GkSo64tZ6F80ai>k@+ zeOomf&$XKu0z9d4ry8+;1|1Ic@^xvy0NpiJg=_;fd6%Mw7ru(L(V(#`?&O-g6QoY$ zbk!(8X5*kZUgw~97!foVti9W=dYVQ^Ni(cd7=c`hZxzi=XSXr^AvY7-Y8>)<`g5eP z!;myPr2U@Ekz&MAq-|_DJQ{l*5A>Z)HN-qIouizH*RjkIoV9>#v$zy8Lo&(hFy;#w z@{Cd;b#HW$75wa)i`uM)wi`D23x8x`H1?g1^k+kc^oF*?(M$x57_9MA_Z-(@{NB zPi+8Yen@U9J_oHu+_~~jINKF4^toGQND>4fsesq}rMyn)T0u|FX&_euV zTP#UF0R6R0WSsRz{3m6z)8x{kn#<_crcB2>OXG6w+Z7Pw8 zK7~`fxQyqaOPD0HbCDj;=~ThsZ&}HxVK$$dokg~YC-DwVo&vABI7qKO759#c+gb06 zNSSiNwf9U3JVF-eYt||KblIU-(L7F&f{5!w7n3)t}RW+tnY&OgnqHN%5PY zZLV;PKDhFShHdIcQ&#kXr=^J9i?2s5*GXnGT%r*SikLLRQ5ddkkbr-w@)S+6*3%AR*DMd-JC8_)-11lnRYb6bS!^S`>2h$jPM~m zkxNRn`mHb;aD#Gy@I_~~@qpsyms<3TiGcv8;8VSC76L*aKxIjLlzvsS+tFC4)n3ui z=4Z|LuCU^2Rqm|b_+Io;9~JuQBd4yJzVuh`Q?i zQG(HT;GXFvi7r)vEURC($TweA9`%F0_rb5RTgsNgUy;^MJ?}`ofqrzVF(_^Scv0+iYDE4VFVp2;K6lvK*wHmvd zP-#SvU;w((8joIbj~Vyxs&%}%g& zQ-JjBhFF1mKfEETjS3AmebY(}CaIkNrT%rO;+FVY%cw()A?z|qnrJSLOY{6Iy65cMv!lEB$*m?xC`t!nxvY5- zImJTQ`-n4Ye4Bca2&j*l(9~FaWIGx^M48d}H0t^r!8ReeB%{v~OQXx-L zlD(d_3Pq*rVKRS~H8_C#a}mK7r^LnH-mEK*I%Yl|V+!7A$l(OgzR<{G2Q?{=E>hRu zLZRz*+2*ppf_XsP@I30W4cxGp z%qq<( z3Ckqm(6vqnHZn{hihssk+{*y;h^hM@B6o-s>pjq4WCB@0z+Gct_Zq(lH?ynDP}#jh zN_{)Ut{@A6iKPWUmtPVOVb8*z`hpl%C)>iG4+I5AnBP#3)Qw=2V(@OBCR8YxD9C&k-QV61Yp9}k~ zPp;(E*cCsMlgU{sc8;mJDAIIu7}eXO)@{=%Q4NJ~AswsIu&M=$6t(r^;gSRZAZ$EM ztHkrEIXv`nBQVa3?8jedwalYC#3arS#k7P#yMf_27X!QaY?sz1yk(sESkETiIn!o;SpgXeQl2N5O_w?g|o15FIVKR<46==2d; zH$FiM=Fk3RU&w5$TnNkEANn$^B>ZM)XljPc<~;N*d=^RZc8Kbn@e1&YFMFPD!}Q>G zBX19kn)R$5Fp(#Irvqv`GjMXqC?2GVs1USsesDJq8eC>6p>7B_l@HwMEVX@vORU5B zccOmDfbNmVJ_Xly#WPynb!$8>$YqFU<6pP3bqQUIFO!1BquyT=<~U0t|9V}q+?57{ za+m?)vAelA7qPRD^;p#uSqx}vs~Cz3`aW=s4BioTM1Y<@4B11r@o&v75;be zJ-r_%_d}e7ots!rXyzmIb@SBQ?sb*~0N1zU-@EQJ)OoMkk+%vdP>_L&YOmGMNH`reBcj{k~UNQkB z-BxwUZ$6n(u4@^!f|7sD_ccpmS<1cCm#&3Xzra*KZ{#S>Hux=vG z9>(pza#zE^VX%wWYlL!1mbiOg1rOIiETzHxNYHR3pL;HJGhH!RC072MDL`$Kj56nb zf1pw^J)}+P8s>TYWc`2<_(j_Ch7RTji$^w#-Rv(DZ>eYhB7AWEi+oxcntaF2BvyvN zV%i|d%>W)CCQNh_hyH;+lQ0q zG2=*hHB-!4iIAvp6yHARgQP|L6f0tlEspRGt;%D632O4FaPmjl5j!AsYdY&L>JJ^f z>Kx9Xkk6@yb^Zbf0V$kp66)nz7p1zh`AKYJyx%#QLEcOOeI^f?-OqaHM)RdfC8igo zUtpD(4nZXhLAne*iyS$DYLgI=lh=Q3x&HcEXACTz*7==?keqRp_eV){L9n2Sl0DK_!btK}`w zCvCnSiR;r$$#m{&BSp@3G&_%Xv*f(UjG`LAeeQINa(GN;4{+^AZz~IpbIl{12%w1X zezz+x1Q+*Mn^xO5WCpqArsbwYqO(EX2oK-?sxVvdf*YIuMq{=F5X(26mVRZUgbOUKUKPWXok2Mp0OAq!TDUc`tvH^hSaqAv6A7xJQ|X#Q=}f zuj4ig1hKz&H)^?dS_%J)0`%CGg_||2KF=AIO^m?_;AXFG{g&x8Y$QlJnhZ? zq74$bpT}6Y7<7m;zmz?d2AgMhW!^u0tjV!)R&$N6eo>q$eEa@ss{r1DqXq^+dj3}E zEt~ZRGS^pUo*vM3la?TE=0JjZ}u+(w5_{5=&5)+I2Ax=BO08@R1zm%CLdUvuB=|V zkCLYSVT|%hM_M!A6;QZp;*^f!Hk&9%VfpyhHK2A?bia| zjPJLs)C69g`44aveR%3jdi_7OTSD>R$4H1TGX8q)8b_Mryierfk-s3cSdpg%b&Cm- z3{$E?%b!1m^M@u(h?|_RfQ*S{D+JAmJz#8F;)w0l?8M-E`sM27!||nLPZU~3E4NdQ zLdS`s;I{ea;*#8B42uBfSBB~M<*Nb_wUM+n7{c>H^&t%fV~wM{nG|60=R+|0r)^{xIN1!^lLJh%4E*O3F8<%9%ZEa9<)JWO~Dup zT%${OV-os3GQpFHcM)A&gmf)MYSV6lQ4OTFhZPi1(};4!MOVFD&&{9in_Z^2gABsr zZwTdiD<6``%3S4tG%zG;r$+;Z)e{cr@BIh(EtrYvG2Ju%2}D@`e0F|#g;asP(7Ev{cii#$O@ zNcivD)_|fO*Q=TW)ya#KTe)Y{!|~jK+@Oh~g^P4i-1fWwfJ(e0D3Pst=%i}VYKe_D zist#r8rdee4tahoHM09)xgxtqIQh*xe1^{ntbUfSb@`P)v{)L0SZFa2^4g(jT2*_T zfjsuHPrD&8u0rjQM#8LT45EAwdH8&}{4?2||aQ>7kpeVADCH zCrQP$xTA%?ro<3uySMQtlN4}RO?1;?=NWU}R5KaxOz~DvJ_me~#v61AG#eCjqrlb# zFr|G%I1a107PEqx3;Gfj_knX>nPnkz!-TTfKK2B_lh zjK71OwR6}g)|F6Cpk2J@>4K@g`A0GgL*|(}3Ae66Eqz;rJfx&@4SA7KAgcTZ62q$w zvyq)qP(%?b=t|ke+{sU-u?O@2I8Mjj$k-%n=%+RGvfbt-CHvstF)&3>a@>zs6t5+S zYzqB5OmuLC?T1a%`QLv9_xGozTz9fm3_DKVLRZldibQKOF0=1Hc)#`mr>bCM2iXs* zjwQw~RS?!?_06Ta>BUe0VmE;}J%FVxoQZml2{>~;aVFTVi;Z;zRQuTlx9vs;YVR<^#jOnQ)Ul>Lr$enO_u4L;N^L(Vi zABW33uPYXtsu>YUwjci2=^m{AdVoBBoBN%bAMVBwAb1KCv2W54TwN5K8i5mFP=DQ% z5s_I1E=*u^fG->EmngtJYKw9QO=DNweJ35?Cy&%7NIPwYTHZM+}FYJDP3U7K)TeG zH*175rCqomTDi9}qqa+txc@Eu3zw9JULC8M1K4vCoh&2$AgWZAc3n-c`esNQ6JyS)NPTU z>D^hOB;sGEdm>J9VbvW>MwOZKJ1JIjufz*$4v9`TVI+WeLpKsfm;1radxy zyU?j8_V-E^saC9No?o9^KXCrcVBnp9B$Q78V)@Ms-%=lY|2$v4r}IjjQ<=bRKTTm+ zSWH~I$xDgyINk2`89?p)sk+6fTxn&2YL|8YA)Bk7sLIIKhjAHtGNfC@O6RIvG9k)7 zxAO|1eO_;W?j75^h{m*;dBS_`tmWs{wpee-z4+ZWTK5HEO-hUoWLR z&%2C)Y5h2`*(9 zN{>dgwQw&=u8sQW4xo4UQUDlFMvU&U3Q9e@d7mX>JLQ$IOLE0_yZSc?=8>s#7`Nh+f#s%$ms7vl2pTE z%0fc=pF!)H@%vv_bCZ`uAltkaR(!^Sy&XJ%;c6ZgKV3YWFt|G2XCwM(v#Y{CGUo~$ z;?j+u5DJdG)rj+So^-HoxXnoWT}Cjw>zgI}O211?DUPkp83?HI+ne4vD4@z(E0u~= zS`}?SmGtsn80vR5D*0<-0u=x)R?LCLz=Nza~-p?_}DKCDP<=sKk!b#z#$f_2_{jtrB(JQZCSff63LcfG7}B%1;b&BnJJ`zm-kx7{0iltq`JMFykoFSRgof{Qh$)bg{~(DctE#= zJ%+TQS40I?c6zO_eBwbfsyxlpFgxz*zUqNrgx$2Oo$X4kB=tH&lFj#+kH|Zg<;h8a z>__QaLYmTwV9cuO)uDbF!lg2gtbH^(CRgL?;;DR@FB{L%li;p3PmD6bhZxy9#r7_iv|=0AYj|WBe#FB#HO6w z=&0--;1XJRSs_(p^%Tw%vSV1}iIdSS7S18^-Zt_QGJs&b8sAcixWU@cXp-Gy;9uCx z1=RdyP2~2gxcjNG%PS4a@FZlYMS5Qq2;5co6}^zhL0W?5( z={;K?G4<0;hb6)+5`x|!NPrnzdI?_tKDg_*wbz+B0@x5{HeDmRBbfKXp4%W|M8C}y zfRY@8P;2dN<+$67M+Eh`XGUo@yEU)t4t8@@owfnl04*N3bau0JA}|o9Ux{?$C)%uVNT;DA3eTrla#|!|= zj^v=oV(B|{4$>b!fBl_X54FgoG^!HBRz3Wh-W-QUuT&=MZ44_X2jz!HkioC-SIRkd z#ysJ~obp3_5Z{u2c@8%;$wVh)8BG~y4xD|}TwqLLg4FNd8}5wpWKjss;G4lQz9J71ZF>9>$EJb+kRm5k`?Z;1cfsvL z$!@CtJlOf>n)dh2c!1nep+ds6g{ofq5F#WKnMZ!>zEarxh*UmQ#G<2i&qSl$IakNU zi~GZGc=Lh8aNgvNTs;;04pd!iW$ZG{$i(XB5e+5BDrd-*?GP(0y&U%b4No(gk zoM99Wt&aut$j1vZf4a=SD7-*yrcRj0GgTz=((sC*E!sNpT3@Y1KoeCk51xc=Yk&b4t zClr?s?19{F5T%I< z&A1nckWtFiV2rZzYVlGo>A?<5*pUJsOiE!QYuR6s!dzSYXj5F}Avane%T1zJTCG+E zvZE#`?-r~+r0|4A6XP?A6e~0cS!q zwNixIGJH~3*sq6adrtWV0)te{qnBaa)!@SQi+GX zmy;G!g<;5Yu{-Sm*={;a#T233hV_st@XFT)()+tSrLUCRC{>BxwVji+Gapea*kCD6 z*PG1wLqwRV8#V3Gtwsgyu^;Ra^bsjA`6IUu+r(fpWqU2_mWS4+tAc6 zp?mnR@ILZbGSM>TDwD!0PaH=-g;w3{M{zrbi*~J&%HbRG(aIY%Ryt>~x_$x>Dacj^ zL##T!^wih0BZ$|0#W^#Z9L{1MK2)iJNySNO)z&a2JN}1RpH03)+2&#`(uZOwzC-X{ zj%pb_)E{4XOs3ewHeR4Acd0W-(j61KQU8vmr~u5#BAjsfi+lK&m2wuc(Jt;e^t(X! zi@Vx6wa`<~ERzr78759W%nt>s)JlvT(LSEa1NDs|erSABBkH`F^7enxF`tBZ$I7Gq zX#+ZlDo2%c(`>+Wtv{JZwOym))S1l3zd?HzI=y}(3=CbDyJK~`bAhY3Rdm3XUDoZ< z;bc9XN|64ae~9<9ML_<_8Ksq2S>J5c!gHyQvVL(jKppDln2D-F3s_Ywr{&u}!%)^7 zf1iEGs1GRpQ4T|u6d`oidt@0AoY3teHSmHf+jpAoVB0rr5U&~soPEl_!V)#ZBn~cliY!!C`1aLVlzK@PR{l-U;Lz@j4cZan$k7_t`UYu zR}b;GHF>|rYs>y_c&sSSdJx8FeSg1UzeNIr_GTG}{&KM_ZoAx}eu+?AXC$Fpi6Fh& zS0Tp7p_JTTbQphuNoNS3aFE`@uX*3jS%9XQp5~kybK9YD)&NSP@>YB-B-t=dsQ;6G zu_0Aem2xbiu53uk13oGJ=9JNP>RAhq%jQ^wU@|YBbpQ~)6!|IWhvPj))S#Et06{^D zVxsPs{x*9a(|A8s`MbqSG|%qhe_Xc-I75Wto@zJoR8tJWXazrK+;lJtO69*F9!^kt~(e zmB-WZ`Cuncxc)9-g>Etzf8CcL9XKvg?saXaQk8@=SxoXR0&%~%5fYCT8r|L7(26eV zXdFP|!Ere$?{a15Y{Y}*$KKZUh73#Z-H9M)%9OOzdZ!nk&yW_@Bsr7+=l#D)1di!L zlv}iXsG2JMqq}D1`%_ji#TSj;nZGSw|I@*2{U-s}=@-#;EGsg~g_SW)y!DWSR$r)jeOYnLHVvJ!7>xb)JPlsY!B4&s&<1MmC0RngSyi$>xp;IZkJ{hX!BX`#WmOzIeulsIr8PQ8;wLVPAk^1waEG8y}+a(n&2P!g!uTPR>!V0Ne zGtl++Mz8uyHF3CQm{;rQsGPiJ*VRC>NW&`MU(>}i>af?M5vrf5PWIk)IEA4+aklw$ z{%xhL;wzdKy<(4fEF@nOQ+M6FNZkeCOA>ODmuo~-V0Q;a6zMW0S9WIuyn{q*-|lL# z!a_QB+`quWU$Y#UkgNv&yi!R=@#nP%z&oUVkkWX!fIx}d(|W()%xan_n32%K-cgNC z@^TJ9i%hwu-i-5b5FYq*dm^{aCfuT_X?a2*4zD0PhX=DOiY8pj1mvGj-N?f$MaynW zoog|S8Oc{KA|4e)wL2zH4z63wGxRdiblnd0KZL&w7kw^Zyvlu4&(%;&1$B3tqLG5T0>btE~B(;Es z4*%QzAsX>y1Nnt}FTs|_%;ly2P1MLWq))R1(faq)&$82Aii(>3hIgm)RlZ z&^aSo+a#()fW^9GXVne?Vsk(i#!sv~Ci#uz{GfSEmDAJHd(aRfVjmBx%1+(Hx*nYRn;-X zR%K6Qk<;aYMKs0RsnQHp*ML5)O%JCo1?P7htYt%4SY@BEc&7H=u6rl$*LR%W$?X7q z)elMa4COr!zS_L*C<1gXkjOB4`Ep}Dt8nZ>BxQ@`GK@Ezc#|s@3D&-{^dFFuEuc_i ziUGiv>~?50jcJV?M2x7hmk~Ia)xF@Cwxa(Va)Bn-YJ~goS3beL{WypugL}lx=x}O) z5fL`#oAn}p62_{$GjH&veGhqLmZ8yVU|L+mhd;oK{X zjLh@+tKM%^)zcxig54|G2{2W=&5=bmcDcYH{k8UI=QtWK+jI`b=zDp&$shcKCFcvxUT)a#QbhkQj8`ZH;0pGpKa|^mf|TxW=ks}+j>O&d44)rE3JkGAba|Je=+Av% zhaN2mWyE)k;jWP%2VqbN+QeFR2(>l2h99Yl5syQgsLA}~Ia9a9kj%uXiw@Z*aQ5Pb zeJ{1>{nG^@npppJc>We3y{x}A%Jj2-b0VOQzJF9)-}Aw1cfj2Tk@@6>;}3-Jm#f&t zVV*w3?fzbt{661LcX^l~uyl!()!H2_oe#HW!($6cWIKKFFHI5v?x&v_HxUNfQ1g_+ zqWghJsR~MUElYMXV#xKhUFS$PPQB_Gl8S1hb`C=RVknO1T^paLO0xNgxPHDeN(GV6 zvrkOMr+Nz#r`b&4RqbaG>63{q*aO=if7XX@Koq35uL0Z^tTDIXPT0Rd5XaL`&ANp; zkp6ss6!`@>-OBNWq1y`h*V91~Sfv8;XF)Q<3^emyZisM_s+|9ULwNOmJ%{nM90&?m zt=4O7_4Rqh@R52>q<}aXro{AXH1a{DAvRC13?cGvBN721@{?(9cYXlZEq-tBFMn*n z@{x8J(keNt)V8#Vyh$XOSI)Ji1XkJcv~={4HXCD(ARu2^mk0~aZ-G(>-;Fc(ut;)T zbUGRJ$nqJ$^ZHEKu<(uP{$F}L=__p)ckeT`Riw7G3eHvP{C2$lMMB)bjuI3fY8V~k z*lD%@@MV{6sHHHp4n1L7_>65)wAYB#S=oQ6(b*7chD)AzfA;PytHAZDa#LC2Np;!4 zXzQHD+spPhC_!TGV5Y~5`_F7|9G@TKc9&>+*Oawyu>^ix@7$Ow0q{>TmQe}R?34)g$1^r2mzA~imklLX>6C-*VNk1t`uka)L+{(pwf!x8HLkK>;k z?hc1DJNwMYI-+cMXNS5(U!yoHL}to%&f)ACl5$5@DI-l}W``tXlVp{>)$ixO_}feY@yBXJ=K_-5=16NFd93-tJDK4w&B8s!vp)x#)B)%xrV5xW__xoK z&^`|g<5|R|pf7x24{gqrE|bm5&Q#Q^QSH?|ZUPB|uYZ#{cI)^jeH+g-_dP)|=|4D9 zk-uYu3oofS3VDkP5og{A)Bg;WmN3y4YW1m;#r5M;`iSCpg~voiCfNXGQVe?Cf0hV~ z{jD$j-`h;d|&3oAv*3gFLr zEejGQ5RS_ZqJC0jH5zNk2X{(eKu$QmPyWeNMLU~`OWT%cAG&GQCjFtyv*>oFCjV4G zx1gy`TyP%0CiW^I&G>9a&egJC3m~I`K!~st_%p>Y#C)E(A5{)k-WR@XJyChFR%En~ z8*EU&nQr6#W`csII*Bx11UI=LBrJOngBD(V)wX(`-`(-iaEa|Dw$n&jgXJ{ ze!+JksRyR~Y_#I|4;?d3xd6cn;k7_9GtZ|vZz8%bPO~(did6kUK_buZpD0DY#ff4k zA1colvu3b&$-_&_3f}T@5%(XqE@E@0cH1CzKb;G(*K#%a%EFWQhz$t7MJ15}k!@ zPV~AOb^!CRv!s;Jjv=$#%nwF6bXttMU+CM)HJx>+PJmvV=h;;`F%kKhQS7SZnPuzy zxD@fzW3bpph9&BcO~A`3D;aJU%*5Sj=;S5HcUt*9H8A+nUVUxpOD_ki;{O`Y> z{0}&L!SD!&%Ki9Qx1`3b%7oQX=4olFuJM*D9enx8mlF!OvuTt4xH%#uPq*#LV(`uc zaq)Jgs#&mHa*;Dv8}kSg&5 z$6vfWv4&rs6;A$8Gez{mXfF3eE!v@0u+(OqIrnddbgywJeo}Mgo|eTDo2twxJ(;;$ zJ7wmAM^%4LW#HAXGMtj^bvRX=nZ5!fA67oK`1t=213+N^b_Sp!jxzDm{&H{O?@Zy-m(L0KyD8QEC5(!z=XNtR$R45s@Lql%P2xZtYm10bkJLeg0-N5k&V}Mi3WNL4jPe7ieKT-9K(CPtZQaC7J z4=tvwrpC_czpSzn;*oT?a%xo&#ze%~G{CO#vTc~HFcB@~g{Z>S)gjxGs0}Mt7A7%e z1C{E*Lc;m~18Q1oR=h1jldnvQI3MOJ*W9v&o7W7Z&0JNpz`>vTNGJ`Nvu{x*xa(}> zqiEb$^w$$gFr&&w{BvILXrE1@7<%pF&Mom!zLW`QX**_C6U-`M9IkG`7npU&MuWK6L{#Z(LCaY|6cod^$4#!rLsIDkH0EbL-;h zi=pi41r@_uVtF6~4nI9*$m0;%ALobZ1v}&``m7hT{YrzHZf%TkVUV>qHscJz4#05k zjr#Y+skR2{w`NU*8l|Az1@DvZ_q_9&%Iv=%OX5`3nCnB4Yto{It*gbq{aVzN0$49V z=+ANt5MsiHzY2F-Fjvi7b6z*iTOlAgmxyB=%b+)#@_CL!S&;tIZ8TlNuncHZG zz;99QL^~FPEt#-w@;1%?{pISNYVlIK_|T8x?!7hIe?*$$0;+|-oIE^AQcrdmmi2I)J0(-s}7 zYvunNQBy){?sR|ep&Gr~29l$nx1ykLo|Io13XtDYWcGC_ZdQt6ErmV@MPMj@a<*1t zlQf&-23g|)OO$qfsr<)opcGvMK;CT(lVwUSB19 zE_|FK%L|`CwGxHvK+aoT4 z8?jGbs&Ui9etgd{suUxwG$FaP&j0+c;Jxt7`xuexiwc0BzKxdDkC&V3V_RZ($(Q4I zP~XktU>icr5UdMx=;`)Q_P4hWE!h(xn?T}%3qBZ#6fiDbUl80qFvmNETcRF*k^EHV z#To|eb zFLL@tCekGqt&L}qp6F-Fxqn1~ft-iPQq;Slc)NY-EcV)9r_WtYCf_pM0xM83`RZBW z!=>#s_6?ts^KqXxs$G1<PU1UW?Z~VS$(tOHK zm!l#qo526Hp*%A`0)>KTHVh;Z(tvKfhazk#_|;H#w0AUg}omBKw1;5~CutT$;)n4=|G zP}62uIOWwi^^(H9mHz=UlDR)|t<_`%jiv3&!?V@ZHtduCPFl3B()ivpHSC0Hov%+4 z|6qb?`4mug5%hzWDA_Hs9o#s}xB^D?`SRVlr`DPnOHh-Dk7@OwBJTB9v1Z2i+Fjs$ zyua=2ypW%Tkxzq(v4OMf6xJekP%Lvap|TN_ftiRxFm08)#K1U{St6~@2|hWdkL7cU zdES~NhHtp-LAxdK+=OpXOJQ0XuPUDYcEnMD_kFm2J10n=F?QUhn~ZDmbYT9`7a<1n zv~S3|+vgb`$dbIJ7L!&1xG>YC^V?mZA4P{tC4ZV3Rw4e)9S0E-I7b5w@Z0x}Q~ zdio$6VvOFdjyr!_)XS94>vQsxP#xDWD}X5hgV%%mRC(;97|O;WdG!KNcMT;fw9Amz z9Qlmrf%w#5u```F&-C{HfV+KaX89uZ;g{YmlPi+En0J3(lcY7=iuEP(Ws9qumwDtJ z^%ZWIbiZ978ibs;jzY2bO`|rfrjBqBla0Jz_I;&?JIAR)5}fB1|2cXNe+ubns6wq{ zs5<`xOiVs}dk_PGVi>t$B9=@V%dDUouW6_~l?8+fvCQ#xD7`3q5^ zi@|_qk;@VG;c%vB4OgzboYes=j>vDg)^+?iNB-y?rs+&bFUM7%BIa@7ur=bU>8#V@$6J{RZ_i9@pp=zCz@LLHGER#`)?>47PBT&fcCiQxGipo4PnUD9c_HT|O z>YahP4htKJeCE^Mgh|DVGrkJW$a{dO-2N6l?^8_?IdtkM3ZyV30Z20S8_?9_W?j2@ zH;nrQP?W4+|IFM*5CJxB0j7sk3_!5|dVa+1jxCe(>^n&2S@K^vUigAsQMGE^Mq4_S z19Lx{gsBfvTGYU@yjcdQclH$hTo{}qNj{4#_j#zbQ3iod-_a|&bAA{UW%y3Rb3<|F z@k)%QcbCZ6RtmHPp)H;vck_0>>lNBPChKI>=yXBAWr$YEJJx0 zYp)k2)WI(Xhrg-*0vNnL4Q_z=2GS-+i5C(%rx$ZoiPGc#{?Shr;&OiWc=>Be&pk)- z8=iW$25k$|8XHPNxC>BJW2W1ppEMms{e}KE16@x=>I#w#s#M$vL3ek2@NXw;e2Ui*k@Ttr8 zy_e$O@0;(Om5Xm8`3n?LyZ8oYsFggEf$%h=TwE`l)Pl?ksr94DdWeD!cjIA<*$o8RsJfo4@w`~af?b1$!VLCL6 zuI-{1D4T$^<FIl56qXOe9Y4NnsQE(^R6#Rbn>|3e=ktFlci_s?(p6Frtx z^)s8ZZ$oB<_C~`3S)?r`!aVtOlBL7E6d%2lx6cDuPpNdU7XDn<&ro5%7#qeHE6y;c zd#@>s;^mA{oBFw%gAse#R*HR%tP8E(tOmC7jP7>JRz;UH673B+HRR_viLp2nCLi!> zt2^DPZCh>fip38o3+HyU7%>gou8BMEb+-F&+=Fx|%=jp&8nN6g>On2%zAEyklV(+m zAYtXoM5Klpw3?q^`%hA<#njB`!mB2sl*?2OZ;^I>_Rf-UoCUvGigW6}oeSb@kPXFK zWtcaRP3SMxOjYJKNw+s=8d$CWO#)V9BUaa82PXqTQ2ej>`$9>6w~eE!yC^ZN1trhp zaJ=($Vn`C)WWLZxEL_P|N{ya+yp=4V+_;F=s1#la>%b49g>@OZ1SXy6(6?0P8eLOS zqruGS^Y?z)+=ej=c;Eggp79dvaeC>1pFgF0RJlHW+K+2+8&AGMg&#oPx3`SkI;F&G za7y|t?-&ohp2P_tAWOQ8Es-y~XV8f4{I3SO>4nN6_ed%i<0AuS1jBQyhCnZTXI4bm zbZ5U$j_RnBW1c~DMC-()kC`)7>vt$EA}iRU)kyds4>#(U&2F_tnL4|&8I*@$p1fdR zRj-1Vb7W*xPPz$?DS=zeI}r!l87Gm13O})!u5kn-mT zbsS2;+w+dVztFJqMPdH~GCsl{!&64nmky)iYLF;>w$nmvQT zVS{tyOpSIRe(uYpb0p-sZSE=uM+V36ot2_%8s(!HQdr_ooD8`2SRLr>QIG@kWk)+T zQxVG!UGAUxoxbLu0tGcpjRj3V`-XiEr>k>h* z5@)X|;CsFuO?|w??VblfQRtwXzZUySK+gb7N0wRiY^%if32r&c)fkY$kGbs|DhF1U zifrPM@&~vtz?nEEfSk)mZ=^_0ySo@B-<0@p`!js=9GD7VvK({E06YYw>c#rj1YGGu z8Th5j|E<)K+kmwqOV+8V1=`^)7D&?e=KMXxmfgx)9Tuvu4nhKB*_u{00iWaJS7{&7 z#wF^ZMjvhy>+lheo!YoGrL+7u4k$po$9CM&J*Qu1=phfj>7I69$Y1E9GKy=}_GjvZ zoIg>zG6Be~XFppb3N}>Qu^U;QlZ96`tRQYNeh7@n%al&0dHL%09oJe`exQ}K-XWJ?;LVgh zJGa4g$7cu&ay65ZNNz#LRH`__%Yt)#@DYa=5_{Lo*zLC28os3!PBSs2e3dI%@7-&9 zpuq^gNty+f3k3#d6Iw|NS?g?FlkROR;xQq&87QJ9f5y2rCmt-}64%67SO;@IZlwJH zH6*^C;nk+U#;32G2UwF0tZ#@leZu-W%~755O5d}EBv2lL?B|hm?#q{sldqEIY7-VA z1f1*h(&V6MMBD|c-L~@UXjbS|=udu-J#7BH=oYfBDzjcvsyp2P(Q^K?l{&yqsdD`b&J$C0(p!*40a!jcsF+EIEvizC<^o>54m?gI{nd2lBkUMwB=GWMFqD zILCjtY0w1D%-~h{e8=M3I{*#31gZ=mJ5((ObqH{1jtP z(K8>#6eKgqLftKrVSn)fWQ*rktd?go%7p)#SkkTaI|4V8rO2?!dvys?yn@SRwYh-Zc9f|T(+jqQBl0$ey0d+bCR?f$ z4U?fwz$XPQGIK62eRI=a?C=Z0A<>f1-;airV~mcKPsF%Glb&N54HS1M0lmKjls_t> zt+EBWwWa30NxDV{xQL|giByT|AL&lrrIeaj0Ggg>sAK$N?~FgSkfrki2qmjm!X&;> zbMGm2KpW7g0&cY0*x}lYAGGYoD$l}U(eUKsKxiM+Qzw_Iw_I;ACJ5dyyZ-~sn=t|! zoRd$(5P{G$UOjQH2+k*n-+j_H6;heZ`_YoHjNi(Wmn<%#a8D6x!3;_N@s{KK56wZ{ ziD#WT+IhNS&|HHWGb?^`w7X=`-0e}$u0*~wWiCRn#2C^9$sYt4BUX0_H~X)RuL4Qk z+&#@N=L+vn@?lv13l@%$PEava()u5u!{8&z7(ebAH82&|>Wq%*@eKX2A7>B0esW8! z?|q=!7&5e%KWD>rX;!vy&f?v`iC#x@Q9y9)sPi(dCqAFgfop>tF6e+d_6wRXrLWZk{|z_A^23UiN~-f~>`%p4TeBy!ZtWvKhl?Z2DC z5(EZ?!Fx@=&*_b0_Fl)K6kAQaj_;pav+#<4?(yBsG+BXf0|R1iBppHg7;6t}rC$LjecC&J)6UVTFJAFFC%(@X>SGbSY;eG z+u!?3)WBgB1~>8F8XOwPunouml$m}xLSd*l7EZ_;K&5lS+sbV3UNsPkM%LdXb=o9+ z?}~m^b$xclRNP*|y=(3lYltgbg?D*9=2C=XCO0ZH;V-%FRLVZiUf{0$rYjs?nt|ip zP`~$8i^=q?qgAVPQ~YE79!M|7M0Sk-hK_PzG=wj8?GImd4Nhdl6jE`tCwazNEd7oFys=UE(szNeUi9Z0oVBY9-7BYZx)KQGpZ6xp0;* zjE+^M^1~;Yj`Gv=h=fHf^Opwh?!v1LMP!eu(*4%bq1yc7+t-chtYh!zuZgc&xJ9L_ zDHwYByGb+&o0xjA@n-FFCL`+kX0T*e$(Lhur&9s|(wZZ$Pli~X9ajOzHj@oNL17$O ztUPf^lO_@&qmdctSfTfUt6?umM2KELo0~|>(L>yoNrrH?)iiMtt%8;z`J_t}={nJ_ zw{UsSUvrp;5Td2z8T$wpi;p)tKo`X$22gJnfLoQYiQ_9=Oy?I>pP+8RZM^<5$Y@&~ zggN=HZ==fyBf^Of*TRjRk{9t`k+MQ-B3=Gq6a>3cQemXmBf!h28PU(o5E<7`lXW{} zW+b^p{y0x9kEl;She~G>Q=e#@yVCoeu&tK=KIXIR9Jl;B7gGDYybp-&;vu~Aw1-?O z8Cf2Psx|9!*H~N-An4!Kk?Bz? z^641nah9%^9*d5MotYaPi{c1E{yX+FS6n%0?Lfee9%=*%DBGWz{cI!|bQ{dP=?;&f zd@$8uc^r77&DvrKf4M*`(LfS%m$;d970Qu>JO4B_aWRw+y^c*Qkck{aX}I3O%h2Gs zMJ_KntI8m#!o(m?klHity#}oYBg$q{Z&>76p-7qVXV4NeFgM#8+L-hd+FV-a>2J37 z`uP$0$gyb{t1n+rdRmt+OKq3ZKIibk!7j-?VHSvFh|FyjRzKT|DXN+)x%P|=tO!T|D?SqEzZ519zYIhW?|Fj4#fazdB z6DZZ<{c$WxCRM*CEn|23#viUrmZwV-q$82Rrz}1kHgm~qa4CD!0*)-}R5>NBW)@5T z%qzn~wojGxK%foPp*}RulWX2OH-zoK|2E}h9f@?u!dzv{V-g#vEC_;Oe?|UFXyY$^ z(JYN4ohWUxMs_kMDqpysw@WY6)tntMnIna6V2E9?4GtmgA2meg@7itVKmHo1`I7up zq*&yMqfH}vRa5_e)08+l<*_&=0tlxLj`a+;LD+Aj*fdY8BNn&)cs8y@X0 z9D*M+I5u+Vs#K{OOFIMYMO?r9;F!ZX9LM_`;Tk#8UJwBc-*G3>60c;uF`SWE6TLE^yGB0H2$lX5W1qL{Hv+BHOCMpB|Y3G zMNT!mOvtrnG5&k9`?aG_XYUUkQkn;=qPke?vy^@bg7ki@KoUnKLdiOyZ!ED%2-7a& z%iR>U@B8NzX9gzmmhda;o~2&vyVxGoH)f~sxaB*p;@+c)96w|qiF180JRj2r zXq!324M^)c`+r!9gLwKYwF!NVj0dFKN)qe{E1kp0D@Asw+Qd-ps08w(T8Pcmk_h(u z?cccDtPQh#JOFJvhK3Ql?N*k8An~ZQRj^g^RUs}fbD#OkxA#9lqV5uC@FMQUQ0!}X z?nh)Z2D@>0%{^xtu|3(WmMP$^>*A{LuKF58#v3WqWh$teL{uU^DpFN`nr8=HF{!8! zGXp9Eg$4KdQ~T&!@P^i>F|_?Tn3iVpuRrKc$(1O-gal`Kf%@IANIW!#T}HAP1D5=w zhghjq<;z0Q%K^yjZq1VOmvkBD$y-fY81ZF;I)dt~d5N>~c*b1+gYLfbsOjLp1fEwW z5KV5VX-bwxer$^TcMhM>mad0N>_k5k6$G2y$Lh$ZLC%Fdp<%-G{*1(HI7(oa@ms2( z4W6CGa&!{NBjyt)wG)ymVXTkt-krjwHXHDd6;>_ybN(fAKK+#qVT9S6Qu z^&5e3YMF6xcNjRUTYYpL<-nEbVL5_0`i+18=+%d&)Lke9)Fs9yY<{8SVD!sas|@Zl z=`LsW+iab?WHyxml`voS5K0fX!9l=nX2G*^ur-l0FFU}yHy_9QK<1jyLF^nmM-7*0raYAxADK;4yfC0Y%+Vwc)3uCIFR_s~8M?su4D zg18O|exoc)5(i!W%^oxj44$j*f;q_i<_1j~kA6JQDw*nayr!>HC-$eHnbBh3!~W0m z3AZc}yDZQbe4nFiBTRI2NvDej(|4$LS16PW)r``+Mj8FZc8`bDZF>^!-@Cthauad? z610Qb(p^w;iH%h#&(_&)WagTKqi+SCio7)Q^$$vHLauDZHBswB!+ZT43lW=F`wxYh z&)h;?QT}-1_Ao~j^)KpSXuH4t=M@nOLgt}dR@b9OvO?reK;bFxNdlVpm2?*atJQ`H zn2m?UhXmmla5;5DN56UX#Pe0l&xD0)s#A_8SKB=7AtMqpYi87`!4 zdD!hzF8ftA$IqHjnIFYYFgBgTF?r^x@z=wUsB{(>U&*0S^P}3fYoIhM6={C-vIDmf zLP7&R`RxLs3$moFN3b1>(5VJx3izi^iB&(nE5tEp+n@fy0LgaV1w#Fi&@bSJD31oK z8Azs*XIG**M8jiPS>E4h8kWQ0FO3^tu5JgGYy_OqggvH*z{TPHYvB}=xSKW$UUM}y9?UFj^Jrtq5a{|u z-nVG$bez3W&qx7HW@c#fez<4+osj9wW->FL>k?&OYycS7bdV!k}+% zG)Yf6fR9}`_N&zm<}m}Isn|M*^T*q#&VcUhX26!n`X%Ve%dB!q*mv>EA-9EGp8Z9-#-frc<-gq6h+~Rv1F1fY zZW@MW*#x)zCy4R_n}diJxR3C$hiN8&O@do$btWdeD;rn`CP9Wbv0r1LVW!2(_F7R3 z!OgX>L_OVOv$Yx)NB9o}^)qe<%Szrc_<514PG_!_LpMq$cfa<9v9M(qI8RX zD}s*x>7u=@Y9!$3ak^#D6La+vh`FunFqD5Iu{@z;XlcJf0EQ6JnK@c8}+mzgV4cw_sBTf_Z64K(GxC`J}K zX)~uoBBL@cQ>r6=L(ybR;{SlLMUd1a%r9Uj*ns!7Go{aIh$Q~!>yN|S%G$hPVJFl) zWv^v_VhYv=+YfIcU@|xgJ@oCK6zLJWVX066T@6#xq}=O9iKHB$oDqVL_(EAb1h_8Z zxE#YrR4rMcni=X0t}7!pnZiEo(GFXG1c^@Z=b?{HyjJ@++DDisq9L%hE)v{;r!6){ye1q-0QbPY^M@9?jqHtlFdWKD>YG%^HqFqte>E;OX&w{%A_&shMnEqG~~O6 zozo+=&ufuiZaD(q(#pYriLls7GS`{tZw{sd3n*NVD)IQqAFS&jLW88!Ve6MMUc~r( zvW_aUbdiel2wb|nF>EE6@6mYpXO;$zu}uAVew>R8)n|I`=@3VELS=H)B6~S!KGyyX zZlwcrukv<9EgkDPnV5XZ~K6LW1Ln11hZ2^)%+s_XO`3Mq(T z2M5*+9^)b6_?u~dQyr3DGRH1v0_N#?Qj8m>D$UyL6L{nkCB&IlOCiV-W4dw0=JL*+ zH}|HUuD3@cd{)5UA)_BFyvTy zKrUQIEI7M<84>dk*CaZ(VLAReyyL-pO1H+ZK0NvQ_rGSm51b)J4Vzb?WP#vw+kI>+ zWkrm)wpA&=Er}M)pc|jL#~2G?fn2uG5wDGwPkCPcJ8A6?1{-OY=((R(5v;f+11yVE zUm)rYI`ZEuQ!(}wO?9d*n|H9aO&{{Hk790bqY$V9yn)_1&_#8zfg!oyHz|Dbuh2gt z(D6xm3seC@8L!(eb$HS7l1i=?W}Y zXv@bRY~Hhu#?6nOFsTl4nrA4iJq>HKY4W7l>eH~HR>ApL>dZ}Abints{dZi?93zfY zcE1A=gT}c=!Zdb+HKUf#jwnS+&oBJ)`KKf3$Ak|t!+3M3w>ak}*jn2+TNK3{{Yd-i z{bgS2jreN=aw5Z+KF-gyycb)-w{5B|yJyEPJl%bi3? z6M%ca4lvcwHqr8YHH(m?;2s|BCtA=Mfw+`gH#S(6;OmH|o$aDoQ>_>ERcONrJYQ** zL1|2{T6lQ0MP671cYyx$dK~!s0U=wt1Lc20 zI2*JJxaq1G2vpRg(Ed_{p_r z`kiy zgDtPX54AaFgKD9!o<9I3{ggF$!`15EcPE63S#Wq0YK>f>Z?QKb592hZ{3}K!2Z(D2 z-)&v3-9AlvS{=3Sa?i2tnah2XB|KeTUn3=W;Y;dPU4bH~?iEfQ^JYN;_6C6&M(Dz2 zwdoGdi%U`gRM+y;^R0>r=!qH@gA7Rl&biIK5l-`jHzBFtKsOIsm=c7-+ttd&?r0lu zaB5Cg(NQhkZgoO$T-#-A$u)NW7%8rKLSI*Odm&oLL5iwvRSc*J`;Y>D#R%BnZb#5j z(UO1pCCizv6^X_{!9eQyBFz&@yAtmuO_r~GnAlftl?ZYXF5B0%!6S3yKc7ZAYZffg z>6>DD%Zqe{2`=TOMYy;L;Ma1h_eCF|*t7%)4Wft;MJQ1jheN1l-*Qr+9cK@Z&#F%0 z%=ray_|&N|qN&N<{{gA5Bty{#O;dkcq=fEl?vcINHmk;(LpXx8e3sY3b{>pHMQ`f* z?J9|A`F}F_{`(g)YXtHB$Tj*7^KJy58SL|RgrzK$)&3~^-HUK;n}+&`TL>@o2(l~R z2Vy#Jq_!C^A9$^^$&zZWD@_R68*S&-mP*U2#N)2{*|3K(`>@8MZ z7AmJC3LfA!?bfqe@i&E8YA_jdoYyR7HgjzXlrEgWw7O%INY&KW-oC~Eg+w9?k5*;d z5dA;pyS=wki}U*n73|}HpE*m4xy14+Oxsfkw`?*F{rWj5>Y7KG6mH3f&-wAKvgy23 zY_RnvqDUj(`q-TF^=H<&bolt2&Hv5?w&>Bsf~KjIN3|e;&m?azqE2_CpY>kFdlBen zXzg94t_;vw@&9^NGN@q%5)aDYmd+fZ$1ULR(8nwMY-F9QwDtb2cTgAdBOiV(u?#_~ zxvVcZylsrjL)&OL8}Y-o<~b44rOiVETx`^y)Gz9_7^nd3Gb+8TRO#`HyOeP(7?vqe zuj8~Z(x|^E73^l2CVb8xVv_x{_5<#&M`d~9JR->Of`!2=XSs!LYh%|xY{6|zz)iar z7FgkEzvrpJ6P$nT?PKFXh(y8O36x=)IeU@8vHmyuyi1S3|A5jDtr}$&5~W+q%J;L+ zBUu<{M9pG$nS7#u%%D=7ZC#IVG!qm0Rm>(^Ea}(jl0q;)ShV|7BywEJ^wS@c{{c>% zfENhhAZ%_!ED&<8A{{F$8pEaz-Z-4BBqNgO3>od^&~Im^ka1wKQZd>s(%am9PW9p1 z(jSnn&nf~q-bZ@G)As@9C8y%z>${@w%+H};@D&uI3?32EF)RaVJ%D8anWaFOM=dDv zr^p{DN%$A4PHzEIF04TSMiH6I{~1U5F(cgS)=k8XLfJhn;!GsnoNKyAFJo3_KRg() z`Rk@~`TmRh`$>NopE!I)%Xvxdo`T2Nv8T6gh)AW`c-+;Mfcy?9Z5Q-9;ch{}A`$LU z@ROTK28H?vNfG)qM+=QaUpZmK$v+JyThJczHesDXe}-yJ77J z#Dj-@+je2lqLl5DnBVQ^_*#6n)aJ1T4@i$=eY4Q@SE$Va>gtQoG zi9t9I>)Y&gb(b(DCt~P0HpO>CJn!dN{xU?C-~4wB`8kVP1GQvYnpJsZW}XxQ;`g^w zFZ@o#@_rWK{*)o9!eIzeY8J=DF*W|hGzS?`shdLsNA$Ml)(X)(*XxL~Okz4_H~PNC zL$?Spq2zny>Jg$KYYi*i`O-JrpzMkeP$hv1od|N7O~#78LFCE{gz2D^&wTfHW(BRc z{_XRG4xYW1I4HvLUY}pZ$iQ`cbc!GeX5$mM5p-Za)T+Z*&BH7vhSpRWuwh9DH!xj% zN4_bG8^%0cJ43!NRi`}XNnK!XBt6SCsgNEPZhTT5U@1~3_In@Zi_o#iT?HypchE>u z*wIE;t8%72W^%PRf6&)y7hy%F`RQH7H!>>UC59D4RaiS!;<2o_QVWo8p3C$28k1H9 zr^;8y&_7w9tJXu$J%dv_B=T}PG%?#E87sn8F(Ugv2_d**=lnv1I^H8s$3DP?`WW&H z#Xd2HmrA@1L$)&wjdL^S(!M9oV^F=8?5Otx8oRr(g_3vtpR*tmtZXp&kZUzec?x#l zIA$vFKJaqTN9Ao^nEc%%CB_~ZKX8ol7BKvRz_Qk@YGd$<@wMLAkC=leeNOTzl#fk*HY@Loxoh4cA6+f( zrb6rHrjhuHq@bB0!0UMvb6pIZroN0uQ{vx(vI|=(7uTE~%A8e;@WYfYokSur^7Cgs=?AFiQ+nTK1itsg5ti+KA3Cg-6Qs4&1N$Ze;LAdR z1efagr#QFl>E^;{n=6aJr}vMqUAka+SFX4(A(nR6sAaVzOrG+M`4*e$MD!-*4(jdM zpYuEhlz=JNr%i|fSZW(!qI5w9w?3UsMi^Fae%Sx{qB(*3U3Q36-Fin~r|9|RNqxZi z+68iJ>y;feOY5a(tbp`(gf`X7pN-JVs{dbV`tTP+TQMz{As`=c82C6wrUQ=_Mi+YF z1<}Jsc@cx&F&{t-*&L&KVX2I(tmYY_8Gn#!YmlFVdiqRw6NA}->}s8)CsSisho0*} zdiRS$;%_o{2)O0sZudrmGmJxFCr)r92)}U_%{}CDsT7+jq(dY}Ggab(t1$lQ&0a(c z<-Gp6^rQSdS+2^K$4}T_myTj4R21fdB|?z5xBv zCeE`w{1rlFVUY-N9rG$Ggi_XJI2_&f*bSRn(_RVP*v0U;{~XMc#zh{}^8 zKtex>S$d~Cd%NEGI!|vOB&wDkU~{NKT<;{yO|MsjH{>gw`?&g^Q)MZb z8OQP@d63g@qF;mke{fV07d0K2plV@~BI#dTA z*tCd8e^Gu_f5e{;fMPleD-C*-+}MwwUXRe00P~`1COqs58AUt) z+*d)H=?DBxvaz*#Cg$JSER^=nBC7<^t$dtYJzo`5Rk0~oe#H1d#Yyokk@WT+Z0bsG zpYk|WHg+q9l>mU#+Y?%aZq zf)uuy3OgGv;J|VOg2Y6ya5Ae~;q#Z=8Gb|??%B1{k2ql{yiUXW<5@~iAzeL0h!I)=C-BW-Ud1?=Jrfwk*`-=Bb0`A_ zHA|3Cq~B0nn#OzmjX0pV%SpvTDr-eX65IpVl}4iK81^?)k*{3OJ5vs_C8}nd{cr2X z9mTcMG!deKj&^b0LiLLXg}g06IsK0;=@}Rx<)XUbIEw)X<+1({a`PHbz-^F*2qNc( zu?3Y&u=q}H*;Pm_`@PP*Q3(%ygpGN2waM>wfkYn_r;O}WiCDA6s&;9jhI8F6j!QrF z6H^-iL7)BNw+!Qy|6RhvCEg|l5f`-YAE0^*f&^)&CwS?89Zb8J5KNARKEn3O*;iaX zUuRE+wu7m0c}xMfyzyi(rVz{(;r^?L8GOx$hZCd_f(Na+zvWY8SwG;$`ld&5mDF;LfuGgzJ%ya4=kE-jNdH&mg$Tz>`QJRy=TX*g z+hQey*sE7G06FLO1Sv8?eu(r(E#W>!Cg2jp6;vbICupKJB%sxB+BE2wvrhPE9rp}y zIor1VS}|mY=XZP2$87ACfv3uCw*(yQdix)>4PI207wOzm89WPp;9F?oQY{i4F)yt0 zNR#-l6*X}8``9tR2e@nW528E|f&z4$m661gUv)gRPhbBr+dbNg-T|-n#)IV%Rq`xM z&+JG1Gj?$iYsI8pySo(d-p85djRs=$@aOkORHg@^YA0#@JRUkx;wC%GJX-ggOpF-M zR;OA`r96&~a{?LrxDBz!YN}eS(^v4g&PQSwU+;CLHC&&b92Mk%cl-1@$NsyXUf%{g z{)Ud&WsDd+-CJs~nJ<)j_CoufV>R2)xAWZFyf_)Wu^z*!J5v3B+!#~mp5L_1$yDE}PtX?x?&5Eo0jpy%05~B0Cjf-bulmJl-J2Cb zQ#H7|=Kf*12-*8g_m_WyNtY>jxVvBMU2D>xPyG`9PORS?# z|2iSZ+Zn`NJw}Vys-7|2M+S3N+{_Sg1SC86$W2bxS(QT8;sTn{Lf7TUN$;3N==CCz zG`vnwZ0m;9p8T@Tvfa}&F_@r`C>Y)UUd~;+v!d(4<`PV-7aeT)3L2RJ?d=?95Bb?> zR=JYlgo0h2dTt%`a2CFM;DF}R`D4sT@EeXNa<-!t6YUafcNG6ryWXJo$mQ<^YWjPu z7XC5qe}I9*0@&x(Q{3^7;6(Xw$;QscrJh<_Y}n}Jr(c&4Uv+8XeTZG4WuYpM7+uu* zX5L3eqB%1Pn<}m!j*qc*ebBb8Iw_a`3cARQ*rMZrsT)P?lbzt@yVFd-X8yNwRq$b0 z9u`?4qhZ!012ZE-vhvSMa#!JZ5g9^|=!>eM`sMNhyG2wNO}IpXUkg~87;Tb?8!*VY z-yrOFTlw6JN3UchjPc;E`S_kVMD5cG74D^mWx~8NP|hxT_9XX{?PHszwT9GItGF-l zt^}*e`sH1A7gGM<$R$vJ9mccpa(VmGSxbXprmxshmsxdbMgI+_2cROK*Z%cL(3%s9 zspnj*(O@J-1u`uD_vQQi?<~XZ_kWFZKMIXo;1`F{UgW$M=;P|I)RzjR2X8MTgjP1`<~j^*xKi+mo`;?))?9~?S#I(IgON2O{IE}l+Wv(mreKwjq;Kwv z_Mc(1N?YjSOrnQoTOs;6J={PS#kvvrBY*Tz4hsk+jk9ogJsCZD?JUq#DLYr#_Sa>s zO9`tx8>D-eNOq0cQnyC2=tW(N7}tB?=aHK`Nf3Ghzw!>+)9qe$3+aJ1-Yu-GyN>6eHJ)51m+A08MM{$@DGiJfeEz*s@u2H5UK6|$} z-xaXKnKos_!4%APfVZ}c8~oS! z7OQM$+rrK+T!#BPE>^SfYDbUOgF(SQ7orNr9d|elkS>yXW7-~c(_VyBS1abF+Jabf zK&)-K=BAnvl6&Fe!qE-|*Oe)7WO3Q#$-mTdui6rCwahU?+Asc!On!lli}%VQvR`WK z_vGuK zW1}?BO?JVV_NS-#TwvSnu_B$btfvJ0v2&+lKjANS)Puj{&=R~Py`@-(qRuQjdM`l*iCt1dTakFLel z)NT4F^35B;&@52uJ`q}l5T&213@=K3p7%D(qefMeQ)y$QOEF2fSn5ex=Mx1b#lVlF zmRGnmvTu>@DXV3wl_j(o-1V^Swvk9U6_KEU-xH8zk#*hbJ|FFyt}~CUtLr#1Snsjx z8TCikkYr`u576}B{+IC%{tadid&g}K(g>-s(H@A;%&rx?K zq-7j>FKoT?Tuj9G<0&yxp+Sz<4cC$Hmt&WXaS}7Xyl%i1K2c$Qp9k52i<)j_)u)DD z(Y;Ho7W3shLySZ|)igSBXvKY2{d?ikl6)I~sq!LP&fn z6&El$=*Y+;H9rW$KHIsWV}>a<Gja=4n}{gJz2md0l8?DPId~4&MUr|6YUIhM zh`Vu?b1jtq!BfD&oiAZCyjyr41P>c&C%F_{=7_YoWWaHd`|A$T`(`GapmjH7WNy7O zoCRaI=pO1k1$#1ptcnt(+{S;R~X+k zR?Ow?lyv*yz%Ubj_T8(%HFDa+k~iT6~u9H`RsHLC0CR^ zaOFYpc)vDQxc8RNellY^8@k)>i3GgMF6b&fZd-9!7~`NmjbCKVrm(0gSiVNX zIl}2)cIa2ZI1a+S%(C{EH4<|#&6el7AH!u!(7X>H=JLo)Kdf9gkd1=Xy)jpXrYJ)a ze6XXCiulspPJzpn57`#lz#hl0;4{9Fi}Q^{RF>%T;rc2tQJBc{)mLh{5mxZ?%Vbzqa+qIj-X- z{atxPrxJUtZ($MblhKnzT}M{wu$04$WT>iq+KC7O<8p8e`-?4@{clKf{DO znQ}2CAt%0}6%2{rZu4$kl0-U+AaY8LcW9wfTR+z8!EKp+>)&+Fxb*s4b9^GN zuTxPIB`IKgMG=o#Z*_$?Y)Rk2T^!f&bAWarX%W5~4=MtTIcf=`I~a^71{?A#TW2TQK^t z*j5Ns{y$)Zf_%`Mp-FSg$SewlbM-MVuE+hd{dOIoqPVuIN(X$6OC-}RLT)zk_d*M& z-im|m_%~;HJ!& z7zoZ>-=)3TjgATsXcTnSI+QU%mcCw!P}e9x2G(fTkjpnV{Q9Ut8IO z{{fNX-v0x7Qso-VT1jJy7RifKKKzZQl3!F~On8CU7dPUDPd4=FxR8Xs%P5HTf(fP2n4Fl;W!Ekj; zh<|gl4KY4WVH#LjdNlq4aK7Exb#`&_&_r7N)H4kWnlM|QsK3cq0fb`@*2Wr-O|03J z#Yo^^wwXhmx(|p!_RApdF{5m{P?Y{&7k;_=61JuAv)=ocRS6UO*CMiiBnDi2w;nCR zJc^VJhfy)`psbtN5i)eYVVfI>9{gJ%0y&ycD{y$n20w8p8yjP>*u;5_h+aWUO+$b| z*5?4bGpWz$@x;Ou8}Q&IrGkr9ULP;#O+g*y#Xf&c!d`Jj)hJ2Ar7}}0=&TXzztRgq z@M+wphT&|-^+yw&wh>ey1O4SS*y8>@;<2bM-!aj*V`X8aU8J`jyrBH}3n*57jtiy{ zv&59YXI$|j_t^{1MvBw)>95t=b25Dh^T6@O2u2Db{^Qi(((T;w7hB?c=L8W}>%WoP z{q2i~X4->anY_VcMCdy<*6C0{`&=zcUVLDbY>{P+sz!Gio758XQ0cgKF4o1x*Ee57 zs3O?^(ED-Ni`d5Z$={k6#OTrwy8rRL8yHMJ_~#K%@XFh#Iom6nfak0T5BT0p$6I-A zO5i(JL)3;Y&7(}}?a@IO|I&_+KY#00ZfM0X=$^~mqUu|41qC-Z8LP~$O7~hm#nOBG z&XEzYGR4r*YING8;QV%a$vZc*@~gK_vAyR|S;FTc>201SJqHP*+|oz_G0c<9HF4H> zXd5d{t0Mh1EJvDIZoG)y@8>@ydfCIa<9cuexB#+C)A^v)U25)s`uZF<$PlX$L#*&e zz9i!YK0};=!`4}LP74; z;f>!kfSUXY>Np=p#@L1Y>2fhB$>x6^J)Msg-WQ>s8dW& zlC9Z`@JfNKi@_gLQC2o8|Gd79vg9uJ?@{VBCHY+q0=JQ;3dY0`_T{ye zGjS{T`50*J#%-fp#tV26@5`H!yZ1uq^0O^oDYm=SQzhrU)n9KXS;W)5^+!jrmLc!e zoX<2TCm3R60_AR*ULX%dWptEE$YV3GztCe8gVeVL3F1L$;0<5-a1cH-kvcMV;WpU0 zNH{3(rWm%f4YVRQmMpt?QS7RYIIhajVvE|h4?s;b>08?_Y^2-neY7o3~9F@T4 zcvQ!>tr#2$v0WYWp#_L&N6e*_-V0K`aE~%ZI7vli#k1AQN7MM!#&n7mI|FbAiKVMN zSn1({{^sHdJp^eHD|O1G_WrFB(UL%EI@->=cFanl-G~ue1c3PjP3`Kwr0V3U2hOy8 zaHfL4^ImO1K?VIN%%h%Cv^e^sFWV$ksR`WDAw`2+HPq?gzaNsybtB{jq#v3xVoZv5 zYKh1e9WhQ?om*9r95q6k86D&ow$8_KSw?~WFuIR!BJzffKwtScp2IAQw3|^!vZl z=Q>qCb!{1r`MjS^@rEO75@WZl^sOi zNGzvBqIhY)+iFFzfebW#?4gd7W(QH~`w4rZG-n$uBBNZ7+->#)-1ws{yu5n@*M8M` z(8T+LITUhgDoXiT%cfR?v{OsXE!#>4kre1LGY8@t(%+&8Edx@=DHPx@4$WtUk>IzW1-^y;L4_Hx46+Kwswu!#{t!9GEPzQK01p!GTAh}F~_|7(a90eDJg6cBDxlal|DV9n_=No%rg+K0K`9P^q z2SsNSnbch=JKB|tc$Pqo;slP&mvK$6-}>y45Zj0qUl1i{jHC7OY89ZL zHftCQs&?hYXcp0p<5@+Bo22Ju0(QGz)n8W3FY37A3m zG>EBjN%s73U2};d0m}0KYp$mGXw=f`Pv03Z$y4&;A5#h6q4vLFF~xES@YL=A2OsmA z3j@??PTYW8;jsxK|17>wVKCElHvSMAj=S7Za`v;Q`% z&_sSfh%;qby8n#QgQioHK+XtCJt)jz>8ec=&{KF-_S-~))A?VP2?Aj09iF(JCE);b z>+3P?u&a?7bCq}url6fD}nOs3p$BR$I3(72?&EHWH=@7e{h_`3{&Ga1{qg8?avE28mFm1b1UP?QKvG*k zDWFBX6OO!m*x2n>awO{XD%vawMc_vFh}2Sr6@pEXanigjk5F@quSx5F2<;^l2PxGN zE}aLrfO#9=w60Mp`AvGXk;wO){t8nvO_{M z{>9s*&87=dee%$TzY)j9`J(!TBvThot1dO&t34z$la2z9tR$^!VfmBKIXldB1j8O} z$51R~>%RTwfh>wITSzZq`XMz|d}$|t^h~JZ!_1X=KOsa+KisuxRf7V@s87h-@X*9s z+<#v_)Z<>T_QbdD&HQ)L$tDifO{weAz6(dhmEHu?St<}{e7IYLf zV04+R6YLS=WLKeqGb!4(I|*r{da*=Dg;^1IT?c8B;5L9NZ$6Y`Qm-K|JS=ej1~UQO zRaa>1c$b)@Vo2lP7UupnqR+!gflm>|ZfByAvF(kQ&RtJPTqayQLYZk32ZjG>D$WX( zR>b9)KGLP+6D(f1$o=NX{{d1e0-D|Y2Fh->gOE_ggNV|$Y^N9a`;e90JqD@{i%PGm z-0-~9y&6M025vL@B*!H6w;ekNS#rb5o5&?PecBP{2U)(zeeGMA2;4Z=U1k=tawA9+ zU}`P=hO}R8_>*U8M2$^M0OrU5hWpYBmh(#q z0IvT5UMZXtn;Md)tt6E4N$URYcZ_uLc2~P{CcTuv3gm8>AkdRdj5gN~9SiD2gn&CO zvmKlT49VHj?gw9EJA;RZ7-xE=qD15hE^Z&jo71@w@UTj+J|My;?Z|Hp!81vqS93F; zuPmpt(cLclhdJGmdVh&VX`4^R35m*@`fmLoLYejJgn9n&(1GqH*F5HagmGZPYPQX5 z(;15w&t0!4y|1}Mu(~S>8<|Vq0^>zkt0ithA(4AXgqE88dlT%<-@MOKfi|snj(M&( zQ8+0UVFH**@DMe?)F#>&-Y5FX}Io#4Cj%>;FzC5FH(E&vO9&>P3gMkyHglWs0?&IHIO4I5y9C z;wJj7yFbp?{)n=*?s5rW-It*rh42(xXQc=%^tE##TATl_T@k)ttXvu1E=Ifq@8a?zl{;D(Cjlpdi}4LmpEngNm9mpZ;(zT5-HTa}D>89`zS!C8AhXL9???Mmo^kZtjZ^X=oQ*w6L#5jw z#00}b(eaQV$)94EoA3NNS~OVQ<7m>2vipb!1(fSwt45+@anvDYiK{Hp%VK412HtZs zFWAK86X9b(HspNa$@nDL-#HhS>Lcp1>zH@Ht>~A0&^+zk@ZR@_C1AtnYO07m@G(fn z96|)dtw_w`c82jNNOFph;eplTDoFRp$yjucKIB~BPY+)uE0a!+^j2eAwivwTpJ`hV zjF$s@syBZ&=+Y{Hh7hLUHtEf*8<1D|y|{Rle)K5q;lE;a5rJ0?0v8^eQZ-kYVz#hj zJ&PBi&*r)aGWmBcZ=s!ZPrTbN`Fw&6GJ*>RBwgXi^ar0ouC?OO-i5jQ@Dg*SboM#Z z<$uO&oW}wdKh9I7 zVaA-#5)y01M9{XeC&QH`+K*JoUk$QFNTBx2{yiy?KzIIj4Byzl^ietn&40G`K>n}U z5^MBR1B?%azJC)e|q^td= z43YlE*g*D6DWwiaXlWdDXP}?Cyhqo(mS%7 z(I@@MMbkbwb1sO3b4x(S$qnaOlNDIj^cnfzh;~P0imQIPy&1rHg*l|2w&%-VaU%8W zyF$@<%w`ds=5bO|l3+axed((4qsx=tIdODYhv*s}(N#5!#hEth+z9S*lHrN0nmbRZQUX#e571x$5{3tPOi zmtNwl@+=ZcfkV1osklbPiLFLvWZ)k`toe0W{XZsK zBORE3G6vd5gG!_%+k}0pTzViUJAth6e4!2@q0<*nkotUQ4PtlkuUTo9S_5fD{l9JM zi5kJQ8Gk;FYB>aDIea&@EqFM<{~YJl0}{kVb3NHiAnk;|x&PeJ-=K5p_qKXD_arnJ zlvVfT)8K?5={fEPQcTrGcoma^hCyo^mb5pLKb{(7eyC;7#h-7_JQf`_FyeZVU9{wd zHn=_IseFGcn3ZbY8D;ET=YI;EZ+?%;b>FaJQ(Qj9bTNE&f>Xbe$6@X(ulkmF279&+ z)cjLy976_?m8tu5U#pzWePxptVp6s z_6{f&LuhsnYq&^_9Us`0G{?*g-#G_5@kl#+zG(w3t+#Y#tKZ3*9T35?}aqtWDPM zGVX$?L=0VERkpb$i{8Lj{8-{YmY*IFb9q}y0Nn7S^F63kCVqB4_AF_}%XZjazuv&?khvHunz8Gysg&qnXW^P!FT&O1DA^L&~m-}cW+ z3&x)s0@H7BRk*x(Y#mv4#`g^uzXp$4%{8Mpf+dJf?#vc-O0p<{`@J(>41|B1`(l6` zZeDI__>6Yl$lTfIbJg_;r{V=!=g7U8nrZ5;z)+!-gT)1el$hZwupxz7iWR?#SNOPJ z;z3wpkg*{xl;P5c5r+v-j%9~Ulp}C<6K!IQ{V~UbFDNC#5)Ke_u7^3XDsg9=3kD3w zU5(3g+z3x(sz%rR314$LGF;e+Sohn#r&%2I*Q|JjBoUn$xtKox2A?H^;$?ra)DHt3 zLwo>Lkgs7GH*cwW{P(2>(Bm|scjj%0Mdo&x0V|}-*y*Q3*?wXzc%4||dG=r&Z|VH1<}j~ODu6S$5-;c{oC-hD z+l7q&&Ra2n%;*<$R=;pZit5fdNNvZ<{vH!Bu%9J?B1?>np;F8g%v8X;@0?y7B-O0M zzCZ+T9Ep60E}A@}y44X1+xb`0jubC%%ADGz+q#(%M9hu&4_rcB){SB9CQrTA@N*Wh zPyQTqIfQ^#?Uhsgyccub&!4^$)gj4nDofm#WM|rj@ua_lb_wGz54(34V`+{LKjDFq z?S?cw7KQqJ5ll`73P@J3159Hga&>ZNAUw~$*qrCcee3WCKer~H(ZL4=Ddj%-`WcMV zc~Je4@u4l=8!RTH;Bn#pV!tgZ!H+qBj9=FpyZ~i6CNfdFbl(H5n9_1A{PgTCoG2J! zPV;I}!b_Ka{m6&Faw(6@d_Q5;A-bU_aA)*7t)BQ;OLE34)AtNE!~WXWUwn0w3gW1B zQ0o~I9bkW-6xZwm3&l~-As(Lnf8}Fda_;M3?NFFF279;51VhkxDO!S9Icg)Xx8FdZ z1}PS8asFsN4r4!ErqG7YGCtFf@+tY&`?a|)k_fO0jz6X9=90!xr?-E-MBGUvUbFJ3 za1I7kHZM#AQ0)r}g$75{5bU;u6VIPrr z8M?Y>X?q!KbxM64eWLH5u38I%)#B>zyeN#xHzVS$IHcWNcYMrt1gV#Jm7+8mYZqu0 z3D$GXbMv-m>_b#hruv|8CiDc-7I!(S)gQmerK&6ZM#Jz$g2lt(`+(xcN~ z>MAk}8?|VADsdn1Sc%N})$V{i0}s3P_ZFc^1u9pgYS0}iHIHssQT1;U;Bi3jN?*)| zZBm+y*fDIkd%0i>k^9OQi4({)f${$mvqvfsNA{H(1q*WTSGCWX?WlK;>-WE?p*}f7 zf{ix8()TOc;JAg)b{bQESk}&aiAagp6s&r{oQO4lLFAX4=0Z{$T)J(0q^cQ9d83{1 z%ePj4$^?W*di_GP#1#BQ{?O?}_^?65)ZcCid-y_OU)EDoF9qktTO@ws)}+?2+~eBK zn_O7*u~5GiD56Vl;#9Bbx8QOh(QG|r7Ew741txQTVp9i>Cqa(Yd;fCPrhaK z&&AWJxBx>h%U1=J+hgPeF_Y#u$CmEsrWW1tHSzhrb;tZn<`vQJr4eWl&F^(Yq&;ms zEbbKm{)EA&hFqss`2M!-e8d-OynU?;lO*uIq9@hXQB;VCtDev*Lf0tUPI|r1tvcUz zmgg5n$4;+Op}CvlJ1fHXM*#Q65&TACf9FGH(!f;k4jL`o*LNUbfhx&0K9@+E@Hk#) zO1^i99gB;-0{V_z7C516stUnfCQ}e_>qfzkjzIFo9-}X_8eGAxtTJ^|(lg!JF!;;; zD_Ca}^k?h0bDi05zZNnQ`b-SZ{CM{|dqd_%i0@mXc{jMLIb^ttAFK7aGXKXHqvsAY9iMGXJb7T`MFYgVm`!c`9UqQlDXU^G7mk8f~ojb2u+SlHu28 zbmnHRBUjsNsc~Sc_!%O1Lr7+=mTlyN?z#`H{x}GK+(R2DiX<_xmIf&(3dvaVa^X0z zNWk5*h?CPUY<;auI~j_D>h_R-7!&iY1O{5iZ}2HFaY9yJ&xWLHOs?EW*w_y)#e-OI z@F9nKxspUff&|n$6@%zf5}GJ=F-9l%?hV{Ij$hkhXViOI(WsX)9&XFMjdLaiMXw6_ zD~`@*aEtqhK7jwc-duQ zdPBMAY&nSc?dX0uz~)5EJ&;+reQs8HnzHlf7X#A`18u^|quXM6d=AFWv6ffLjSX>} zE55J4Lcemp0fk1Fmra?NGfZJr#z6;GPu!?*k=!HXOEXrSZ1v|(RCOECw&Fc*A>VWI z&%?wai%0MM29|q12(*~&Gur+;A+asxAm6pO6gCRN*Zr2L{cIWeC(W)pG>i&WsK55Z z#xiZ9wU?YFIwg}igR;q&`9v}9Ff`|6)0#fP-MWDPjsj?$ToU!UI?tj?cf&bc@<24` zF|c6i9r^3sLT~9p+nX#Sce{~e$gDdp)q@p3la~`cYIvm@cNn4!f5$%<#{>f>VC3%s zgCPhKGO+&3f}I0WFMPIz$yIw3coRDm(kNSKQ-A)w@&F;p>IyF*)MF1s`LvGxJ%U9H4`dkjg!x0H^BLPb=eS}f7oI&X9Lr&eXK_ubnkU$ zfAZ+6r(peMui-@#9&%BQ@91qbos6yOX(h`WA6vtMwpa)Q(x|@Oci1tmv~@g8iSn64 z;%}%Wh<=mE={LWw3zglW-ZR^d+80JA6*2>hjeNlnQ?q-)G5=i~g`CR9o)hp3iKNk= zhra)WsyQ*?$jv9%{Y~$b@70nMTy_7Axo8$?ixKC@$xr3XS3J(x5$d;*zc%93s=ZM{ zQV%w^6}woU(opg}-6Q!~xwL{qf1+L0>DMe6Ge9Q;F=%AzISs@UyBrM?X-M!FP2+hW z=Q*~|#VV!jAW7sxoMQ})iZRw-o2r13H*LIluw|F4uBC^5Fd{Pg=^*Z_vc0$Kge~!! zEe8i$R4yKHlFS``=Bl1=EB2ZU7o=M^GEQ*FA$qbK^dR2qHAkU`fxm^B82j%mCJ7+o z{u3{vkQF~tYI3itdx@3ARah83L}v=7_#9ub`f&+6AgHf(>+fmCna20!M#E^hD3>g1 z9C96pB!n)~Ua0cKIx|L`SgEY^}&pI+K)KY7h{sG-pxq-ZR^4q>%20s?s7HrQR4ibwuLw4Pph8a`UxX(aKOp zr9SL-jfuAmbr;28vP`X1Xqz7q(AQFnqBN0jJu4syD!ytJfDk49=5DKBPyKPBQt%b} za!}eYG~uniPQ6x^Li(j7{;>0PrhXQe?}76XG!q#KN^lp(_iIYV<;$wy0 zp#HGVBwODQ&wm5T3sKQFu0HC#ztzFlgf%kVk2kp0Iz=dF1~JwZ{|{84uYRkDTX1(&6dn3bqkcNPFvT@i)wwyFQaP{@=$26#gB4eN!H_ zDBOilEH?_(y`Sk=6_+#wsB=xN$`;B#uq|ZCY`J?Z8gQQ3=`2&A`o+o&=tdO`sz>yh zM^)wP?kU;L{~#SaqM|BcDBi?lUD{hmvPqw;=INzy5P`rJ9H<6#tdl^* z!cRcQf?s8~vRyu9@NZW5guaZ+Qn;%rdu;T}n3(twFr{>J(nrxM4Xstw;eOC#&prmAV}Q*An}n8yq+RU*ETA2dQWlX^ceoq8t({|>saJFi%=v%$T(i_{TGJJ0nPI*<=Y3-4<+2q@22^i z^nNYZpH@AW7!Id8^rEmBCfMysxSb1X9p;ttS|A3Ka1TCUe?TM6^XrqkkeMM=)F5Q)P?DYe$oHrnZ@n6DlEc_AYC2hvrZY^?)z&;Z6utx|i z7~~rm*Z#5!PD$HVfzSvAy~ZdJCLPp5{PPAkEp;n|rqUl38JY9(EU(Ce4o*mPXME1@ zA0Q&{_6patvVBXguZpDxJ zkF5UczMosr_-U*QbW@u@7TVUeqL@)}&b#n-qtoFr_st}i<%gau;_(F{&cBJ{F%-$^ z5T`6EiAHCRlEp4$>8IgvDvt1m^04i=?2{Lc%u@10euL@~XVwP|L}8H-$?~ImXvnar z(>duCMm0x)A{|-nrpYPS3O(DskS$^WMS*)nv$cgIp*3)eYpIr6&e#}SDpZ+n9_~EI zFD#49%+;K`K;Zd!3-_u@Do#qOZ6AI5!<--^JEVQX%as%y0@%-r<+e-NW^X>^*SOoe zxxUGd6$9PSR8p$fLm(lf#JeydC|HD!aQ<(vx6>}PN6Py+1RA%eVlbx^$h z8OGwXh1_hSQ8e-BfNm2wN*mSJn)MW-`w5C_s#$BAoaz zm4(IeGC9FhxZPYpI)Fro<(q4Z4-^u+Y7>mGB?_XNtqlx%(2BMNj1Jj|a`P<|;dApJ zz``GMU3%$aMQ$vm3xm~M&pX98G6SEhJbQw;6O6=g$VL(8HR{rT;_O-S~Fqj$%3$4 zleS-96|f*s{)63}^kI)B_-9Ye8;{R=8<|3nAG3%rvYC;6mmq;y7c07L-0iqL`VG}k zd#(|-4VsshxtG7X5EAxFaCP>+t~Y6jLXni};&zCReqGEBjJBjB5I1qk;9o}e-(iw7 zM41%28Eq7$&GGE|v0j7c`|$u3_jO7Kgw`+{jWun92psbx=dEMM#yDR_m0?0G7T=bd zf043Hf+qRfiT%1q_75JIN9ZJBAD?mwcJ}r6ic?r3OEf8OF{@j~tq>RP2_mZNA}EQ%h}DyROGCv=k^ z2d!S$OP>`o-~4) zSQHbEO&$TLP<_Zp4}tas;%HIw6?99^1jfplQ=p&(F}!K9YU-tL?6l z_O!x*&h@@fmJ~lf57C13v}@?=u!N85ay^eGptS zkn7)-=D67EuC&*I&zXz$mPwXJ%{K6|aRJUBsgdIt5nGFYZ-XOF;DnMl!SrA}a8G1OaAb<7zSvn(@)+mf@v4twNaC#KNQh9n5`tMe{g7 z(56)?+_Odi;}=?k#-=RR1lXa^jw;0s6oRx4)xpZ05?k~&by{Z1`Y6a#^1 zG_3y*ND=&R>LGhpl4*cgKk-6T^w}LhFMr!x<8OP6Q-cf3yUywPX5SNqrVBjnn{htaX*h!YVQTR7kPWq)AwVg8#k`X56p8R-hbK%YkcXfsMW zInG38W6@N$BZ7h8z7|gVCe%f@JgxF?F0lFI>h|G)ekOPR8SxRG4HM(G$`?`!U?Hwm zR5f7#dK7UVFjvjv9Bs85ph1DRG{Y)BK?*RVOI;!l+j)^!>z!j%{DYwxKlLoq_hrPFdUyv%i>(x2=sO9)FD{bu`;zA6oTE}N$pehkIFidha}Rnj?1D zgNHqnx~xQSQV5%F{LE$Y{&@V?n8JDc5z5p3Y>YTL$9LwfUc>wPkTP zd|+aZE0pvaGztr~zsb>ZcZg95ZZ3h62RBYHzI9RNdB2?y0OK~n&BrEzCSXjnmEHAz zD4R=^EgEXnSCF51X}_dR{8*A|uTJuv2+qzL@xzXRsh*&hmJ6>S4tAS|L=6y(w03eNdxF5~pNsBw?k$0RME`w^AWrvIH>X=cd%G_qyqwbb^=|A_67D2)Goc-;;4X$FT8 z(I1I;1BI(kFvx4TR@DY*^Df74))qI3c~We>JK*j@YMbD_m%9y56~2OHRh&cvi5q7kzLgq!R*iB+xqA5f&WhTy3Ui4%}cCvPCEZ(%P>zd1$MRM1wWd$iwjqU81tk(>~Mp{urlQ zauM*mH=j5x;-RNY@@&d~5fU^^6BKYedp;(tt6bv0pGro%Aq-}j!k`T-f2(BpE{|Lt z`JHWu-#bt_v=tqD$B51kjkUiuGK!q0k&R0DnJRR$9be$m;=cfe=os4)$eG}fi8YFE zd`p4m{Qg;8g--)ibUaVW#k0h(#M)tlBuiKU@qjE%WFM5v`I}MM==1ZzcUWg3LL+%Y z@I6jxe;hSLzpumPAjM*0` z@_t%oQ=H)<_IvMVX{sj2gXQm@)%s`;9VcIqRg}IQ1~=q~Gz+9pDd9e5(lh(fQ*x<* z`1Da~TCefRJ@VuWceT;daup;*sf=qh&BwT9cp>8Se>txUVg&u9G_ZALiRan8^phlb#Xg$Mq! z{*Qi|tYM{lW82OZJiK%=)#Zc4pP{R~6rrsbl5%{S9@gXh%2ggV<=TvzVK>{jckY+h zMd6155e%Foge&^^?;l|Pv#Xy+G!dsgc*~E=rKs9W8G)i3s8G4&w30PIJI_57us?Cp zrWlwP;8-H3$mO_v7L*~%tbAJA$OFi`Qga@aSQHhvjm{sWVsgB$!>-Hb@pQ>p6uv5} zRwo4c*PF~@>t8dg!l-jCj|C{T%K-;O7G35GA$aS&oyAv~`vHj*o!#Ce;;5d-Ptb*|9z7r69<-9g1ASpo08>~ z&=RDwU$(C?Wv%v0mC4JCz;o4kWCubzMI7@{72iSFt?I)BZ95hD! zwG7k0Aza}p`Y67VYIRSpUG_#uG2IM2;Mr{5bb9Bhcjpc9uOFmKgXM20J0)6jt|8Df@BjjU3ZgzNP*Y+F`W#S`AYDfnd$ z?!>|wa&oPpWWpY`t4Se_;~{z*N=)au`|2oq)+_S;502aC3AdeT(1>YUa}k_mt=FEs z*ydFc(tbMBD(W_!oH{6RYiV#uuZ+JYl_WhFO2Ec?2{l#>lXF@0c`zpL&nYx zJR&3F4$;zghtQME*gzstOUY_9S^9}zAj2l3r^ERsWO@d>YRQ;)2O z=6Nlr0KB05B_vH=9BhLjJw?s4ANe56#&{rKC2Oag0tT-oSmoS2DzAEz?3bT&LodWb zm~(U-=&nVeU88Cr^Z~tfoe4Aese32fT3PX~51H_?__!4D=vD&YUtYBzKH|+)Ub(w8 znEE|CoFYr5fXEsfgDQYNLW~vrJ?KM1b#0=y+*Rwi@3)u~s7r@PTl@Fma#;nQTTnAp z3v(Vw*3=}?7Jf5sz`XBe?ZpF{SgHHvCm(dmD;thTitI9a;ofyVzmdoFLMx0;ulX2E zj`4k>oUq~qS4r}Z0WXtZzUb@^^0ebJ1N_xCiYCm6(@qQDQT19exri(QHcGR_>FRvHrb{e2KpYJ0O^Y>XshJ4~-oV9Bdf^eWHg*Eap8= zw75NhhmKWuS$bx=rJZ%ugGuUG`7-$t!_3LIgB3;DSC6m#h9eNdAJ&Z6ib+nQ7Z5!M zEa@eq0*+fXxB`fg!1bZigtzhMtcHMn*0ASV~q8h@NeH^Vwa_zc%5YNX>=M5NG3;LLyrSTt!%y?JgHE9VKX39#RTMimC(0Fb_jrmB&D zXh&_f!nCo)6X<8H*W{-Tl*e_?A&G-_+}EP6C4*kj{g>av6haXUJ*2%}}jY4t<`&5SWIfN?%Ne{!}Dne(z4$lyY8|QwHL2vp` z!nxq*gE>r(3DQ&sJFI42*2t1-k}5mTw@?CWJa+=<>O859!_Lh0qJ-j%i3}`{m&v zW6TKv^2#=YJXsG$j(r_MezCHO`fD7ZYe=DoSfVK-voH3s<~vwmyxZR7B>WP>qA zkKSmJ7~QbZD8f)AjZq5HDhP-=M)wF2F-Q^pf|3GCjFJ>72|-#EkPeZ0U;cvUm+N?r z`@XNw=RAwFsla&~5za>-#ER)m8@r8w29E7Nqyg*aKL~Qjz)U9DX3sJ&JTNlxaX*mg zzV!WfGcD*$dWi-TYVyYz1{Zhsh1DwyQ_0MZCG5?r&;Ou?0+1-7wQe)>J>k*e?Ut`BvZ(kW9 zScs9N#XFiC7wDp|Y}7XBaiN){=_(W>8h7>FAuTi}>g#0H*;=J}&9m$yB}a`EjusvF zMrXq>Z0Ubj2#>|NvKzpfzrLe@iyF5@n69NU&N)(FFJiX9M0mp3PbIT{?s5zv)j;n4 zML`e+?a#6_RqgG-d{^Kvxl>#1+3)Ljbod!u8@Lh^%X_{#bJ`r2-U+V9dXwo_bxlXO zo;o+2s0Lsx&DLwI{*i|3ts#3--Hw@G`qr%4XD<`6ypc?BDVo;F@^~zNzPO zJ9@(-im`mWv)AA#}KTWP>kkb^0!Ma!^*9){jO)Z+!zI@~cqNo#%I7>>}I}c*3ipx$q z#>cm?SGM31--c_6cmkvK6wt|nF^;gR@2M5_pD~$PP<#4yTPKfXm&QVlvpg?8-1F9m z>M}BEswqMHAyN$P9qlV|mL0!2!l?{hx>{0@Ek*6#Tryk&<+ zM6oME!7MO_-;G)oY*+}=jEeAPv4?}|$D1P-KRrI?*t(ai=&nvgvb@=3_8AV;7sHmv z(4S~sx6A}^{6C23w|l<|m|Ko~`cGaGTEIQJ4z=L}fXb7mGvTELbitj~pHOInvHWKc zG`#$S-lCVAAzy3jl(Zgqs@RO{MR$ndb&kzwD$=8bGE_bwMn3B%^DZp(etU>&By0HM zlQyP+!e<_)P5Xh?T#$=M%TJ;E_*4kK4vgmtA7xrXs6`O^W)iL$-e(xTd>2VjXL0jR zpF>kwIs?$23xNf@if4#-Vrr4|B=jB72ey5oeggEBZJ~$!l+Nc|>G(~~CJ_s1XG4Gw za&uImCeTCQ7b-X4T~>1tBLL9ZS6Jy-mg30ZsI(s@6y@94Z|!+vgwU7VK(s~8lT(;2 z)WezAwBRmZ!nr^F;@7E7O5zgTQ;Bv7i4r(rn4Iferx zAbknJ`YHCufJMraf)+07<2Zh$e*_@6R71F*th)BzKKR@hjbe3t_L0dho!Nnu*rID= z|1a6hf);(vL4$a;w<0(fyV7MnR^mjML!`RNKkbN#WE23M@}(=iIziOE3hf3_$VY<$ zc-V{cz3oAI8`y0BNEg3X4;hlV*Ik7ZK!HHlVq0{}x+`PR3`nZ%EhRlt*A3!ONyGAG;9eDaJ?sw-Q zEw(IPJ8L`1`likv3Nu2Zz9{qwyw*#2oCXq7|Lo;23P9thLHuUj2p5Pk|4Rb?IsJ?; z8-_fHxMepvkQS0k@bRRWiRcX*u1Y3uz17=p!TtL{e`*5JIDKk`O!YVLg7 za-~SE=$HVy)b201NC|Rd(%6Fv`z6IMG(%#;uR%wl!U_A=#h>`tw??P|^l##4`ins` zypzZ~uwOM*vi-;oyXz1YM8p*3?Vw4)^XU4X?73&HMm0}n6=4tA^fNJ1;t#{L*hNyis>)9iy7)L;@Z;WGlkOy zL86--rQWlgS-u65%STdeY#K3;;E_+Yz}13qhvA4HEgxC&CIb&kd6A~3F{slpx&h+^(`Ycvh=HxpCRvKk6HLb9t9w7s zGO&29hym&7rY3)+5@(dr!Vd5E{A7>t;ZV&a34?!3%sfu-eI7Xbkmml*f7Spl9cIHI zRk@fFeZ)+;rNInax~$oBQ&yrwC3Yr=Boa-u9lwS5%eP8ur}F&AVzp9#1{GWCR}-lN z0&;`1B(IgpBho&$5)n~MbI4Ch`xG?w+5pO^@~47HO8T-q#^GnCMpN&~2)3~c8Bqw} z*$Xc2{mJbxmU-@57j{23H-#hCbrT3^cDv?@GBr?2!CvSWG2UgCdhPZ4AHP_h4>Bl# zfyo%)Oq$My*y^&9(UIgp#Q-Q?Wwv6z^zU#7x3%<2?tC@k5t8i8fw*?T>GL_5tboh@ z^bewwAz{YPN7x$g53zZeo(uY`f1ROL#GB2dJUf3j10t=$GKC6YN2$nqYv>v`1h6Q` z>wx(bZ&EHaRkaE|Q7_DE@SZFrKlM&u)X9npJJ+R#1kina(vLT~aMLNzmAhLGP9#Oc zH5gZ1sLf4K=jQ{OL_TD$kAkLdgKL=#eIK8YnFeH=+_-M(2Rt2;U3upa*Iect2Z&$} zlFNp}haQjpPSv}QAV|Qx2VsA-3EpFaRX&W6^Hr}m^opK;1pcuVd(0U10KYgJ5y>{3M|#o;NBI!QvyRvuOe71_||{GDHYkCWroLs{%j0(Xn92Zj7-1aGn{9VE#xksiRJUsw?!;PPs>& z?FcbLH{<=re*Rg9=~xUzQrY5?^~ozbYYJ=VCR-uZ!*ON165E4t;?bWHoiq+83Vqp8G!l?L5XjF$Y|}2CRqV-C~H9;InLx78}G0Oty+8P{8g?5Fzq)6cu8b z)LUWxUc;>c6cU@4Nvd=tT}{MAdCoV#KT{$A{70MyncSPh*s9@D>|>h!d?`rojdTGH zhDfhXbOY0W3dz z@<+qR-Zx4zSRBQMJg$d8leQr>r%?fKd-2Tb?e(t6AAaFnJO+M=t+Ds%#H-`lo8Zn1 z`M%#(b(40C?<3?H=M$O_5fFg4|Mk?3{BCQ0%eV1iCWX+wj=VzB*uU32*{gthWhb z{{vL#V@(mY%HCEN%>N)_rNtJ!I%-%I z#6FEPe+I|X9TetvrnE6IHb<`ba1JAXc}BA0A8|7PXI|QT5P>fvJ@*G{=+08v-sz`Mo2+w0`cBV@Mqpl`&-}@ZY&16oHnA^=aE#7hnbTOqZFrQAHX)N>IdICcn>_@l`=GAY;9AAC(LT)W`Zq2z1> z0zmkvi4UDz8JA8gzmgZOd<;^^G+t=ZauKoGdv%7&6wGxf|ZOMwJq4> zkulrS?l|SU@OA`K>Ldqsbo6_7Cm%`rE+=DcD4SIR3q;#LBEcihq)RVHBljyJh5ElWr8?Upmg9xy6LMHKzhHWz|>1?Hio6~PI(0@#EAqI;) zEVDt2pfL3_zFMSy9qybxIEa)tg^DwdW}x)gZa%yG@?v3!MFNwt0y>beq`l}_rZOBM z_~HkSu7o7z>CF$jBOFFBCcZlvu?B>PF&*Jb@LTumX`8pd()JDvC9;SSurr%R6<06J zdeFrG>lrA)*(Oi<&xJFwmQE-7r_ZLnw~NPVl(ye`aEODz>rb_97f1GjjRd(~#BP3D ziw8d^3&A3ttHqexgO-pmCdI*#@(3d+?U}$Hp`F$<N>wH(tK&-YKCE6GNh@w8TSG(@cxQJH3J?;$r>Ux}QmVuky9G&FQ z$e=1196jhuTf&U?KIqA?qdz&q$`;VA3r}Xuct|R_Wki`sh7c|psZmud8?7Q6`w569 z{}$G*gE2vR(*LTIMZy+V)+7o1c3v&j)61L?+qsz5f;;uW-=2VuUP)?du%OlD+&jB8 zemrP>y0vKit)6TNg%C^FIu2dH5xAEE+QAq;JDpO5U>c;K=M|GcF>)AH#Z5>iZ3^ZR z1R0X17xqC*SZt9+`;+RBT?na#734L$uZ-%siH*Q7c;Wd&RK}3+|P1iJ|`cw+z3=cs?JEZ;9`zwF%&}Fxq zauzMi)BSFPWX|hZLPST;GDJU!%n9W~pRLDA{n1m1TVPw@vobjyo~maWJJ1p|fy1P0wA*3aw;PcmZR??4&K#wQ5Ai}^%M|MoPA8<&-< zj~6;CE~G`+jPfE!itsn#bzS57o0 z^@3-ZG0yU2<3Oq*cKt{l_(0P9cqb-fVgnP1xo&3qIiC{VGsqLKfg@aXSk%zPL<36o zuK8a?>$bp)2mZ+D9uA{Va@AVG&+1$lwpcNn_OS!v6~vPUwl`@kmp6FJPZX*~byCKH zqKzTH<-#a0-LU=V*G`kIdHaejq@e!;=3BSh z@X8-493|VwWHajNL1yK%YS}%tSAQZkAJQ5{WpQ_c#EhBGEZ&GS!8s?N1SdJ?!EOMO zp#Y+oBOlz&n-|fDnqhB^QX?D!8_*5WSHEWqVDxbpi zG)Cp^bJsU$EKvRyxibgqEU>hLE+dfN&3u6z{O)h&Pby}bI>}>;tZ!}h5r-SeKWQqp z?=0ZOAHG^z6bEMf*3F}}Qx0Njt*>@c`n|d(8sfLIl&+hoZ3>QVFbCJZI(8ew$zjdZ z>W{GexK~>Pmt1_7G=R`9-6TiG1!vZ)*U9M1=)Rn0llP*K8)5FAg5P5tG+E%!YdRyi zo~o5s1kFNiEfMdU=t(&IC%l^_r5F;%6;AXHyD4Y6j$+ zzQGGvRE2miVIfPNL)atnj4W7AvMSX zEC|O!&q`2!`PK6?7|L@mx6LlaaseAnRTXQ^51yPXJVyCnju^A1s-691)jTWX9WUjL z9ZBfL%|i|n4r6%m?gD2QDQO)oV8w!-`eYaLTP9YX5v#%6~9c3Gh?RDK>=WO>PLXHJ6a<^*~+3>^y)r_+(>+dG7J> zRaUH;hFi*vXjiw)4{{3IwX%O4Lk}%`?hBt{A{0&kJ=K6#DZVj2xBe4aeVerFtaLYPj!b%#;?8fOe|Guz} z2m-}RJap}d#RW^pl)4UGx95guFXm1z$S`4yZwBx0oIce7_5<+agTw|wJ~F-1c@qIb zpg4cU-i^0y<6bxsN48$dBaN*v^BaKNkOyqN*(rRikL5oY1f+(5V)(#2!dOYm?tQcc1tRIYZNmDEn9%_pzQ7e4O-`JmMd(u>iW*F55`4JSA{wjQ3 zV$LM0NGH3$1R4R?KJ@bo5sU}@x%}r1!7T=T$LnXjtE%cu09(WL78M@#BY_Qy&G{0y z_?D04(Joak2&+-ky$7DU0$ck2k{_QW%Bt80aUb`>D0lms^m{AQzLtP<+YvesVyP*0 zk@MPq=$vv~$FP~fDFuGePV%j*f#x>gNuO7EGOW zI*0RlrDo0A^R}q~icdB<+_0<6@iUj0hsPz=(&dlmxJ;i_{C7lIglN8otv+07xg|hS zFKxUb9(bUTWuf+#ShG!xF$%|l_hsx<$Ie=f`S)19+Ya%YA+Rz3O4VIe3%$G+TZ@!yh3*RcGiP&3=x>f!sV?O(zIO zbeYKXx3lJm#+xEdafN1bwGVpxFp&QL0dOM8ASO1R=u=G3+ik8=<6^#*^%I3hl_vrl zimy-Gn6*#2{?XhdZ9-4}2e1ab10$HeJ^rHshElOGfzK&g)Osq>;^*2wLXSn+)w?x> zzxdaQ{_&%o$=jIXb|}YK@WbkpS_+Kk08=_hO)~K6_=ob8rMKAJ3R28|7cNpUBuI;F zJehwA80Jn~J;OHUl2}#rt$JxGmDe>l=$#guc`gZt@_3H9+b=fUjWe3z;FCJL7w#Xx zz7(TNR;{RXSZ!t@7yGl-z%DKOTzwzAI8?hIppvfow^{txY{VjF#|5`ldt1Bq z5^x^MSsKJL^A7ixcv0flt*z|LAknSSrra)$$9F;>+==@z)fe!zsB#de9+Qu}QMkef zWZeAmSDMGXybx*&0lSTE;(lu1Ke>0T;(LSS-10t4nO`taiu!{Yl$^p==VLK*&$%eO z?kx|XRvqDb`Po`q3r2oCAotIFalVP)%fMSaxjKh>s;qMY;&=q^VGQtwhEyrr$lfvN%{XVO`QBYxiqPl%bY#$2 zRpZq?5QhTtZNovnibaEobvhh&C4AL8KDNwx(W7Y za#0U9)x2bdn{vu#x}AJfch)})v11(+#91J&YnD=Sq5X&m1DR)|LAS4xLR7Q{)e-8db{z#UxOSbP%Vmr5i&eIBna+gVjtd{77i5XWm z*}c4jl~%j@u{~&I8!Q&zmEbz@)Rm+?B=G#a7hI~_)5vhn`0Um;wJNLT*2I@Ul2*Qo0=KWu1}0W)22xAzrjU5wiTI=O5$bYD9>FG{G)vm`Klg6; zE~Gg#u|A2#C-V;6XvmN7`gJ6t1uY|{j?Al(at$HA{!#Z0<@zd|PT@h1DlfgV9h`$V zb2Pq@q1>j3aVZCRp~Z|U0m$Xn)k+z6Qqt9#D4DQX*>o+~u8y<0lCDPZ9U#rwe(VGv znRaazvdAgnwm*It{q7G-ryt6~N>KPXvDV%#JNKIbZ`dr8U7)5p&GUJ73;Meth=nn` zjq!giQI|Kdo&FQWsFGDJNf=4gD3lR{626H?b;m>;o02LI2tDgkWbdKp}vF% z4(bfJErHO8h^33D-vw1*#oj*gL%K+RHQr@ylA1J{b5_ezAb2aV zrWAT?WfQ&;zVvof+!FeNS*~F4qf6xFOVk@qIuh$9WI9pwm6)mVP6X6W^I$sGI`x^@ z-DFKn@!lYIc#dKBrcM1!?+53XYRn!7qP6f~C@k=vk)jJYGgg`Y2(uub@HJ)-9?W}b zCpJJBitHUi7PW4zzTUoIk^ZT?G?!ru_y zxR5C?TE9md4R$oLEl0j$cfYq>V39=Okh<@Ur#M2B-@7f&C;`M$FG#>a1gDvr78|y=3V$u zee!M8e@VHWLSBVd42Ubp(3jD5yqa|498(zk1cM`dHqO+C-b@t;8w4(S8*Gs#N zBEZ1akR`0Fx%ef={&(3IIy|cd?Fc@oG-%rnrldw*kmTQdUlg9EAhpYZF0`>li2m0G zJEpr*|MhpWBwiYJDpZ1|j#uIyB;O#-_v|sdidYaF7%Ci{7}#A!XYsu8L2ja^C!E{J znUM$*2Le-KIgGq>E>6Vqc(8fz(?f10$TzjIe&!!-q@-pQsc5r~$9b^u77 zx;{s?!@o%Mgu_uK0e)tX)7cg^o8e|%{V9!VI;G;GhqNh*)vgpW?&!j!%P{fj3>Tuf zsBZ4!TyyXR3Hs%J6bIM>@<8u2S-|;8UO!wEdFL@Izv981GrO2Hal=vY?LRB2V=Nd(XsCM5&Z3I|Dwz_w z@Up+<63sC6^*A>69!1137L`Q_Rpgr54I1RW2v5!z-Yk6fFDcb4o%MT6P4gl!$*ePw zOT;;^4W_ZOMjl@i$pV3!$(eT1dSuZp6|i}+G;Zsg4=WjAVoKU%#)uExw2Bq8{mh+4 z3B-t;Kq115VkgH<@6Py&4~u1FH2T-V8E$Wm{T7d6Q7+AYqKrHI;F+3fq_Ta@Z^ zeWk>I>$rqFZwg`*9JaQS@^JJ}%ro}UrN@}g@Hd8P(=HTc8_w+B{rE5&71{9nvDk9s zWEKxc1n_GHxE~!zMCQoCy!f3Hjv?%kH?hW$YZMA$9UlIl6(xsWrio;rbQKLO?}oueSdoxjLg$6*wM_ ziNvPSzxD!K8vmXU2rAs^2w>Am)-0w8*T`?i|IZs`3&-U?;^zHyHA-E z-H1r4bZV%C;M`5xLyB0TOeWknR()HC$PJBkO}prPE`?W@AtG%J9&T6<_90^a%_$By zD1+ckO_yK>9~IQ}QUWy*9A1G5-B^=M>^@T}TY&MR|Nj8w!mT4$Cg{)0h=;$HU6?@L zf2%NZ+~Jw&zWj?E(YMc%2~kN~WsL?JSalZ$4HMr~hB$mYm zMWw5b$2o!^lHC-knebDtXvq1bQ+JrQnTp@IlL{3O%-32S({3>^It9wD%s~!K0iqiJ zQ3J0v&E?0prP+fduZ-0}01nB!?odqSXmzC=Ck{aR}FdcN^!#A36N4IU| z9dCkRhdpnv?Ms7{uYrQJ?xo4*^Q+3fF0kK7wk*&E1#gJ|r}**s-#Uh^9l&EFQOlXs zk_Zb`K+rjl&3JoIdjV%Hb{cJ3{0T1B z-fv-PkOL5~t1Aw!HJ@`cGv~YcC)hdi%6?~c6Us`(ZIWKU6MJKrj^X&6n{%^GBU&PN zybBSE1@UGPu3pG9wDX!mZi1X#WR1vPjFSto0<1YjF*6ftyyZ-Ddg?m*Y^Po6uw?NB zR9It3-RnV|NwjJ4Znj&szb@4vM1^JM5vGq!WWQYCE0)}R-Hc23W!a4xx^)j4g=hsc z5J13$r<-WBEjZ>HxeNz%T2iOe1y8LVRL7OuVKa6`L|KGTvA*wV?fyf)5!&}yW*9_% zdlsG&0K_u^`chiwH2H^FttNwwrKB@91PWeFq+@;x0Q!)1j!w8GHj$3ADcj!UvLMSv z1&H^DYI`!9m8FbFKFDdJ$>kbZB}#yIzUr}B{_tctBDurs8oth(XLCR|0&geG;zQZy zI56PUfnM`c#=Hj{;@aJSZ%;czaHh}+v*UxVyp0+99d1JL&dQXHn>bY zuI!k>(e{)g_`>d=z&FgzsdB29L8&Cfe8qyISrPcfxYyw@S;d)q?tcKKG{Ln^Z`L9` zHL2oX7Cyb|@>vTFp_gTaO^t66xro;mbUjPKmrkkW^&8Rt+F8Vj_eEE#|%#B=U#Y!;f;g$vC2x>UU%()3k^`hDSBf zY3V1RmK1gnAh!VPRW8BTgZ4Fkn>0vLZ`qg7t9gKGr2R@DJE?!BN`6#sw?Sh2! zho#p9e@4cVNrFipXVfB;azBB5wlANaPo4SI9{tg2`}B zcQ^7J__wn#tCg={zNdrF%6e4;{K`Z9fG$fj&w04+vw*jcjM!1?H;p%_xaO!e3Ca8u z-1Q6v-bwYGPJMrAgh8WYLG9*4qOi} z?&nG6|Mi*x`cM#~jHMKFs)&ovi&O=C`CArI<42BT@mLBM{%sLlRw=3)MWve+rjh^b zuFc>;#D3PtPT}{K=Lej~@4O};?`F|$1?+UwAB*Y{+9ggw{QKJ&9($E7%8agXi;Ch5 z4_U^!&^;jvw>Ta5$evx`3Rg#e1Q*3GYoU4ib+1=%2jyq$p~1?X-4=R^ej+T0tj05+ z^T9a|wN1e{McbCaat;6UxNqwBkP~XAz{^Q?DCFWZ|Gk9RdZnZ~-=t!}S*Ax~oC@8_ z=d@dt;yi?Nj813WfKR3D>pjRPFubfGWOFm6rNmP{u6%kC^l%_~UThe0f^{?P8}xX2 zglnGO=3{;#HnrKSt_X!w-?L+QBEa?dGGz6{s&$W%tXedO-Tf0cLRJJ=4vtyr!)b(d zOnYY8IX9fVsu(k~2X6Kd% zROpJCiH`Rg-U;)+-?=93H5>E%@Jh92cAM3u$ve=Kls_RjX`h(+8Qxq}prYn-9_jO3}*x#>h4zXVdkPhb+hA}3!q9l|M9hBjL5!!FY zzmZX+6&Y#mh+OPUyLshr@(!L~`2q4ch$!YQS2j`@cgOw@@kD|lx*&A&Rm0{n6Q}HT z!TN2$j0qMyTrpC#+%Qce}K>Ut!CW{upR>$cYu&Ko6)PyE)5k)AdhL)jW5W^%kH1KKNWv!jq-X z{^sDNtaWj8zWncLhSS} zP!i8#@}6N|lgo1uL->oy1FhD9K;(OzU~KJrF5&t4EZBh5+4r+pjJ*p$G<3WvsPjAD zM|?PF6jfdDzFc)ypy6$@b`Umg8RrFwvB`fz16!hbhe^3Zt@?jztJ}VkGgVUM?Q@=1JS8UoXPzbfAwo($ z(Tg>Sg|IbYGWgX!DTF~^eX0%8-s3ba78V9VQwfv&&XnLmvANvIbMle6cM^8roRK6&O*j8Uj?L+Y zixPzdu!i~d7HHh+RygExdm=~A-4iz&&=0Nbq(McQFD69Dnq6u-+Li@7q-KW(l{e2QWXj*4FOmK#Cq#|t z?^j*-XwE;_!`)cGDwEzj&nX#9^0en_AJt1xWm#b5r+Lgi$EiOCu0x_X1KHp2A~r;u z=ujWe_miA0BQDI+-)gr4Lo@&`7C`dh+1VC{R0Au3I5K!jx=WATT}~nHyeTO0M4h=~ zxJKoU(!v50PlQN#hjiqQWNVsBIMa&cXAT4gbg$uWO5&xL-mXNpJax@!nP zB&Pimj0`lm*G4-a8AJvP@|w_r4SrAy|Gro0^Ytv;x%Qv!I0ex{4}1HvwAnUMM>NRh z-gl(+JE5xw24kLyt#PmN0d)Us#s%8!cjNaJqzNc@FjS2 z1!_*0=Iq&$HUJ~A*dSR?9>^wB&&Ba7J8M)bO6(iE!7x?&y&lco`J3V6MtV)bn8Mtd zTdkbTZW4=Rk!aC;p%-SD{#!cU8SDkN${&vqT-1u7)w1wn1k^Uj#V$gdl*sYzMa&_x z_!?#w|L651TX&g15>6L4t)7%%;9Y|JyxD>V+0_1)gpqeV_Ed?)moZBr5t#6za{^OV z_XJ%Gd^(v{7}ZJ18Y~a$Bl#JPm6ahLH-?T>&28D%6Tb_O*`51?%N=@D(8~zmZ`qto-uPlZueH1^(MXNpg zCL{f1S*y8d2i`|rX^z7V=@bV1&@X-f3Xx!WON5e~LXS;%8NiWq-la6UV%_|Rdxj?- zpS$~{qLX@4(%H>T^h)g#%#{a;(g;|(xTl{ETY0dmJ_zQX{mYimeBq01bHdd{jS?VB z5Ii)u7A zD_lEiriowKZ>R-1i%NC)VplkgOSU#d`8*(nrw$vdIJgo(9?3g;Q)Wg07Ig8GtfXyf zTbH>WDbt~r2#4HZ$t*QbpMpZR*c4tXmP{DFIrOi3$~k;EMP4o9LqDRJA~`pu zFFwc9VV#2%5J81%(;;+h1`=VncnoUrZJoOBqw0!tTxzto#uW@J^C|e?gPSjSJTL&y=;uAf7R#od&ys}?2WK^NqklMqpMI;wfX)68 zk3?O=wI0bwochKd=Oc0aH&B_6?&1w}R(YeJSu8WG-t0%hit&Ha>e~k-QKzCz5syOe zdT+J~LiQOaO=6>H1*kKEC@|{5WJ1nhJbEhuxRY7Ndj-sGv+g%1RC_fY%E#hVuNY9T zWpe5xY(yhsh}zA$a5I7W^Y*Cc(YsZHg3Qcrf=p7&T3ZhnqjS=xi=~kweU=q}%veiC zd%Y}PVB6bNRg*rtztFG*wSvF?1*=r=eQuI;H84zaySQ1`Y`t$d2gI5rSx7y zr(X0s;H+xJy&Mf9l(~V44bJ5)9DJ~Mhcw7*@?yc?PFo9>eDn{k>T(9n^C_f2nK*|M zbP+2|6J5 z13I}kFjp6a47Idiyu%10rS)0RJJ3cVKYLB;k9QbORQUKxB)L_4aX+3d=TdW<;X>Z} zrpo4}Wtpug98U|0&bB&-Hx?($=5ii)o8dcuTP=Kf<~=2I-YR+xSw^8i%D--WP6i`n z+xq6ewn`bRW{hASy?!&p4x!?(ernnQh+NTitO$l>LIiWdZ+O=X%1jAsk=05(%jPh+ zVI~k6r{0_?Zlw>}WP_5dWFh_7Id|GFui**rW^~d{X-+JoV5hjgALt_}r(k zAP{#h-x+;xULYC?n7eBBb()H^eklF?V-;mPxUa+7u#-$>vR6$X-zW$%V;=Clp4*70 zD1rWFtn`ZF4c<<<=0K77XmgLRy`LTYUNt8Jr5iNASPS?g@m71uMERVHZN7$I%qPsR zXyVK;W2VBv0E7=pA_r~P7me`_WqsE;qRB2njB(T$mZ_a9IXbs zv#yS~>$FT*bCV7G;SeU_DUCJwXlqrXathpgZgaTzbIm|3fSfnJOEWT0cxYd1GOqof z_z6D2f9=|L#w2m&QQ4TUI8)4|w`^Avx6EL(UYVpe(3rE}EMJ$E<)Cimz~lJHf;&ET z+W_@y(%#=X(To(_QJUHBuaOYS@V|i~Q~r|M#7Rl7-spF}Qe|uGO1zlx<?UC;V3s3$kaiLX6f+sJ?uRfpdQih`84_x6?aVKX?Fc>BH2c^ z(a9+`SmO)a4@X__&LJZsA(2>WWGlZ2QMAGEHJsZb;gVs)Z=HUompArf;QD9R=$$2j z(UE21&s7l%D!@ZJ$YdPMX2G~bR$(;N4j4l{T3&XTW(dldYfEKzd|^cP0w|J-*V7f{ zZ=Czv2*p}}@8($@U7TE*58rpxYv%v%?Vh!OqU{PZ1@Sk=BW=kI;8Y7}OC48sLhH|; zYz7uZ$b#~Bo=ktv<&n}(wS@+hnX>bJWj&W~?;Cd#X4n;3Y9(od1}I4(CyS-r7uptquH~VkJgX8)t2X~H>(SEv@)zV&qzT&AnF3?2P@3SlGZme)6svSK z5;EL5bw&sk2reJ0VL20vZd0xt6VbEa2bn}tW=wNFD3LBps6t2IR~ZI53J_dbS9V!b zd+(Uw)Sk&OH(OR=MRiudjM)Dc`Ga_NFTA!ldeXfo^jo(+YUSybrh03^=xQSKa~_j+ zC!a8PYo{sE4iCj;HQ9A_(bFSJJxMY8YoANd8|jbWr@HO{>$kt_W_D?5;UV#}pHhdh zy?VJEtl;1Myia%%3&HLFcS$ymrK}>+RtI?e2u4XLXZxCCc%{dPPQ!hrUYMNU`+9=b znT$8YHBAQwFkme!OylU1Aim(qNh_*i*}mkDFMX$S8Pz6(d0y_j|39E`WOa{ftnxpg z?a@*zmw)@wx1#c8sbs^IGPr zPg8~l$Hz2w5wh@*_~ZQ39L67oO&J%2C1hm~Y1J&v<@&YH=bHte)fDgDmVs3A6Qle~ zjP*UKaK^yg{TWQ>6`P$?w;B){;Q-qb0+#r_GO^%vZ9& z-9;hW4hO+^fzdsQ2?+|%!DrbV?>J^i09=&=f0>8A>`YYrEaDV@0#Zm9a%u&=cT6Ka zoY;*d*AxO778+aN+k$l&+mP-L-36rV%qNN4PXtvi?ksiZe24n zL0_0-S#$qg?e%`?W!7`*Xs*9T;Zr!x!Ck_Q{_$^l6Xo4_VK<(ENaXTlDT!cu%*8@Q z=W$r*F#ZqCKr+7rZ-`j+6f#gDs35xBd%$yu2A%hhkC6ZXo)LDa#<~P#?U5B&5w02g zf=~$rTeu*}kevb684?2%XGQ+}AQS>5uqTHdu^PD6qf_6kaR}s4(H<_a1OgwI5Zf8f zarZ1plB)yW(@b8Z);||^01*_?fdo*bMK*o-g^WUP9 zAOQ`9r$3Vp1t|4DMsKD-Ek>UZP0BJFL$IJ=b_X=p!$qJ+7;@FlA5Uiat;*% z9Oh0>_CEjr;iRJmKs$7hzNk$ z8Ymiqp{ruPpaw*cO2Pm?V*zABO$3nK!b>PtTP#2|x;%U|GxHAB-U^8*{fEk!9a`@&5aSTM` z*UlegENm0cSO7@BJdd*mfw5Q5V-Nr$$YaD50ahA32h>1hqoa?=1klJwj&ITlBn)Wr zKTw9wU#zsiH;fxFW*~C*ibfdpLR0`1O*8ihuGM}&u!O(?kc_UeV-brib!`d-jO(@` zBD3AxpbU71BRCYOL`*P&JJH}kAp|&n@TAS(ykd-(>n|qoAdfFRnVdu(X8mD0HujVS zvkd;lY^Qh3#PcBs{Rm)CW7X(Bqh_HK4mbc%eD`B-+nXMRureOcD$T)bx-D{bMej&tn5H z%mdHTJi&HF;E&u`nH-I5V#BZmNU@?3REjtSs7pWuS-ODozgS&aF>rj0D#+*%-F{3a z0kvstYt|r&yUBe}3{ViWeXPS((G*WIxnMU+i4WW%9EF^21pJ61+nTktr!EmnZ{#o5 z1O{X)SN53@y*c{`5DEx^p3Oum!i@q5H+`m18m(R7=LK;(gx9)_>}_}(h|@&HO^3#!o&2+$(J{{S#>P(Kt#Ie?<0WBBufGE~ih z5rP2O9YHng2m(?^bWhx|Re(-^a^eUOOpQ6gf)FVxybKfoLQDUB>BxhcEyD{% zm2(J%;620K!VpXZ>u>D?nN&d|!-i&D6Rxi3yJ^(Y3dOmnC3q0%X&5!-|NK#U-DPGz-6+6F!I0yfs0W?n2K+vcaDtoT@?;};F&%Z44DNVC>VL+PiSG#C@c#h7 z5dQ!&fAaN${{WA|5(ii?IS4@sWNdiBR?&S&8^~Z`ZA%CqLx!Wld_%$jiZ2tdNaid8io+-33V@JN zng!p0m_oot>EogS1QINXEfz`#XW}3>+_cCKfMwuNg1isZOGX;@`iv1|L6A<&2=^2Y z8W=wIf{Be>unXR0O@LL9nD?~lI8HE*p1E2<2*IDFve4zwSKs@m;st61P_c2Dc zphin?n079NU9~ZuS(TNS)6oP&Fg6HezEY?gWNclt*}xIH&8`D=)Z3!Z+6Y+CSeJkm@0}a4+T@mC^_(zoRgYX+IceOin!D1SkXem^5d?08!A7VG-2P zr(z*7NM1FX7zfG#izu-7%KmF&1ONo|XdF8K0L|IA+xWk$`=9+de*XXk!&;{J(84IN zgR1d_%h44I-V!U=G$tQdAV|%1f4LbhjqbtVPK}(_c6aYhE2Tgv_K)^%L5I$j%3>q{Vhwldp1z&>sfHs(p z9$-sA6}|a&h?=7Add{%!gIbb(${9L97peCTWf8fbxJ!5<&QIJZPN)DEKr9~qumL8m zj_oYy0VWMu(UhVCvFRDuZF*6Rnk!yxcMwEGDR z2H*}0&q3BLzmA}kx&9bLIk-$4myBB;5i$=6aXNnCF7Tz{0~!#ZftL0kP-am54SGU> zMRn^2@(mwz4FodCg~qLP!25s+;y<*S_6t5s+no>f%gD}5L)O6 zBB0sDA8?Dth`lf7mbQf(%U4GVLG#{(1&y5>fPS)yIL+<>?;VN)yiQLzPC(vAIf;k| zU@RLLp)i9KZ#`3E790Y^sD%@tR9iiBtHeT3RHexL!5|uzbnG(}hO5E(67>cH(=R}rjMHBF%52#idmuzA0irm5 zV1_|p(Rv621_qi1=sot>0BC_j!w?cdf+wnY=2`%ku1jOe;B-R(fI45^6&CpFN5VWs zAPzeZTj<@YL=4%*- zDo|Swm?#*lmI34V)(sYBkYs}em?&Zp!l2?Z3+!F>r z{3H6=if^>gfT8mOYJf)F=?;Ty@1zTq89nU*g3|C%h6|7uM-W#0o@ms>^lAqZb?0WV zHAP;i77Ktz(9i-1Ji+@AK@8Ku_MDl3*W616iB)0&W$p!LNtlFx1?>e1BbsCG_=I%p z@n3+1A(Nm9*pI|K(1I?nsB4mZ9gi3iTL4jepl0)^HYe&jLETodqya!0a_lDq9+Q9o zfIwNOVLSmW@frl(p3R|T2%3%qejyR{q0{LS1XrBud`lghz10*7fT6AB-S0e9tLp%i z2rx&8f@O$Rt(VD#I_am%ec;=O01&{XdYClRSa6B+81ObdnVO;K0DfL(6eO@3E{{%q zVF0!bZ|*^jl-pP$2qI{J@;A)N0U$wdQ|4Io0xzr!dC1E|yfyCtGB-*Ve_|EMz^4H# zLlw&B=2jLgBh{qD17J^y@f7G_06T#FrZfV}qv}|o3g>(bQ>+g_j^6Uf0E=4&AkrHG zIRsvUFs2Q369mGBTUyiF9*xtLZVABqu-s+D27JK48wvu^*V+b3prKY;c*G!4_dakp zk#VPY04za}{KRW0n%UwZXKi~zNOyk1QbxzpqFx8-GetBof0W`r6Ip>`1B8JJMf?z7 z%mMUIEB1DILNVI{T*w)?;y*Q_`$G4HrUSpy<9V|QI-?fzM~lz9~u$$1+nld zBmiZ!dl3m^ZXN_aV#X&R@KTigCUGB*qFGIa(wbi7b}}@QKDm zZZb46owhm-@|!75tEZXp4$4KMcq07wJ|sTUM*RtmrX zGdiAl7$&mD@UKWrYC$EA1QJ1$oB(40fHJolJW#>}lu_svnAfrGAq1aRAU8lB+(Zfz zRNjyxplmE~{vlWm^9AV&0*|7&dq6P~;J!iZ?LjbLB4iN^zNVni60|>11nVe_g3DY~ zLO?-akl}qjW(87>Z;|5=17`w658?qJX2Y$YzgUg|YQA4_1fSUc z%WkSUxW0;p@QRX&OxQW?%q@&~54Zse0xFM(+%wX8nnD2^33$hp4D}OGIv&OgW zU*xC_M}Cn|7VZ*i)m`~Z1pv2k>k}UH7CD;6gvh0puxD&2x|?8 z-?R}h7M#QDf62a|+5kp@Ej^G>(SW}Z1R%;Zb>HZOp9?!I6Y&U@ zfC_=?ej&6A0nnI03X2JO+yh={4h9TOB;q^_XmtS_kOI$vj=KdFtq+4V8On}eK@Jo0 zZ)jF!)itDsQ!h|y>f%wLL-PBDPyh)C9>2H{dRXYs=h79#iau@mGK@^q>O~D#1)tdx z&I1&@U>M2m+Ytr^3PJ+qUc=!i#MXdkz~Kj&9s2fkfl^9gOUWOR1`r4UEaiTpT1jB` zbc*t%h~<8uO8|Ft{6J}v66JoNN_Hw`I_~QRQdl#F1|uSgEm;!oMeB87c7qc07CZNP z+9sD+SdudY4M-!57P;auZ$}V^1fa%P51(1MIvy|b8E*$yez5{WxHV*macIGAtofgX!Dq=B1+1>4Gysp< z0&q=0G6B>tS){3bzt{~aya!2e38+#L)YvHIOcfB|>R=IOLLI7+}x?Cbtn(&`KzDAIw55at4_XiDWb2C)yA;cs>C92rLlD z4?+~60Is$C!2}H|wSeUiw(I`zSOpr~!|D%|O4mbs8{ozO7BlF7ae&KeL1#Pm6`f`D*_VPp5CRGJri5KA;`cyuT2_4AC*5C?bagDmWvikc$T(M@8Gp8aI){NhG424K}zsFanC$!xBv% zU4G+-0U&5I+lWR2*j5kR1w`7#^!=A~0pZ^laDf6x58_bPN65i|IUqf7gX##2 z@LL1!CyWiPAb?OHn&c)?sA9Cz0u`Wai8fHr8Lv>kFaRL!s_kYA%TNVfvmAy5fI74( zF_W=iK&%u;D5>lsC~Mx*p(uAgmqcM?TeggN$^cmT52!J^z)a}z(iC7?E2-)09Rb*n z9|Y7O2a^K=!eDX}qu@%D2!v};qDf9T7^n+IY=CmSEgi(**$#jjNBFwLS_ z$1rYd*vl8sNJKiCK`5Y*%!(t}j0FgD+u|DnuOpwlKmo8dBd9OhUl2r3H}&Z(b!D>< z3oHfegfhj=PBLPi(YA}m`C?=N2cy35r2spXdHY2e+0k0Q#0(ZL#df$!M$j(I$MaI^ zKHv?27Jg5-NKwzLK=%cl9^GOa6KBqZ7Cph=0tgtlG16$%7sOUc(2K+bF!+f|-KqwO zjA|h!@-~LXvf<(wGgyp+hOlGg%=k>rXZZjoU40?DnFYjj4M>|QVkJ-zMQdR9v?qW~ z6D&bspSjH3ddEIF<`*{-y&)*!zMvA2%7!R4aW}j(Maeh(!2ACI;Nnx4{thT{{KV7v z=3vYh{1MFm0KudG04w{5{{Vo|RMG(Av{^g&!KVre1_cjcH1)rbf&mjY24R{k74l$Y z3@Q3PVqgLR^RJjJEGQhs8t6}yLg|d zrG;+m^dUgF0dyab%8?N$5+$U}?n#y)2VIziOR#ao2=Cv)M<7v5jSh2j6VlJ-2t2Zp z>mmjYitgOT(TJ$#07M;pzeX}Om#OITfKUi@EVQ*#++(1Zb?0CYP$#1uqS}ZL0F*463`$N`=3GoFPZOktBe9Fy%I&M37 zLL^2B70DP#PIY>Nf~^2~h`DB`7%jA&QF~Hy>!IfDs170Nj}gs4@0{Y5^nS zA&qHl=|}DZ<`8@YX^bYikC-r6Ve`kVGVGBAjDP@v;V_{L^{^$@Q5oRE5wB;=VpW%h zxL66W4Cut_9q|TAtOfW&IZ5UwBaQ%9ZXo~}HM}7~WICEZQ9!02W8!2ESMVi)33-e` z^ZYj8$|fAR{w(+q*@066WIgE---%-kQ1*b*nxMkO%&I+J^4_^zU(#0%pLk2Spl)Ca zR!m0SN;*VRzXA*LM&p7%|Jncy0|5X600RI301)l|)8p-eF3LiK_uAX8h(NDJUA&)P z@pM+;i8Z;MrYcKC)H_H1ymM^UmHN@Ix7%rKvergXNk`lJx15xL`E-~d0Zt>%w_+u& z8*gU&*}T`;lJfXSCkhMNj7V1$SZnJhopOftit2(u4l}$F0Nsf6QA2pjaHr zBGD{zf8K1A+L$R>R)1a%=@d+u{p&4W&z1z>>wnqV+y2O4jt#*XeUW4T037e{Y0oyi z)MOaiuCU%-iY4+eaR`>DmQ4QuZryT8Jp&FZxRz83Fy61dRSWp5F||NaOTYfxZ0(|J*V=9OYySZJNjHMDME(#%j60WP3U4WaQ=x_eN{0J)fNN?c zusT|r(*FQ1m(Be8e?Rj3@3P4@()(rpZEU_<2AXT5&Ld3m=5NDE(hM^Fo_{~3L>U$= z^_L)e8t!-aB!ZAY6K15LrojOOS|2Qy;PWo6RzON)$UE%4kD2I-sKXMWP`}x=XyX>o{8kAJi`Z)&g&ElP^ z1-4}8zp*eF4E9x$wx94_) zPQ>~vCPTJH-wq86qKlrFNnw`xnOrOVhgZtELT;>@h9P|2obZ4ujg~5Z3*FK^JuJ+blzyYUr@Bp2x?eHSV&M` z=WqW2?&e*i%e5aMOfrpBqXAP8Y&0i=#aBVB^T^V?xJi+7P8syE`VlPbvVALtO%~MVTzt?>n7AI$1(4q%~^dW7Y*Ghbb(7>djaX8SDxU|oiZPk2- zNW=Ws$SKe{qr?`#>$#H!oSN^eZM7lp)jF`0%7k{_f(7na=JCrKiHgCra4%87nb82T zhu8WxL1yuudNKMo&8t2??crKb5~gAn`+a%^7cPtG7-q-N^5G3<-x*@e2Rz0dm~3QO z9XhYeN5S(;`>Z@YM#GFsH;}SnZl<_!)coy|R@>eHUb-9pY-26@{X=zWccLeMU6_15 zJJjvC!MW4MeX&nOTpH%_T2gA}ag)P$c5Ce-fPJe*J(uJaXXWjyiKd;nZFw~-WaQF- zXTDDrpoi9rZ}%-_srP*=p^#{m=8-Dp))wj7lJv1WYP)C|t0(shy-u!t2ekXu8Yf*G zKyHEarmcxNq!yBi(=p;`7v{{9byH*|655!tv`l;KEi)?ybZ_t$=nZXSIIfpxvtoXld~xZR{D#*y1yE<$$; z)`C{rZ=^4#2=4K_?c!7%$b@$c$j|k z;gWz4tDN0pT+DnrYEFj0Qxw<|S_SF02SPLQ9BVB|V_7~(;d@OtcF?+TT?seF9_oSu ziWw>P)%~X-VAC#yvf=I(zz1x1qb*#(Mwx0y1#vY(_|E{9Y%?Hz8^qpais$@f9WbE; zmx-)UIOTy2w7RGrsOcwJt0s-*3ij%PXP7=PEka^N__n5v*>sWp(X6)o(xbZ_$}`00e`?m|8b~#S_A1+iFS*MN!pZpw;v`47kxrFxlr)m_-|7 zl5tfnfRko_2oA7)VCtPd1xx`XKtMF_!7_%n^)Z0`INw5fI(Z7w59?5>_Tto%T?UpHKvhG+;$uyAXGb;|;vl{a7d?S?9~R z0;+!@oBZTRm7C>B$~2_~1)9z~$(N1RY-q&e=~d*gbf5t=nX59C!`k=07^7)mFJwRY zW!ez3jtCudixh&3u?q)tPAij%veIY$^f<)TfTo^_k@(OH>r8?|%1dFh07Y9=tZw?Q7O>3X{Hb#khKN(>{KLPU%Js}7CA%#>pl4Fc$HWO zQ zZ@tbuv(w?LOt5VmIp*6u-g)sYAo@vjR}C%mjxYyIX2u(X9u}e!(k>D}5==+?gu@y@ z5L-HPh_Dyl5IAXmm<;%=ng0Mnk<{!#;`!N6(>W%{RVOGc8)n8r%=Z0G+F(g&E|S{P zk~dhi02}ijE3!i_pB5=Q_PD!jSFn3*8y-o`5Ea6FwrL;((Brn?Cl`w%3pEUNNr;rVvC*Ojwv%k*64jVy$|c5Gx5g^42& zlb~9)YK=2{WfP2_@dc{>g%h}R)f1-rLSjhS3RGUr&^4piyItaN2cLD&q4@bz6gD3E zDQ@1O0z;d85zMI(ILNfvSO8k5k@enLRqo7>e*CPomeD_L5T>3LF0w_E4-7HyVnB36 z#w{+l{ebh=oxLYb7lK1(VVc=&QUDys>dth?+Z@$A==l0*!q2GI^Hew>@f4mgMA=%< zej~;*^alqSX=%(l5J9j;lNq**!NWvL0Kk{8L|WbaQnNX;rAIDj4vO?X6h%Fkh8UHj z@WIaZ{R@WZx#0j;5l$0V&<)ZlOja;U{30?1*$rF>+;lb{!<5vdj3cUr6=d+Ek#h*;8FK63;`uD zl?kWuOuZQbfxtE^X2E6X5cI(scOWpwXm=y#9l!*HxIrnt>Hel!s7vc8kdcSA%6D#? zA$0P*{IQyMQ7^h$h<+*U$hEhR2cn<5;a1Pn`LTL;!xO`Byk4gM0G=f_{{T!v97Rqs zIJKm9)lSoZGnIypYFuP}IKh?f`2^gOR@Eit`_c1(XgKv*Y5F%i+x(@>(low+Nykcq ze4~w&CulNq7p^4%6_Hk5lsM|5SJEAhFaH|pqm%l`nGJfKxCVep}BOv_b2;?^II zNjlw5%LZTK^k^-+csR=IfwPyus7+fynN?|kJ&cl%Aa@K|38kTD{{SdkbgPU9aML%& zZIAr*NXnF_d}vWo*extCrw4B=YdMy#gJG|$x}k5+R}*Opd`~#}k@93kALcI!KqkRS z+&T|oko$+3nRXdM%4uzAvFmCAVb!EA%eJn`aN_$a5ulJPeA`iCiDDz*t6nhgT~Ke| zT@*=waaLiFx$%Huo+@4&up`Iw{{Zg$e!+EF;t>622oEGo{zF0cJOEywihIH$m>A>+ zfg)VxWAI~64^nAf!P34+YR7^esUsb%-_>N48v?Q(fayRKLcufcGZa%4=)*qr#m7UF zNi$^^3=mUfwN~HcFy`&BQZ=LJi4CKpdYxs$9G*OP#V}m`2CI3-ds%-3E+gMS`y^Fh z4;EtOd5qEbC3-=1iGPU%qe#0ygoz-Gtn|ETJb9Vxbin05`I^~=c1SRppo6br+^)Q4 zkE+)09f~_?p;~nw^ev@-@%vswhzOnBN4^@*rK`_ur;5oPAFJYqX1Yz|_NcD8SZO%g zw-SUe=7OR+j+JE^#At2v_N;^zU>j^r(v&f zOgr)RqrCCf)@q%3Pab+>Rb0A8Ka6O9Vopcy*1vSvnU%aFsoR*Q$(+ZA)7@14J<{xS z*u)D-DAw|Qp)(i>uR%j~ArY3!dP|uC)=~MTre>=L2CDU(alh!1-5#-uv48Mtw#BLATMvQiFP58*+FANjy zKiLAwC!NAmFjpNq78hJ92C=Er{p`qM!)!5yJe0leb`Ly9_;V}W3{UHU)Uf_5vhz|Y zYC)Wy)+D9p14b(zn8ZzQXtZjOU**0A6koc0^tAzb9&f8#oh{vu33A#CZt>m);5V4H z8KitM^1xHL&T$$hN*LDFig6Ga^)9ZrwJR7f-IqoQx3*`FyBkoFO3s*Nxpo8NS-&0H zN-q#JNT;~wO-Z{{_hW-T3@}z(PMA#um%r`W@zcTvx%y2$n9l3%O&8pe1knhrW@GH1+rMwF!^-5~ITgRS-Oe=Vka~#o$ zSMs}{zSf;E3O%$HwZ!PeP(~Y%hafdHv;h}Yih-0v2r{Y4+i_bDQ<}@@50z=X2h9Ec zX#~Q9ZC%FqZ@U-79J-t9ceDweZe&`Bx5|a}u%N#h0U*gYCKnmm>vj1RUs_a5{{Z+Z zU?3y+WV~qZ$QD7Rv@P#!j(79%(YMjvx@6j1Lfxcw;pl-psUQVE{8A95$B`Q5ENjU? zE&-1KtS4aFY4Dcie7ijPHV^w00@Fw+(3{nfuL^~Vm@|DSCfGXu)}v;G-z!NK{{R-E zocBFRROB$4Fm`9G0FJFey0Q5wE-J15@fDwC1POXkOKvae|H8muO+vB&{4CD z9X){g3bot90pot5_LT03-q3Bs?Vv=f8`^z*snVWX><*@7{WEOFc=!TSvVx$|RDz;9 z5o?ulQd!g>_RSsCTCop~nvia8Xl3#Zd^z-mE|28~v*&9(J%3gbj!}lZ$ z>C4?x^f3Dx%|V42w%gI|Uaa8Q`DfC$UTqU1O3()+PUGZG)V!ZszS&XcdPgN(td0+k zxpp>?&dau90%VMMuJ_VK@kU#Y?8IYAr#Qx86N*?h0lh4uiwu{!cQ(7&z4D_N3So;< z-Hn{aT`gswyXLyz;42@LY{5*)+1k_ej_Jn_^pdc84jUqpWu6S{0JA65H z)l)z^13;+;#TsUEA}n3shJnrBLrjG!n%=tvNHM=7<3 zUf=$BX*buiaB|v0FGGGov&ddkc^0}*=o^#L;{DBI?W#Q_ijQ+_<7QbqHqgC3@!Ui*y+5+F6m z5eNu)A6vqrR4is>-rMh=Eq0UX?T*ckTFUbQ9`4|}IDnH~53)nyQ!sl1qskiweERFM zr)XY!jpW)__%9*fIPmT+=KTKv^EYqr{!0b2i*L%kS+TJKS8yb@$099p=IfepWwO4Q z)x)%xfX6)d%?f?pCxT@(C}R?ZIBlu+*wz04Z@seHY?9wSjF0Ry9PDK=3NT^iz_b7v zmz<76pZ@4y?w+n5TgUykX!=dJ3>Tv~EQ39Uzwvb~+Igg!%O&?oVXx1u{{TMsC+cF` zG&aw@N@?!4g}ftZ2)6U^7>^t83sLP?}#PV7q-JO+3=?wAWc}*J=L%x)jA> zgl@#v+*g3Vd)pET9j?%k3jnoY-+dBBEQ{E>gceN({A=~am9E~~+0vhO*XcaBeLl8% zLV9_YWbFR{!!VDp*7oIEWd)TWZbEP84;V_S+I8L+z+s^5)~BRQ8;9^C_V#Y8WgqqN zB%ax3kt<@7^D5oWNRW?xkzdf>(!I-eFRw9SY`yh)sGm$Bm%fl}dI_PGc>Xv1@3j16 zIWtwAD`%fuY%6;#mx&#E>2u*aXFb>(?jA*U1`Cgs5BuKG=f5{=SihC4D=GXx)du;p zZ*F+%jY$@z%h_eJOD*?*>UWe-L6BK-{S6Ffvvz*8IRwUyk9mo5)qYMlVE+L3*#hSE zTe)q%i$-T}Z=1IBS^+XzOcKvlNi5%Kboj?si))M}15J~77^Dqgz2a@eHuUKedIU4k zJb8Uy_x@)e?H@b4{gu7Cu*(IMQcbXuE6pzd0Q<;DW)32;KUP8 z@I!`BIG^x;qy5}CFnBOHzv!_lTv+g5`hUrH4kd9Ja^=C`ki@a@8)S%b{NZNI)VGnq1ol0u+pqd@fu#?E_IW{>^{LMBzx64LDQ&G~sgN z{+YBKw6wHq4j8yDTqzSS6yjc6Ee9aAlI^{{ZmZxpLys3vgUs7cM{i_b-L>mP?r9 zd@r1{oDy8W@Lf+hvfuny#`tDiFaH1_kN#IKU;4R!q%F&Hn)Mutp#0mo5(mRIVW(^{@W`#fq^10OA%!tzr#K%a#8C))rt9dOy>|{{X@N z09t?iMgIVSm;V65$4xJBH2(lA{{YTGmncKm4L{5O0EO_l@xCklZ{j3z61_n;ZG%}d z%u7~YUq~gRfG<`JsDc4kFkE|GA?1Ue6FR}nC63J~Vog+d9Za-Fg_4MF2w24` zS{G`-i)i+c+C4(y%$<4HgK5L19if;m=xxz28mcCMAhxkNg`IDt8r3=xnpE%zwMZt6 z>Ogdvm%&~Ul64;;WW|d*(W_X}rYbN41lkVJO+2A+MpmLAjtd6SfmA_bqaH+1)+3zj zO3OH}LgHG-T6LxIxGrLgQoQL-gtsWQiE-%yRI1@}=6E0DGM*>>Rinxg%4N6ecbWCF zj+u_zq{t=`;=?rNFfu_a_bcHQ6xSBL-qAbR^uhg#!=V9%Kxl^#Nn%R$B_CzlTu>%#4E;;Y@C%4vK!J@8r*NxO7^%2HMyeZ z%n8bV2KO7uEG{5KW#F|J(IF#}`zatmO&BQyLay@grfpPTrd^{d86gTsBk`G|9nefm zjYGjGE@~X&WHi5vlrgP*5TtHfRSLMBN{1Qh5EnU?Y|^$>#;BlFG~*8P42@HBvh=yj#3`#xrw1^F_gFg{TzV$swYkR4kgEQnFE*s<`4n zgEPy{B~{FHGJqOjv~Sv1Vh~4o)v6C#9dv~+L!Si*Br4y;8k;&rMv7kW&oG${bbINSaghQ%Dk#y)Zp{}&tW;DvxCxuR|S8!)GRS09Hv*Q{Mihx zRPQO}K>87-sY0_S1w|Sf%4CXp#WW$aB_z}MlG9`A9X?+)!L2nRp($Sg&KFr_IYN&g znMECA>!kW&-eQiaetJxb%TKJu`NRrt47?nnwNXTZvhfHuGvT8gLzDQKl$vJ%NtPDs z8}|Ev4LwF`KW(GI9`ithAs$e{5Y3mN6+=ospkB}U7a*FPzlm)zUt74A&E`?47t%U8 zGZN2?O9aevl~_W9#m0Q9CmHmpO-$Ap+Q9J#;=4?fv)q{{m!!}|(Ht&0glZtvczoVPVv@e%rGz*%uMEfl?l#p0bseL zjE-QYhZ&*6KtO`fV=Kxkvs8ex3n@t%>PiI57#jp6Bke3#>-vLb!Ab@OB~+*}{{Va6 zgtgw}Szo_Y#4-Ivegt$9=w)q9L<*BdzxD&K?QVOYxUEY}Qscpe28Z{Rr$d##RbxZKfxw#YM z)UfT>PbeB)nRx}oi2)7mq<*SRrXlj6X2ZQg1*#)Xtw z0RjTht^Ankoaz%>24?&e`?FbM)$c5QQ{0tS=h_HEwH!DVFlijr))6RX%86p3x>{_( z3OPlK3XehID^U5lhcblb%&oMhXjp3m&VSgONY0$ufErr{Hyq6*$(Du#L_$rkBrP3# zOSUryrXz28M&VRSG3dypM+K@KA7CGeX+tDhD5rf^f*!J#KQ(|zi{AOV9U?y z<%L?EqGR&Fo+5^$DJt0K4Q4TL#bN0yf3b*GxIM$Cm-1FyOJ|{o3^%U)4j3(?>mjv`^DO_bB;x|xe z5gKE|Q=Fsqv?l0lDht!=yg@oK*tca>u-Z0D;K6As%9u=8u8?P% znCq3qT0_B$my94zOi3G$+&PoM$J9tr`BA^nf3ibXx2xXG%)=Ww?SsJ@I^29%MPg_OxQPp2 zrXEv4XQOb4a)OF;iVZ{+9HE8O1@(xfu3Il2oZVs;bl_^?nNSUxQ5!zt9M}B{hHsZ? zwAYoK6&ewOB2}j>MlegFU$Fea7n~*Vrl}AW03<*}OtQ+$u6#%1?lMc-4kF79V4!(- zB}-iW^A>vEF$g=x1lLR%Nl6(>k1*(v)H%!Ia)P%=L_uP4MvA4vAQw7i`@f}m7Tp@n zS)nfzWBfG#0Hu&}jquPq@~6;<1_u4#xNE|fq$vaEO$h2KBSlQjbAXX|JK8Y@bohSe za5g2oMRGkToGj>SSbi)}<1vH)V!!MMP)4%TKXDSg;^I={t`AlT22TF~WX%53riBEK z6~0o=z_9YS+*fkH7XTO<$SQu!vyz)+VH-y7+EMmlCtb_g{o@T140A77znRa4OW?^* zVg5^Tre1djrgZeFy`pBzfolbD3n2vwt46+1QXNTAuIFd8QnU6;JOko3qe#H=s0KGl zLYqRQ33AvCYq>6MDeT6G^@Lg)=Djbp3sA1pH<45IJ42LLNRk>RRzMkSi4LC(rCZIy z4UI&*e&u*DOkYW2Lu46&m~&7Ru(^qHAXPSok=V^dMb4+RHad2JL3+SKYbjFrPJT0Z zg8-ZWn)%IGXITxU-T*ofh>o(pbZ~`{iLB`rNY~;4R$xVN@F0jL9CZHxq>1VE2?$s3 zpGX5@^7#|mMTF6E;%1islB?w4sZNkPQ~f*3<8(cff}o*ODJxegkBv3%R&Xbo`DUr5 z4ajN@Yq#9NzVE3>cRrz3ni*?Syfe&$7}Ru#H%I0>MA*;gv}hy+4<(-x;cu91^@u&e z8uiKrqBFWpLx_lae8F!k{pm9-aO)2&Zd0mLey3)1aJ}IJLhqL`Z{SqawQs7HeX z#LUon!w6+{pq}#bx38LyOO!}h2NWz50a2++7s@?&K3P#aKQPidni0>@?j!=YJI=m+ zv5lp7h8mmmO%b`Mm#GG9x(6xoQaTD0|)JQ$cYh~4$KiOl#A&uZO zz5G8=OSt(zlX4$rw>chrzc3=8xGkubzqf=c4WvJAe5LEd;wD5Ll*{R)9kF*2GiD;l z<-9Voj^{J6GeL`&#Z`XqIzg0G2(az!U`D%lf=bbTSZt5i3R{|yurxC%RYh$}WOa?3 zk=|mCk?VO_#Wc7xxkH*474oGX69Im4JmLqR#1i+S7FEYdzqv3Jjd0wNp}V`9)P}Ec2>R zch(wSFUt(q2J_Z9O4InlvNY4$9i3I}3u|`xEM{g-7o8Z1c^~5u=IaH50sB3|sr!X_HQF&G;AFygy5iD?83)o#8e`SHnoc zu~Z#w-dJz@SVDm;E#l_E`k7K3{@^yi1j&{E04HA)GLS&V!tM1fsS?r!-g8xXOM=Dr z))aI>nK`92Ei39jF2B!s)#_D^Es&HNOs4@&Mcop?i=1{+1f_HMmq$Wm(q;3tnx}b? ziIs$9ZahS5_`n#ImiO}oiWqPOn2o%TscAVr(%05JjgP1s%}IPbfhvrwI|xIZlMlJHV5b{{XOB)n74N zLkL-L2U(gEM1Q`r=@v5RO2Mmp)Wif;_~ca(tEA zBzJ}SjE)bnPQR`~P~QILMeMTlYlE~Hw{5)aeOZ`w#B_;sawFyA zH3f`G(?myjA`41iJNuOOkKN+Q(3&%NRu%YrL`#Z$J*975tIp;ZRs1EEpaL16kDM0f zf&@sQ9e(0If0AH_qTS{N&6Im~xQra4y%>fjf3P%PSZcAM=EVe3S8@i2JHi@sLSsf- z`2Iy_N*`bOEo(!5-^p;@z9{V%5ON`?adjLPQD#&&U>*^foTGtjgCQK8$AkcgqwNJ1 z4P6sYRyPRbN9nePoFzsbujPi5hSpBBe|xJ}X0Xu)O;jJ#52a-Q3Sy^lE2j#B_- zxWI)9%~XiZ&Q_wa0E|F$zy14ea|2n=4H1BkJ{YbdkMyzdh!NcF9UACPm`_@J!$6uu zveY#!#KEbI65FR~TBh>%fm-eRm}58bQ`iXB&7!&T91`Af=IG4|jrB6BQcfPSf%{lI zzF&r;?B96k@nfQJHF><%Vt~Mev9)|dh?*YMC#)f1Wjzu0BSo>7Y~7cOl)2}W4HC%O zQx6F72F*I%2#3UFN-lt;$x*muR$DVTpS6VC=(E;~zhm$4s{>Xi23y zb@h*!ovOcl!(i(F07wR&UEye{zBnYR7HyYAqv7o-fyxgc&3xsCFKUe5Ype)Btg(y- zR&22Z7Oc1{XjM_BE)saNu$B4!d4>ijZcT%RQw|ly&19RG-J$$ zk+nKXU_tA^Li{!Tp)Z^oUM>b89Q}90JCphh7Y2qQ}vSOM2gyZgBNiyc^Tc;D~0bz9nH9bLJ63Z5<{n z%&2%gB+{rxO`^| z`i}e2WTG)J9Zmrpl9H4p32f|{+Yj^V1vUPF=`Fl+>CtRK+s}#V%lPfW3~aBVFKm94OKaQ3FJ{h_TAQe$P3K z*<21&N@ST~d^CT(C_JX)m-boUp3!Na#Fv16F`CS%rVTG9L38~J7$lA$t}f-UQmQ_2197z@^)A8;W(I6Wtlk7y-% zJ3mki)9lTLu5I-1C`Jgp=8es{s^Ng(CanI%2CjXk;f(9s9{&I`k>6iZusKFav}*JI zzzNDBU_53Bq0`KNe%@ByO87Vnap46Gh3K=WCwJ}vn%mk|tI`pTQQe~gO*o4PRN7$w z0BFTzuY{cVM|f+FpK^@TVpt(=AeI6pab<&lv-{u0#&&^;xeu)b=I6)UbFr7hE=xBU zjzk!c){e|JBfJ?*HHb|15s|RMzgVxrhLR3087iZcPKH}7WuKq32C0`zblV#72dE@c9sOc8`mkyabBzs>vNX|oyaGII|Kd+F^a zvtLv4&Ri6s&Uh02srY3m+x&^h%Xsv!n5K8P-a1mP<FzgycjXAvdYh+3+(Ic#9Tp#Co%_@Go#sK9t z>wgzHtUi?4@i1;13W)0pOJpz*lBzu)P==me$eC2CwPg>zK}Hp)ul8k5P`|xpI^XP0 zX6x|<(fbjUyyhmzRvA-HaZDpM=emURN)Xp)v`RK#h+0CTtO~+uBF)R80OV_xdd%E3 zx1e?L6hz58pz&u06_(xyVbe8w+oK24$%Y z7?i}!u_mG>N{2~^-Cvjl^p!JoTvUkWkz2sx`tYCLkhK-2q~7qFf%S0L^?%f-@E`DX!^kg=*6jJL4FK$m%%QS zI6YsOxL)E_8qah237r?}GEM}0c7?e!q`-j_5Z+M$xKbyfzT~0fOc-6EAe2vu zPWkeUzS=z^d{{%gzP_P&_wOlVthjH)S_yniA9;bhuiC!ju{0+0MArK~qgd*Ehtv?6 zZXR|=N!G{rtffPBP;Q7RlUaaxMzwQ-qCc+S8Rz!Pp;+$BwtPLHt8?tiwLobDqBN-m zE`emsqbV1Yi^fO_jL&44z6?j}m0vE`DTg`@uO*x~lIB_KCvD%B~J!q$Z#BV5DkzLmJV2!V&1x zu)(Rc(wQZOniEv6Xty&gG}sm#+vU8!9IwL>NbACviAzEkq*msnK>+~sexl7m2+htq zesBGZO>qp_MfQKOAs%ncxaAY)!<4N{CTD#mF}G}R34>j}VI3>a%KlGov58a+%{<|% z^81!1;R2u_<~7m2vpmy2=W2|%Sn{&FLxIv`8^vUFdod}Xd<^Pvzy+E9{Y+SwRZ|sn zS%y+H)WSgE{ZZs;XT;@3FJ;wu+#~e&AOboNNViFAQF%BlO%}L>mrU2M{g(mV_?t{) zKN=NoU#0B>l>4AC;Md0z*m)k+6b;xJGP*%f16T`qqcrr5683=krJI^9)0805t0NP_ zSh>VXiaP5A5AW)TY({89>Og!LkHl1G6I{h#FNo{IUM7?nO>DjhlyKcYNPct&4)aUG z6mRV#Em)JlfFGYjv{{Y5ha$;n1g-jRmnbiyPaV^tH9Iz@) zDYiWEVrg(!ff9V!^ydBBiEOAO`cqzI;7byGugeW(pu+R}W9l~etKwAa*?wSlmRU8U zXpaoqT^MYrwMJ0pjr-O0P;C}BC5v#Tsq z`)Svv{OP#G9Hxxk20xlZfW7J@Fb2 zk9&y&ce@lRKV}8o6|7C6!-HjKoF|$hUT|C_qm;dLCG390(o~3UGNYh}$~g&QYP}+? zDlE{R;%Qvbyv4&bpRv|0!IogAoKiC`Np1K;r|BtW@An3`If=lFG0MaewuMn*EM+>t>Gw>Qu#r( z@7_Fi9DehSuY?nY5U5J=U#fvGV!&nF)1(h?deX|*ka8X=dAo;jK z-up7P@|1jNfPnq1DFzDM)TgMHT}bO}?)jDX>&_yjX&RbQTwG01_F+AlNOt?VVC&uu zO>36ph}Efx#fJJ!96@%{^(Iw`+d^u>`JZtU(FOs9y^On_nJYH){rmYW2OM9C86l74 zsc6S(OuD&HG(Wr>z(yJ*Qrm%{5I`Xoev>F~e=+kzLTi;SrfD5`Fr!2n8}q+^-}ypd zN{+-?kkN@RGNte;RHZ?k3*b8S_9&q@zwU}?JTyXdDA>WpIppT%#6N6 zfT-NbhKIzUn=v9Da|)*3qX;0X_2wh{oMCK!)(brGJb*uY$|<$`OB;I1_;}{LYr5PK zUoQ+lU1fuD%dDmD-|`SU)9wENVl)|7*}l@$STAujZYmWrW)Z4YgrMBcSLOloCX^#S z`>#=#cD8%KpGk}vj=#!*Vf~0Bbd~~OVYbwNFh1Gu85-NXcmvlZ)7d^JTOFdBB=#yI z`M|g+DpOgUIE2{sa8v05S_j#2mSC ze^d-`Y8_!gaz#s-92Kee17+VZjc#O1QW;fYR6;a%l!3|8H4CE09)c3)pc|kk(T^8c zz(6e@+kWp63z~t#YjAaroEfM69CGCsSP53GddGNY?1T}@XhuIjDXfn?BV}A#W5v=C zSAXQPhC$wDWxTkWnz8yYqP_z*BFCxWiH6};h99r8Sd1(#JBLkO+?Gh4rYX5oJBPT9TEX#+q^4!zcRx$sLC}{_wPv59U``3@0_7%cD`&P zkSg+ynaUJ8z-}%&O&RXv4yAlbzm4Nt)flHEf!gtxs9+_^0H&cRg;sKu_^~`hBE`y- zj8~+-j&K(_!r&k3tzY=|QKEW4#me7!?`vgs$b3d289@>aJkXd%4mmV~4!j0rbw11s z`u-#KpAok3nkEh^@Zz?ILzJW{3LY$|X9ySrC*SsU0rdyn75N_VG`?+kTv||+oF$ye zI>w4^evp)P_JF|&<$f_7ChG8{`3ZdW{>?{(xZa@%qi)5r-(6O40Xai+`>fNDbJ^V5*~uWhKQ2cc;ETG23j#vT4juQVXYF6*{OguVu!5 z2fm7eXz)^9Ed>3fv=~{+Jo?0lGjl*CkK-Im-XvarFAd$BUH#bu5@289bPbsAFn8Wz+not*Vg2!HyBukzYZmELfrQfZ_e)NGRk`p=X z;%x)vGg8@&dcQDcoKO%IpRk6G>*`ue3GavDlkL5AGXDrb*Bc<0CEorBcLg5v!g!`8_Nl*~h1pa9% zx~h98c$%pm>WQj1^Kf#65O;}|ZbJ;jOEQ41n|7C^1Hm{=DX!+KfPuVX zuWN*P@`fSl>DJQ(JR%YEm~|zHDwvsZF@m!#Sh$$7{vsmRt$f5f-`Xu#F%F!*xJX_+ z4`~S+2&V*1h`lM6Ci4PDDj3{CC7IP?0_eeNt^LdQj}tVeZfO~{aAt^qmQ=VoMr?rl zi|rW|a(Rb&rRfVvlbF`or5(kBVl?ZVuaO!3d&EJx?KGK$*eOi>2r2l?WRZFCc!i1% z9<+BOQe_wB5{{E!CH?0K0kZtfSWr1kAA`Y}4;kPB0M1_@_9E2YbMijL_KL8f^Gq2{ z7(EL4lquASp|J=$K;-Jg2;7w7!p>LnLDpvd!hXycRMC|yRl8o&=Rh;){819<3w)$# z-k(SY=|vVPZXg#-skw6!UIq2LzGHBGXi@^ZA>IG_4|Qz z32pEo8GFAmts-*a)YfA3Vk)3qg7Itidg3*XkrLHrtiCpuj!*Thb%Q51 zY)Ap3Kc@*nus@qH<)iw3{hCKfaWaD3{{VM{J}E>_QB^el#YE*4axdi1ymU4!W{ehH zXCcRV*ERP@yFft)GzV0pc~ZK;L>Pom&XUBPJ>m737Bq;5E0+==3yEI|tr8@TfrB)* zE;8*i``!Z7W{f_xP-AD=hTPycl2jgY^K(tXqRK3Sd(Sg5yDf2m6qKNL!&w#4a9J3&Ev z$5bIdeB+}vr>sIJhj>;xhu`u8EE(NiS%ls?^bmeV5FK&x7)P95Rv^3)d9x`L-srta zyYnX4R^DgwPzDTGGoB1XajJZgH=^!EWv#DjVz^8yGj3~%cQj>E_x^t-WaNBH`N)v# zZ>2BK?rc+f_#c>JyO4(1mf3%6EVHy5oTm1pQnHYyUmrv3;vfdU5#DG+ek>13So9!` zbv~hb%>5wO_QXKBtPlz=8cj%?Nq@4 zO`m8(&+RLDb@gOCQGhb(x6QZIUT5;ebXdgiQskOKp$vLUGj)`6DvxgP@M-RSF{pxx zHkZxIJt`>vSn{*H7Ok~_8Vr2GrOr_$xRkFK0)pivBAfhTYcMJCmn*40QJ63kdSkQ- zo?WIZyg-g!m?-!}i^5o3;u+GuViu2HnWe_E4h~Q$yx-hMH&1(y4h_k}b>YLDC0!=5 zD)oL?5`dbSVmEg`lEL%Ov_a_~W?r=|O|dg%6(_-4;G{!r{c`uv_((zLc+XqI>kKG1 z*R$<$fQ;+v48Es0en)D7R?rYZQV1;YhF-2apAbHUuAVgZmKk^(CBG_{ihDh!4Y57m z&_7uF6pE1^X$Ds-TmyEaxNQm)8Xd>tDGtjhXOu}f-%5d_fA;&pX4*R=9e-vhTGa0e z&lm|E`^3Qv>^AKbvzbuQiX6$;%yczw9N&H4sJ!h@Po`UhM@E!gu;Wx`}g+Kd^Dn#UM=Mr;9o33Nbu4AoH2YCXu;e!^AyFL zo>sdu*`{=ZGSzgQMyrzO#qm&}Mz2g-b)a`7=F`T33m}xeg;Ug`##LOCx?|DM&Gz?w&n1Ux$ z4w{dZ#b{?2<&UYbbcilN5d)zpelwL7173kLz*+H8>AMZ?>-W^ELrAfd)ObS+UeI*o zzoYdz$onp#1)=KN0LlP`T_C3nBXtl#%3L|uZ{%n?sQ4yVgkpt@NZ`?5f>={rmYYIovpU zlT(7@!$!dlJWdVrwCgVuoi+-S6L03yE<8bfLcB?h_o(k$2ZKao+w z_MmNi7_LyBIT>vudf#%qXCA>8i{HK*N7Yp=|$ti?^Q?i09)@hEjv7tV=y=8e9{VGr45 z;eZtr8K8$$qRxkDCejoGk7;bEs&ZN=7criu) z00gmDSaZEu;w4&)mvzhBsEE2(wle`s+?1=K0c%-BnQ#oSCwdI54t&TL=zNNR4j%C_>l=2d z@?UA=vb@F`(~CYn*s_w;fNz|?nKeLal~E|!{{UbF*nZ*`c7u&hzH+(KucFUMCD25@ z(3fDPC?L!j=1PQUy81w&?KPTL9r)0saYwB`$(+mnMoPzb{ES%N`4)1iV!&o&rv~7c zSMnqAwAY6tQ!pab{{UhEq}Xspok!|LK)}&1J}{rg&}s6TQ$kc_mAQWLR&|+{kMbah z8%oiMjL|jl>JU)IFc*_)axBoRWsEg6rcs6|7GNWiBZR1fTo*5ei&@}a5BMc$V(*0p zTzcQ!I{h^F`r+^qhxzcDTy7R1Dr2c?Oxq4Kn}qa6vcQVv`Z3ydl#qrSe(eZ>ve{fV z7Qx(T`ehqh-Frj|^Ip#8Ra=)b_KnhwN37mzOhE554onMg>X$EBpfhKt@IzNte8*nd zg&rn**t`M-8q#kusJ1-pjbB_ocPSZCdm~={5t6)Hc7eE%bT87*@k3Ny+$N1{=4Po% z6crGbK#oz9T%hcAuF+VvmqIyMze<|qUOh%o{3F2U^$}?OqzKofr_7bv2AE0_bTOvi zntjF_ZJ12N$Hj`Zmb((tl(Qh^+tzF#nmo7?q2Z9+0+O-i^#op%Cb`Gq(0r>9w3T5B z2T4QVmLyAz0Po%kgRfq&*M&8cM+VfrkBOKy(i*lOB)@|PKOP~wCqGC1DMQYiVO zwuT^rX8!fLI|NSIABkR+}0nE`jk&HD#W2p?j|m>*E&~d+6=r%%Junt;*n*+ zWYK_c=?|bC)3oF`dNmM-O;Ec-_mvULYhukl3{r><+ zfpy@7FV}?8nz$T^q2nrDAT8Se0DHl$&-d@_cz8rbSyNtDlBuqBnF<1rAng-Y!p>7l zKNiQ1Q@!_!FE?qm+w@hQw*|kKnXglGF-fXw*Ej$?;PfwvX`DAI>;y11>xp1H$EYdm zR6xvSSG?Kqi1MN)aTd$oR>z8rLWpB_Ju?8b-`J#RNr}NLolj;}4yL@ZE3v8E?-4Ru z_=c%OBSID493`fuSoE7VKB@x1s=1(cm;?IKAzMGxfpYB`i~6Hrzpy}Ha+X2m6mC0n zN_Lmkc9n02-oJ8$&8Cd0Y@oHL@4Q`oK4ljRHQ4OM7Y&v?76%F-{BY?QncUjqv8nnl>qD`0Y00#F6QIw9~Idelc z&5tR9qqgf7Ia67S5(*L6vuc)0ZDoU`)FAQl@BH{JF~m*gnMc7sS?riDkUwm?Tv!P@4VB{zuhzhK>;~3w-|oFjBQ$ zcz$98_j$irVdoVG>_cT~9Rr-QSO_{L$o~KYhAR*FkW`Hx0Qvh!qHVU1I`1l26X3l( z>J(s@nP%XsObiVfbr5(Oz$w;OM<{t~*(iw3HP1`Gn}TqQAT&Q_pcf;%xka_17>-WU zOVwS@(U*UIsaK8ytiYO2a3gu73OU!K7c)bg2wlU`B}A2#%2Cf;rMD8zA-R4Se`t&p1gkLzFlXFU8@jGafuba`B3S zGE)yL24JXXctB)<5yIv5Z35F(WAPcOsu=RXT_Qxdkk(Q=A5WBmMriGYl-IM_F?g|*PdX(niVq*TJxH6 zpujWU4*hKSm(!sTiaDJbuUGw*(`9^^j9xNGD7yY&E1Vh}%IHUA)-vOtvi-#`+~v|r z(h5?TGpousGr&b^;Pk|-O2^#IN>%{y4_sFS0zfL#yGvCCro`QWm={j$c7)J-5bxtH zjIxfAOI)B1vW$k6A)zU0LmRsIWr$${F>8N^+!+4YjB=U~Qwga#5CXb^p9no^ zTN=eMYj{S|a!?XnBxtdNshK0v;BH_yJ_umH@M?y!(mY8-NIG1q#wuQ#1^R#32`jHl z{z2&Q-Aahe+YQ4+qC`uiL#*5T_x;Fq9QJ}%rd7h~E0!0eNjkCBa(*!k{vUr**mI7N z;}s_;C$H6iy3Uf=_=s~B`2t$Yy7-#YIW#kQOWZYpF6%rp?fj1?tQo7XqXZK`^=0w% z06fpkR2vKnUR|K510q=tsY!aJK;@^md4)2y)`$BQ4*|vOZ%2JPHI#QjEW~BoCJb`rx#LMH>lYW*}`jS+V6c&0h(T2*Y(2 z;kr6-30y^oSo$2R+NNX6UodRlk67(^HC2Q2;RcyyM$-n>a)#tRDV{RFa0)q+-c-Y< z2He6S`Z9*b!O8-K_n-Uk1Ce_C%*_ab{&SKPd)X`5Yw1zAi?1!key2Wf;}c5GL`>W@ zpl@B(n4F(Iuw=LeiU&t65Y)CfTN_UBVfcT8}MO6@sCqVeWPaF{`!Rlvd3woD@)E49UZBK zG%4*dqZY3)ubHL&xvdyeK5X6vRVpS^6B+h`s{MjjFyH`dJ#JH>czlIBksCUT^EAhK zL3vHv6C(A1v!z6>;6u|vuPR_$W8phSR-uK41FS3eI}>WedW5I-Z{zz7n@1oZGHV4+ zW>i?IPI0$RUlkK}A@WhORoU%*ph$Fn1OCJLnaWz5!ZoZ#2PeIegLi}L zXe}lHjBO^AwdmAp zGS7Qj*g9YJq^y&DRcoRMlQe+N-dI!{Enx##nAnh>|6h~H- zq|t#iObjayQ5oYfcg_|p1EV(u6yRP@w9iYyX_RD(1(Qy*5FERH#358|A`Vr11?Xo=kiA z#79Og8`JM`2dnOFG@>#<^&QHW&f0uJhRms zAW<7W25bTvvuI5_UkX9Y&x43SXsy3<>lSOgd3_rP#4q8z4SK){-U;xQs#d(ak>F@M zhqSReaG2`0if$c;kHjEf)@F1xU%NJ;N5*5b;zLEu#Ho6iGKhPS&}OHMs*9Ca(I{Dj zp($K@8$`X#Fo4|e$ifcu zGvq~3)u_R9h&<5PU*r1&Q!e~)pAwfgdml_F-zh+vN}WUz9RB;2J!Ma2`-Gz5_*gVx z0A+7b)C?wz1PF|c@9qPAR~-XNhGeR1UccE&%wfL>UNI3hUHwW#C32srXvO1m z;wZB2cQw~<2x?U{p&|in(ewR)V%;GGb;o#^G1Hc!DhCRsnz_RD1Ws39sH=D-((0bl zsHumuRRq_a<%QNO-VlYiguvH0YI#EI&LJ8ftJa}twu#G1D`*cWnqeG9rxMkJPct(C zyZZnRg@miYmoR~Vnl&B(!7OR6}M_B~_7NY~=zbt?6FV zMRC}euL(-THH>4x92S4ayp<1x5!6bQ71#6LSUMjNaNb61BC44z3V!7YC1a#FV!w8P z23waIoExZO-b}0FL73$HKnV>!ck9!_8Th|3l&onc&adFWNAajI+n0`KVh;=_iZd_p|fA%tYLxZD_e+wvnD zIjg>QZ!b&XGV0zo>ZjxR1ZHx<@rU4h!l4vnf(+Yv%_&M4OEd6RDm7wco>6l!U(NP{ zu-5)&Euvl>BIe`%lAZ>51p)}nP8%{o!jllZ%e*hCLniD-I+4&eRdj}zg|Att zIc#muf8MvNq!>!X!Chuz_I4mUW%g^l&~@&pXqXF!QfN!h5=UwnUQw(lpoK04cPT79 z0#Oc($;~cl34Fq(Laf(6K2THXG-Aucj8XF9X&5=L@sM?vNdC+QR(AEc8;$hyey6vO zUjn{NS(_!3BUzSslhdFDwm*8xmGdK@n6womyF#7}A$Y(_SMQfU)#(Y5tY(bDHwDwV z5Ik!7Bss^`Sd_fxP7Z@u1ctJ#VZsLetGox3-I*%VHX4E-GLp=*;EjCAY95~CY#wrm zbeR|R!Eahd0$JS`+^-rK=Y}R4r$eXxizh>fByAaPzJH-^p^8(Itsy zn5@gp(3%dUG^>;~;IU;1;zxAMr6|iK(4L&kh~kM%WqZpXMW_v}nx7BaM+;u;r&wLRqq%3<}Z?gD(ybuG7^b8^PsVSa2Y%23gCq0WVeqR~EEEKN5?YK8ihwPf z4|U3x`oFO`=Kdh-Gh}=F5HJ+P8s_lklmnaqEso@*+!yl= zxMwlsM{NyyQBtRbA6b$8`!PX~5xAIBr7H(tzJI;q0dUvPZ(oErOID_=H7G#ld|%wf zZEwWQy18@p*=(90*8xks|*9XZr}g`aNQRvHh;ngLy?748gih39>MS z;Fs$`k;*-NRwhE*JIxE>>d8V;HsnDL%oserWi9&u0N=R6xxy?ZgAhBN%7hIcu=;QT^%%E@0mzGk0$%d8Cm*c8n=p9%dseaGd7)J zqm~3yEWw$3*&Gp^d#T!cX_1@GaKwnmRAL(H*ScQ!A5VC&grp^;Csa60jZ56j0x=v- zVK*qUW#{L#w*{Yn*d(Wv)^>$v-}X>TD`3`y%Gwbb*O#0Cb!G-eXh0?fO+Meq?>K

t0xB&y4P^wI!oqo(2CeOrLq5#XA zaS)$8%mC%W6w+J}w_8JM{{WxA5CpKsdcA*CpmZi@fHLLB{5tSFaJgCPLUon#{DF@| zU_*Fq?tI2Hay}uo7A5_1nGPIbr5&VMct>60wp+<8r8Le|6S{{UrVb@u-Nv8!l40POrq9~dx>$k#cg6=)}+5FIb$24&hQj!e0ZmoF{7BLV8Y>N>$LVrci5 zLtpRqCZOpmk_M&*(=I+?(=NV9*S-G$ZZ!PkX*4Cm@`D*0`|k;ZQ~4@3J0uU6EJDyE zM>tI;Ad0qh{y^q(m&^t2brSS_AMAHbk67MG5|lP(D8*v!DCukP>lHE0pS*U8;FXM8 zTI(sS)%iZ*9O?8s%hJscBBOyYLXjQDsfJM%b&ug9j-RR`Mm1{@tGpE^JJ-{+A;lKq zpb$_a6-KxF_q??V(p(^YBEo0>3q%nHBBf>_0ff18JGqbvHiP6oV_aze0111ZN5pB5 z0b=Pj6J#(&Dgf3WLIkOCo@leuC08npMQ~AiLbJTj^nN9amOlNiR96r{72o-Zy4*c# zHC9o6K{7{_SxbP@WYUN;jRdxq%xnfT@I<;UE8eZIQH+RImYpp5VV>>^PNW2mL_)c} zp_;UZ1YEZ%106H)u-0*(mF@dkVJ={?3Sru{S&HNbUX4aUzh=9~YHr`3v;_f6MtB6xkPYt?Fg$isBAV$v|Q$9p4f+VP-D*$f#ABvb11@k+P1jz`27z%mOl-AW?RM9*A^eeWB*(BzoTn#8mx z%Yd>tcBxM5ma*t_fECU8U`N1!BT)HBX=7JAR5T8Ii=T+YZRPgF){Y8|x)D@})*0k` z%~z**7S|NAbp+{}5JxM|}Q{qzup_B!vix68f z6&7Bxdf54tMD*n~>tAYSN`@=j`6^>yI%1{1DP38lRY*IHp=D8XFcU|VM|q^cah)HPN;9N+=qZ!e6@`odQQhwShWJs35}YFDcO*~pX!?PY9i z+SF+&OXUjY&N0{b{k!&1;WpvHir*8b2E0=Abis5+1$33yXdRhH^)u)nFkdhq5r$FQ zD+QUhVzU$XDDDQ_BBWz#og=|33!2p(co5|oj%oOnTju@c=NJpkgQU`qpRBNK9kT+w zne8ca56Bbdc=`RBkl8e#npFAVs1km}AcJSL9wo-9tA7hA&8ut7zk*!5tKwWeQS5Sr zKZM0t-j*$vhupd`r?V~@GW@aGbcZ>3fmO5jFI$T}L?jIu#$+foc+Gwd#O<=d{Q4TPYnBA#ba(o2ASnI`uQRQ;;G$k_3 z^Z;M$f*{qgpoFa|627tg5d%s!V6`0}RcV$Jx_f3>;Bau0PBgpWaB$7zE+n)^NF+6~ zSeAd1wXQ1t2u1mu?GY|daAs054o;Bf4I{%S5}_>9dqC#QtQ^_$&q|gc@H9`Pb@;O| z-X^oRZ|p5zuge`Kgf=iDHG7o^O`qfxX+8?Dekw9e90F0^4}3OZ(c?3})=N#=QO$$a z$Q5g?y1yj%lsoj8E_L$_9zhUepu^maM|om~Adtm9;l2ZYm2+*uOu!?c%j!yg0CziF z<~8?D@RIq*f4Ig45p`)W33ST5MyN`&mV2>@O}ori^)to}!TBXoL!s3EK@~9q{?Z~- zAJ!L{f=LUa8+XIz4otdj*FU>Pc&?I^xK$E`no2LnbE7Q{Dbc*780k3@mOi zo{-j57bt`S^{E~6u`&!)E(BwZybn|6YmqYXpu~VOxy5DYDsZykBa^-U^M*FXnDUD1 zS62&h)9i+GpDTdC!1jKj1gx#SJ3v;s5T}{}yu}cgq`St6XykmvjLPm%vJ`OyFGzHG zk&5{dP|Vz73>j2KiE^d#{ZQ4=VI1j4{Ihv#_!vU)%Q=$hjoy!Vr3P9(Y4a=t$oPt* zE+&hl*EURVm27gFq_=JerB8RJpSsNa39O7VsX9eEtaqIvRC8a)pi zJ}_H=CsdYP*a}T9wRA*WWKIQf*DE1#0q(Sp@7Ffl7o zA$dpR=#^!&@h>K=--{BXtxU&^c&M_PrHR6=&!tQ1(hP(aC*q*7f^Ws$WoD)Dvo@w8Y>?HG~{#8O~Ub&Dc9rav}*m>&~JnWcpb7xFVb9+G3*B9U>7oCOAZ&NtJ7vl6l9v zd4lkn_l!XDeL@lOrB5^0Na;nrv@c&318Jb2H4sq(0ul;`ST^3Cu|i>g82ObB%kD8; zxB1L%U{{s`!ZL1XN0c_~O#EZ{F-BmUM6}+#tp36czu4WZr=4(N)%>YLdBI3WT1x5v z0Bn>S)~lK^RNU<}=9tZxj+5@|_X$C#cvcKuL0%CAF}yOj0cCB#;MI(v{b>hs{$agzModx_KC4gJG9CLC76Ubi%2woEK=;8+9~5pP z@$V3ma$*wG60=sCd3GbUs>INmA}*ukjY+qrr)bb~k88MLOy9!H0mzI4#$BlfejE!< z32M`?#7JsiX>6NES~qY~yDDMHOw%t9C^22)oe&5?!xLXq_BwZ$E18d8ad1P3rz*?v z{6dWiqxY2Fje1^vqsFwy?`Q(9TmjZr?gCSTQm5=gv($^2)t+$T$iZaG#+CebgtRK- zY((A03LHGoNU`8zMS?cXB47A_!<1HfkrmLDC2QL~r30(_LgdCGmzY!Nguq3TVhpKh z(bp+O)pI%Ka8=2|=Fe{dD-B%!CS|wz`tK5_oAU!O-wp|&AIH1~zm*5eaC+ap#}1}o znL^kb;iPn98Krlp)J;6Uk?A4{*k8E>Xh+~w0lAlp6_;`;I=_3$I7LSJKw7LJP0oC3 zT57F*DEVe!grw;xWzxoDHTU-z(8Y#Da`tLtP-sC2%NiVD(a=S@(;T* z4iDYuiPRzlGb0TLyF+*&^1Yj|kZNMbPgvJK&c{CdK zi6f`oOV)3&))B~m$xXbi`_2hQ1bWj86LbNK}wYXMy`euex@RL#msk(waJDzrA{zu02%eqpP6!e-(2%w0QOe85#d zR6rcyFQj&m2=4;hK@h-TggZ+4hQQLj@!C?5!5@&P`WDh6^r$}mT zg+An6EQL4ACqLbRPm%Ek7Y!i*xFC#<&(yp+jrd>kFpOgTzN1p|gGdV~Hg6qpnjz>) ziPiy2FLz>GB9($h63%d7!oQ3_Tml1S%37x-^5x%dv6^--(Uc59!lwO(4YpDD&uRD` zvCp8#osi#wED5#5Fy1V9RSfc%6Lt!g71OLX>ls!NhGcY%SN5&O1KpKicG~i-} z8~waH-(QIRNDi%R^_cium!_U^YH~emFaSA|1UW{y{?-&0?=vNUYR21|Jo5nzpQtoA zVKBa`drHSl`aj4Ux>fL<;Y{oG4Tv?w3qYc9GZiKpA{dMSmoG_Ri#?yYVlqX#F$CLL zLAL$DbO6&pEWgVL@`r?nm#kv0>liixKUdU3?nlIU%@Tx)@YO5d`w4~Oy)W)kz;WD| z7enGLQrKnqM1-TqgcMa?eIilSFEnJB$nV1kZKA^0Ms+eafmXi=~Hv4rx zX_dDwZ_i0h!NSXxh{4bu(-T@-+<|UH6JjES*x?OkoG_5DD9@fP;Ypc;lvs@}6x$|p z=a{^c8n#S@%Kp#X-+`SFj1jN!pXSdHhJ(xMQuXBR7B}bP_)AA3Ydi_JgeJ(W!$^2R zp#f=RkgToc-wIj?RZ0*v`9{)+L#Jpd_|_`vh-)#Qdkj^t!}l3#0!?eO&G-g1KsI~suY#*~ynOM$G#C%mha))9F79b7M z7r&pbV({qd%&Zt|n|~7>{XQ$>XpaP$nWKj!9a27@Q!je4Uv&O`z`8p`L>Y?T3W67i zn1$=yiue)snJ9=OHb4eOzOsVp4nZ?(HAz%!tfBPE4fBnjL*nG~h)~vE{{X*bTNJv= zpkUTIHqWzP5XE`Fl;+jm5CIj+$a3(hH&BCWZQfKJVWmgb#Sph^a8fW%;o%5Jj1eNV zAgH!iFP${~>kJy4`Tk%!!JO7bI8m!rQC{En$eo6aKH>1VHaR?V1Oas>%ux9HBLj7gjzWsF%jP+^S=j z6v_|R7k#bvd(9(9vLo6+~o?Wz(2Dz_C>q7PW304|>_2NQM)dnv?7%~S zYdsnB{eV=^eh80JJ*EDWwAhu$H{cqO(m4!(Eabs)GJX)%o0fQd45)ON9w}O=vxsv_ zlTx|%{mNJ?K00!X6*c22{wSH^$Nc+~<|Q7I$)2aRKs!HAaDc;+EV#SE^!@N?u~YSd z2>#X@os>WYfL%{$eF*3|%p&8s@bsA`Xuq*7QT_Pzng=#+aB63q0Gf>c^MhGUeV|Ym zuaf=B0`YTF7^)5fpfOR6=4+$bhtc0?vN}Sb+g}L41ykgGLn>xqP2&(hVgV1>mLxVK zmIFbo-J@PR$Cb0aHZj30GQw2K zg>!z_?>HTf{bn5|vnUW*Ow3R4>;6;bky!y_oqEgiasB#XU;kH)_UXe@$vEDrlNlMjcykn(-gHm>*^>b zya~BS0D__ca}YlyW-gxb03&25#1(!>=N^UqY{GOHS31IAN=oXC)`U`d7vv}0y>6KK z9{d}`tV@m6SG~t%lzqd?PS4~c3b6P-p}fRg=0_Q8j;A>ZQ;{_&gLI{dez#ti@eY_( z_Itrrj1MoE)wO?OOGUAT$gN(u_KYpJP3?oAN>(wF6;%$){D|f(`^G`j9lqu1aA(CY zM*wRyB@fmThVs$mpAe!L!{n~;Ygn6j%Q6(qqA|);1OP8aUfxkKM!9(!^wK4>G<=a2 z#ngo#h|}sFzq5GD624H;E{5#W86kmC;K0&(MurgXdKJ!PoqJ26m>MAeSc%k-LK!Z2>I2(AUG z6fntsgZlHyaZUV^=2Z>kGK ztqEn#rRh@`geQb1oW2>ouJO;Dzj;Vewmn_tC=P!!1J}G3`V!-rvJM3s&3uv4{{X}Vny+>R=613M0E}4TKx~%H?jaHTPVp8eiw0x*-bn!Y1K_xV zA?n&78Vl__iI-1Us)!2gk+KR+Yr<5flyeD^96f#qyz2tJ;T}qUzN4!n#`(+Sae)-M zltKMaL!7p5Iq&zD@9z=A9=xn&*+5z)fpbEU1S<}PbvNZ7Qy68`cNGxLNALL&hyFqy zrjNrI%$4-0YAS=SV4#{)K~m354g}R$YL{4DW}>z^M8O^__K~I0QfH-wz^$x*eafUW z38@W;Xo(kTX`k@_0FX~oF#ggqw@o9HP#yI5ofL|kOwIlcC~W%89|>iM8e>G+xc9n4 zEjdL}%$O!s;l`?d38MjTZNF<8_iTH^hewSnj)(FJ?jMPI7(U0mH4)QEmZ~lSD)Nf+ zDjrAFReYFA^pr00k7x_br{H02483KZBw|0Y6dhs(%N9c0TV;(UV@fGc^`&U9p=O z$H^_bQRi%;ca>u;Y4!Yz5#tnxHz zmTNPtqI%!7Cgxo+ONOfpt`{yal?`J(=?UOpF(M9dovZl%KCw*OsOwUS!-l7wG><4V zM@5*44UN`x_5J$bqUi=u)HczVIY&p<5d^=kUQrbeY$k-TPH@rwyPV?P`dnaiZ|VG- z701LmLqgyIo&x7H5-y+1f z^?ir|SSwE$wkEU|#da^$vf2;1aVyDJ+3hQ8+`h!UcnrbP3gC3nGRIu}O6RmDnHCy2 zG3gZcfZG+LR{i1E z{`NUqho_J3(%PE19-+5o?F{0meG!4>Pv^8vOsM>lo69;AR_3J8ngk^nVf&m=uoeQU z8#%-q%4!&Av>{Zcsd_ra3OSbl0KZ}qMSXBsW@Z()1k9#9SWA~OBd;8ce7&OvbS;RO zuY(5h*5bw!+e7P2N#XGg0~1oN^SGeap7lMX+JfN4Y%8r#5HrX_1+53SM3o?uiA94`uBjAFoIDQV&Mi5 zlzPM}m^f<}E~F2W!4ybGp&568zOV&Gbkj(5F|Q5WBf%m#q<_k!>OyAaHb)A_SM~gt z>4`46i&CxOFyM5p#EG;PH7h&7L-a|MK;tcX%qPk8$^%rPEX+s3BUrD-j3w+!J`Z*cBz&fK7}|8B@-eWANY*Da$`QM) zy5ex?5fu>tUK81cdIjUI{{Xj!oDEk29D}q#4KFn21o@X#+FGHOD#bB!;jpa;OZ|7= z9C3##pbE48ouMGu`2Iv{iB=olKQJq0sPj!x6fM4xD!+@@;tB^SXv&l-UE|g!HK|^t z+-lua=(4=H8HkZezQ5ZUbu!Alt!h(bJN3zWRD zuJJXRv#l5*Y8=R)WcF6kKd{k?*$_yXumR^)mw>+A3@$O5|?J8IuHby$0%y3 zw|AX5I;2rgK`lqe`gVEy~QC`t{uZjP@l80j;7U-gmoCV!#vG}A)M8Wl_O z{lX_gI&96jXv>RYG>gS~cDZ#CENU>uZd2mQ`Eg|slR2>Tg4?Vs%rEEcKmhZDTqOJN#@xZlOguH3iEHYlI=U)FsDW(Ksa+T6(J!PK<}Uw7RbFMBY^a z04SFW9;`~0mMYq{#o?#Rah2S^ZeOkyS%WNjh&akeRn>ZB?=5_YYQmQNu?P`lMNMlf zTHuzc5U`I?&)|g?150WaF~5cTfH@M>uvD2<`lGd|usD{pD$<&l%l6PCaeCuw=?wyC zLr8#5mj;?0u56T8qOezDS#6fPtQDjVsB0aIj2mh0kq?R5IU`Bkq6HpRcb6bw@||bG zP_?;4QPyhRq8c~nTljVN=^OMNyeCxOH9!@1ACtV*_eZ@$H(PEr+%Xb~4S8jjz8SbQ z;9XzrM$-T(Nv-EtlQA-)u^|Yoj9rxw*>zodV-P7fKanPuWMyz+uNVC^Lzx)cuhb*D zH1v;+_p$WPU3|lCYPj%*Vq(v5L_P!}&{Giy3jOgH@_xh~lm>J~rh6C_V+x~{e}1`r zT;G`0@W-nHQv?CRroJK&F|Vq3jK}r~if&LhD73kz%numuf6S=<{fTO%$LbxHKeEPiIE7`+egwvAziuqvZ0$6(*N96USAg@6Wzd1mH+J%`R@n@T_g zwg!{0+;#9XN5BX!DvXZlNE21_;SC9?12~6ww{l%92i~JN)XGc8?F`v~&E*@WJHG<4 z6~i%IA~r(YgvZzS?@3rZr9KqMW>Vq81+Q4GQCm!Y@cq9nkyIO+lNq$RqZ0%CI{yHl zd_kep;^m{%_lP+ud;Q8oS%|5{b5FtsI8=$E{{U|cuC$(Q7Ok)M@B0BKA~1kdHF$M- zK@VOQW`5i1H<-bm4d76x?S5fW%D=fn3$tE>5sUT+0%o9&&Gq4f5D11gsGb|*;iWSu zP*doiaIKHh#_WaVCvQMLLDiA%0ucx}X{V0<_&fj!X}p96%or!->+>U~dU zL4-7vS~|a!Z$2!OXeh;wf2cuMj0+BAYbu?p|-c?IQ{Fh9P2Ct&Qf$mM>~y`#!+}w#@FMh{L3$9 zPlhR#!52$F`imT$(k7h{GNVGh3V`AgFyfxlZ_5@jsh{ZqB5jXY0Q$zL)R!{LUU{$k z`hZZaCr+*)vp{|#w{w*$a+zFYRR{JL8ZMUX{`|$7XhJi{FlP8Zk|7gF7iI_FPS9Ae ztTbs3WY!HOffA#W3y7G9Vwk~h&#VB12uZBE+Ne}4YJH=Gm@+`#}y zm_G=Y#$#Aw)w{3ny*=@ws}O>7gN$>)he@man?9rjUfqZ(=YAo$hur+`a|IVNsql=d zXsJ5ELPR9h2?ZUy{r&YltXg5o6^VDjlv^`>AUEgy-}gCN58KV=n;L_h566F~dK%A0 zBp8paz!CdNk`NvPGcA>3w}v+5?n;7n`j=W4q_f8f^A?ugulz)z<=S#e;I?T{34CB7 zxxOl+EnY@^Pq38-D)`{UW`rU&uH+|g{r=D1Ft2%K8(+*U2o|_#sqKlafn>d|zaPkJ zH)rk}@gcjGV^eVF<9LBmm2p~J#~ajPXIN2nio-gRn;{8SkO8Q*@&5pPVf6SIhPb?+ zF#$a9l0u&AKX8XL?q3M*qgBI&d77mxmdKVC_3lh#xG=RbX=u0|2E)_$?F&%CtYKJm zmj^VV5Q~!rLt(A`nU@PbE)Me&8jxWd5L`BX=3*Kz%xG?Y?;K(^f;Q@5NJddaj5s6n z#yyha^kO4neW!t3C=QX>z`--=Oy5aTK?@LoZ&trmc9ztr!BXvTbd_?bsY#O&(m&-> z^&lwnBU`x#t{5a`aqK{;zI|D#24R-aK;Mbp0C*FTfwWyg+H+KU{dR-Xh%$=8Ju&)n z_b^PmGR~j-{EQ(teqokq&v6YFpU>>e>2&Lh`GKW|^Y7XkU$m7ZuwpDcAvEDUc@>|O zxCY&^5N7hA?2oxN$UYQGQY*|cP`W|@!&xPX=PyGrN#g6;@Avj!czerf1{;GLlqvfJ zYP+Do9ZE-&Mpi)XA;Ez zYb^M^<$}LlsJr~j+ppp=`K9=`$=CNuULs%@{4NOU-{T(<&wF4Rfqo)V=Gp^HN>J7+ zhEy9$2Wi_7nsi`Lz^mbV%S&a&2S~Cul%V}l==n@E#W2dnD_5C_+dbt(#z`sWgcJ_H z0ojM6R}Dua>Rr^kQY#uPGSUdn1j7VTv*iVcSUyt<)Aq-Ck=p*|4AYg#&Il=k{!JkRU7$>D$HSLc z!oRRUQ7C3SCX7b7V2)B*=bUoGtjb@bGBvL6)TrsF++q$3OS5zNjjFs54W=T{*oh+s zo?mzSWXN;B?-&fx4n8uHUq3OF4Yl=^wX3gi`iTQSVJt$S@`x_rpy>k3A$|kooXo_? zxv|%QF}+=VKYr1dn1X2)6PMH)KeUyDtYaXEhN{nU7*&=b`}f46?odwBf_`2ixYO>) zvv|wa;~AiyzlhWxa*G#8g{2K;0AmQ2Cf}KrCNmZg)+R<5_q+h^PjXhBA{YgF!w7FF zNwhPo8CNN4881FQd6yj){6i9lb4nndY50igA3DeMv3urV{eI?>Q)G&ZnlRzO3s#x< ziybD@v=MqoNOG)5H%bFk!X!j?TU0GF4J1k;r#jB=Y5^Vw#Qr8cH(98vKV!FUePj{z{eIYkqh5OLIj1Z|Vsc=wiK!|+3y()v zx)srVuEP2t61ozH+C9EP?wU-te2Bvdg)r1wOElb^J?nVQL*yII)_S2R^YV(rstEsqD|BON@>#NMcOu$ zU*GTAv!1^!AOt(xN+XxtAR`c>A~zQUeY`(+l+vQh&ec;2IZPfGk1>OFntjjYbQ!#P zSKJ1MPt*#sRf5wU;@)c}q!MVn>S0}T+9eTtwQl`o#G~^70%)YLfB>3IWMM+ua7Qu0_Xc@{1U;9unC3Gey=_v8iCpp`BU3 z1h^tdwZEJAj|P#jBQKNjm|#C?1-J_!aGYgMFaf9BQgnivH;CN><(jH5gm;L8Xe*-; zc*Zzdpd-TP9$<34wNkQfc=ZGG9IV{`i{i zlPP6@ae06(f$JNC`@g8>XeaMzZqD&Bl?X$1nh`3H3p+B`O(RsMmV8A}Mw_Z3>TO5Z zj-p~=OuNd-Q5lHSDWgc&7%Lp=y7h=xPv)NSMS}}89}#FO6|Ox3BAr-E#y?n>9*4#8Sq_i;2R#msFMo^tAu`eL3 z{6A6X<^KRC@NhzU=7SMyFRom+$Jcl;xqXo3>dV1mrPb-{kKd`-H2Q%9)>0FfY2j~T zCQa|O#0bc54z%m>uXrUm=o3-T&u~5^aLxM0>9cyaG8#g3UiCB-YY4qsr~5*V_^30 zF^(35=^sBGWic%8IIgSYX1Ny)Fv!*QtSPx5RGmA!Z4cB_X^*) z4W#!IHCX&Ztd5r$=Lz0DOzkYgv}hpFH3Y}OV)de=l|vod&J!8lbA(VzKe22x?GDVW zdSN@nw|>C|vI9a8Yl30=vXzV{@(n1`zMw=v>xa4gmnOXfV&&Ef zJP}|Mp@@T(SDm@(7xOpINS7R&@u9tC50X}P7upwpcl(;rsQ@rih?Ul8A;TOD(4VqT z0lUVp)&%m6X3d{4(6@h>MTvp*hy#>vq)BDN0@lS12JlXM!sEk;clUt3ApPkADXWhp zVJ2(*k3uF90T{Oc!ZPweogwoHW(&uUhGh|=&#!1#HK>Yh0aKhIQOv=-AUN2D944&O;f4sWtOB>3$GqTqGR~QabrFRsmbkAn zQEy0+8r;eX8iZWW%ot{jSxh;XQ^5kIaDULINI9aJjx~r4y=K)qz!b*I5i=2CEENcA z5XBraD0PkPRresAu`G$x`z_qCU1h5*hg?#cxby|fuOE4Q3vYk`Lb?))0ddox_H9?; z%z42gm@vR7D6+y67>mb9R@pNP{{UE&%jhi7T{ZszkV-CVQn}~eBFcn)gpR8fD}%Ho z9YDC%gg~`tmoMM6QfO*;MZ`504>U3u4g7YM;L}f7+<8ms@lx4E`C#f9io_sol|p4q zdmeuTx}I{?n2Od9@0nM|p5hz8BDVhkerF=TvgSI(U}aMA)6y}`?yIGG!RSK_$~5Qd zZ6rP#e`4}rUwf8wxOagf*oPu$M*$)v6$Y!bFK;WF!7qb0`a=^dpFL(- zB~TO~3REHmR=(P2r}70;_w8j=5HH4x(OdbBo#v7M0MQn5KBAf$&7jH!;G@CO1Qq$( zE4o&WlPG?u0TWcopCV}-(N}*MPY7nxbY9C1PhrXhgcs~VU(nA7WI~u9R(PRQ_>wl;FLHepV1VBV(qY? zE2)^x)5mu{cLAaYtWFw^9BB7ZymyiN!9z zFg=er3S^G4Trm4WvjKIFiskm|j!)mdUG?yk^S2+rc8GO>qQ)zY0cC%EqoSyE@q0?Z z8{Zvc!eTa%!4{cNT(~UfA+#dHcTzvZfkU)D5}=DpP!mu@<}KF%<+ifJRZMt6?QzLL zWD%{zV-o8h<5VM_EKmJdDm8!RjurkZJRLY1#h5s{%UnYo2K*ZkaR!(b7ie{qVT(N? zw}{PJhAfXsdLy?2Q&DpVWW44sDx#xOfFsHX0S6OS<|ZObGcgK|!+5Hc3=zz{;$Ykz zrLZe9@H0WoM24_kN?k)pF)R$`RvAI5lNQU4V1yP5kZB>7@U_AnJCgtc6fspvUma7W6>zerG+XxYK9`jP^elLZO%}7Ag!oj zTolUB0w3XL{c4Z?)&BskkWEsBm0#kxEpZKC)M?KI%&f{?OU$XF4M4oIt%*}6E$Ifa z4Ux(%RIIUUFl^j&Xf0sT65{ejZ6(ykNWfAIRE?lvfm||NdGH~UB`#hjiQO;P^<>#Xp^ulBPC5OD>U4RbPMW~Eh;Ya9$CV{q#Nr6&=4nZG%&IO`7ZRw(_JIj$18Gik zCfKzERw6Q$X9CPhB@nEk&QqiYXmGd@;LSK1!~8RNya$5_=3J<2E@Gm8fZ?nCYL6HE z4e)=>f9$me_yz|#XZag~rcMJ4yTo>zFnPwHX5$SRkOB=uK%9uVfXdahyJA4%qRYgr z%c5r34b4m`fvjyxgP5y?N;RGk*&NhRLA*EcRzvm)R#WZDU6$4G$U zSuL8d#H`|5GO)QrGf{DfuyZeh#2nl|&in!5>;C|P@L-${6o2Syl^IgFoLN$%#Xt2+ zfm~nmTH%z{HHNaQF>X14sd<3~M8vAJ9O0JWTbPFpXpbj_SrFD49u;veWt3EUOU*>1 z5{Ns;MiWSsLB&c!Q#39(E?TAnJmv&;m3SsJOT@SvjhsZ@CE8OuOtCT`b>I^*UBOdQ zE#N~*Scy=Z{{WYUjyfi?xK|3t8sV5QGb-5dKgO@}M_vp+!Ty+O8GroME}@vYWx*j) zE+Q@}A-4lDDVbr8G>LFP&k>Bwvj=&-Ko+GYA~%Q;!3;~4iBYtIqf=N6a`764jiaylzxKob0Es0^ zl@tE}j_Ml0+Eis;Vi=+d#iCwi=Hg~mN~R_wv@kI!xl}GYIPvw3;D^ zH^WB)FZijv(ZylH^ZpVBCO8N9+x%_8{{UK}{{YK>`VacLoTiCf65*s9{{RU$gMloX zGYGYr67LaW!AS=f9WEQgBw#L41!J_zF~h)yI3~CV+5izX;B(~U~uzXmKEO}Jh-H-@lHxc>nE!~iJ~0RRF50RjaD z0RaI4000000RRypF+mVfVR5m+@PUz`(cvKRKrsK>00;pB0RcY{{{VH+mzi?q!RSlt zM=|L1A^z^Av0i0#4D@E8!76DPQ4jvsgnACEhd}G3TaWu$eO4WHnsi*aija$o)tZ~) z2Scdhxb%0>>x5p01%I*~2mG-J7>WeAE+nW4eHiph{{Zc9=(+^K6l4BJqSU#45(Ht? zi|9qfY)WGn{{T`ceFO+^{T__kUqW016Z~C946tSNVVLw{!VVo?j2z!KMMTXqj$H}V z-&RuyYo)=LFQPF+sKTRN2SHSN7?&8c)sOmI%an-!0AxCwm9C5E^r@fl^|^f#2U~%O zQlT2by}=*xXGRUme}krakb?_#-*51y`VzuiRTxlLrF7IA>2((6su-09BoHZZT*6)? zI)yJNZfiG>M=^@(G>oZIp~S4jn(KAdq&kr333VOI=&z~keMSQM{8f*?qSDG%}xu?{e#f5(+>R#pJ%D*-5%DBQTp zd8J!%US=J|xqq~JU7Y>nj$)Q9 zYAE98dDbJqyu~?;$u{mU%zM+uo;>j-4aK&@yF6**N=19hoWPvVG{c$amUQFHr0Heo zt%4oO@Rb>QE0<3aJqnjn7(}o2j*Bi=MRce|rMj1wmX#`0sZbVLURqjORH;&>F|@9V ziPXvG3tT< zmY0_3xpL*pmo8kna>qr>mo8kna^?R3h5rB-E?+_DxU%}(xqVBQ1QPP`=`GQ2ARPmt zS^=mArL|GM;>9qeuw$ipXf|MDt$4oENX4+~E-be~-3(e>xI_NDAd8n#>ItO3@(N2O z#g{H$LX#5u5X%1m2Uq_9fc3d>WB&lg<@I_G{$c+B!C(0f9WXlYrT+knkNyUcxoDV- z7W$>IfAG|MgC3_>{{Vsi0ECZI{{WK9m;V5*{{X}=w-BP{4Rs^_g8u;UG?gC2SeAwx z{{RR6F&>oztNz3MU;h9wB0!pidf)#57peaMss8}PLu7znZvOz((rr?X<#|F)-{AiM z#Bj5S%IYn#m7s6HxH%1@bW&V6gW#F>5G<6zDJfoH+JQ$CFx|e;&SeCn5jY1%?9>si zfKBaY3!)mjpv$M;G8~YuW=fXb2#uLUqhr1z5>YlLMeCu}9RfpR5RMJSl+t856~uR} zAJ6<4Z-5d|u^--)Y3sqLi`k#F)P}!A-u&k|V<)KY~7Okv6+0{&{? zp{(D$T3-yodK+EOUl0y$c30bh|1nJ~7E@xk7n;n53h(*hfGww;7 z(amNxhlI8GdoVSze(|&!qw&AIE`$sTksKh|UUPNzERM~LKZpgUS@DG+=haL!FSJ~7 z3uDYo8OsT0vHZ?Oo|%N>k7$9@2l8g3JYz3WT6@a`_^H}ke-f6RzpH_FY#^?skEW}{ zBRpP{Ik({v3h^o~S=nKP7Y+p?FbITe#1u)}pX_`wPguoIu^TAd0#r)#22{gvO=O%i z#DL~dRk zU8R0`C6h3yUWtfC6D%OSBC~h225fy}$F!qi48e&_t;g*WwQ|zAxsGXzsXxrxgNaD8 zI+Gk)CUNfkMS(TiUWpop{{YUX#w{i6c=V~C^M9w&B?sV$KCj%njD%*WNP9o1@!@+^ zt4;p^CDi6`i$5@CZ-Am{6rME{_6%&-9nq2{lbB~KQ>0ORRp;BFxK%( zz{eL%`dTz6RQk?VxAUIW5Xn$MD&Yc}$LWd_z5Om~UJvXti*9@e5SH+XnrxYv zn?@(Y+H-;Gmm$dXnc`hnA3lVDKD=Pphw2!7u+qE7xh*}h(z%M7z^IYFkY#I7J)iCk z;3E?|K%1!0lp11fmzGc~N$WAV+4yB6u};sl%s`_6Dwr1r5^Sfux{on3CI)I_ih+t# zH4$UOES@LigUK=#-T=HKu*X3-nhl~mGh2oPi4})=z z__@H8UCvm_ui2Q`@%voPtQ!NE!JjS|Fyi=$0z8;iho7Vhqd(Z~#{EfCYF^dYNv8wQvtVcBlXzAen0F($$CP^$NV0ikhFZ_Gw^B|+vYAYO(oR{tU5}M zIFs&Ba7;o748BhDI8|krVcqndv~l+dZtx?oJ?Ss?m?0&g+(BUmZlBaD<-d8wq|ecm zyLctHfw{0YPugMGn%P41=Rt_UE zgJDoyT*)N1vh{oWMTnLBCPyxPP}z)yjI$^%zLD4*BsUXhykWj&88WkE5f7-JoQfA4 zONdVbGW1pSFJ>w#)y%u+Ow2bEf-E{!6$K$o#`wnQCF;%b$>uVwVB6?~H3fu<11`sM z;)gec3@WA9__qH54@KG#&0Hb+e+<*nKM(e2G zv0m{TDlxolR0)*1W%yRlxpB>F9+3;#{fuD6zK}NR%8dz93Q`*@0JVcPpV%Os&4<}N zt|W(ICB*MAw-}y-at212HSIC16cuj(v0Jhc9FauB-Vm-_ej5EjXM{82BJ$9+ z?=+uD>Wo<0g3}Ov2$F#!U2CX6%)A}4$JfNFKf!JS{ zjjS}t?a&CAC&R*2yv-10(7yCk;s-E}`Kj54zcRSHd(XptC*`o+`s0Lj2-5vi>kvm) zzIVsc2Ue{0uShd7(I(ilZ~|q)&#s|TIlRQG@PA^Dk8r4{Wv-jD;XCF2;-+Z+7CJuy z0M^;IqH%gOcN_??ZenhgYSZ)ZM@fx>5QN^UEi*UsnSExj)Pzyd;KBnLX-c?i_#>w* zWP@6E^?y*0gZC(H*)bEAWg(fWDpp_#gs9Od->DbqCKrTZDwZ&?dozjO+Ei<_2ucUS z6xJy3%A8G;xu{{~sKPgJAeEJLf{dymgl7iGIa3LWOD>X`j1npksD(mC9mIs8+7VSI zNXLB_w^9Duyom-K&VGWa1Z$8fAYG)mPf${-9i3xnmthXZ)+ild0~sKE0g zj-XTJ1_(2b(EZpg!ey6Jnex3TICjMQb?FWHtTkBlLMtg*?t5Gz9IN?;E7d=8U{;{8 z#}ciUyD48s(=vt4{AN(#J)?h?pw=-%5f*nN6ljG(Pf|xR_k_^oXNMjgZL145JAd?+V%B?3q;86M6I;VF?SB ziD#Njs%D_29r^QY5c}-FiY8CVh~O6CEO&Z9VeZ79CirPEWd_|pso$7?H7IAF(k(6h zBU>@uogjn4G7kQwO1X-HY&ZV^V`IEfcBs-pFhMV6K`liywEQC(x_hzdJZ=aV6apy1 zGRH440tw)w?=6=V_+{Gr+-syIk?h6JAeQ-X5EFIjQFSy*CG#?qwN3(5vfK#oXt2sj z12BkkFSO95#WWQy=|XoA+bAS?M#Vhgfq0j^&MFigiGm{u(eE0ikVChu*oZ$6yu1ad zFiRlnZ8CT%rGaSR|Z%QTMAsDSn&juy#- zg>Ti@Msk0+gs$^Pt-|Xh^&XWKzXWxuS&ZluCIJSawYB|(h1>3s026G_PUiZW*W1=$ zW>+-ehMabvlQ2N*~+~l#pxp4|$ z0uaKoq*~P$b}#Vac`5zI7MXvr9m?S@Qpg%joeT%<&YNj*!%y#;*u^M3le4wH3Jz-$D$Kiqk<*8y66SQ~ejK%6)6GjDa z$vGU~tfsJCejufz!=zwbK&hb_Xj%J3{U_7!d5OEIhKS%W0}`o-v%C-jV2o_KxLY_O zTBegT7NQ7`YL<7cn3ofT)OI2%782@W+*S;rx%5l{9BLYv!!DVoa}8EtK2d`akSOqo zD@J}43S!LlW6VFpsIE?~2L~xoNxS<*wb?6_%|lY^Xz4hWTlrT4`(`5X(a)!nhj_G)$rR zqIhFjQsCNNr9e0Oj(!99hAlU*%ygBQ6CGEqcnpX$Fv=`knR5JtEo#a5ND%W$i${2= zF5sL-=@=~XW?8#OF!)&^pM+Wyd@I4{|bj>`6xA1AEtj2j$81HAH* zHW+h;pzO;9cN^GK`ABqE635SWV#=sY9Ka|^vU(W6cYDOTy7plT-9ied2ul>AuMb$G zjQ*+#k2r{Qou#H}7NpWSH7#ghF7AS$hRK0Fzi4j^M{k=^IIMh^ zr{#>lVd)Jn0IDK^(G*LRGB?M()pz$|oE&`_Onb^IrR7_WSQSpp#uc^%Uk^eC923X` z(pm%xuM;qKm<)MK2Y}A}Puyp5`kz>q%-+S#+>$E0yGo)OXr zUj^+h?)_VY224MSg$_3vtQ#YWigU75{9M1jEPnR}+ju5jF>?yLkuAp&qA+CzutoE= ze!b@dFW7s)F`Oc`MT|EFlHzH?q$+15VxzdIoXfQV zTYO?d8^63GouCyqpMf#+`hfoch}T1-ALj`}1Zi{+-Koe@ep;QNqHmnd9W+631b}Vx z5NOxRzgX95TKyVF1b0Y^(VK<>I;*_cmnTX2uw~L7arTRsm;S;7mSL|gpO(S5KuzNa z$CJ!*wjB9WDcw;jrEG$N!tW5vB?W!IuW85!+Q=RnKeE)+dAv^AMQ0Oe6~wiSOQ#3n zAkR`!L5d)da}P+`Q6yA^?fRA~@b{J&;~%K9HeyohI)*nfa=MOBS!h$?8Vyt;@PARk z;n8=wP{+mqt|jG5fOqu7rD-h>d7{CanOO-OCM6)WuZ$QE51|BuoId2ldqGS9Ntm0s zYF~^&YsvYH1SM5~c~DpziY{o(+K!3ZIZ24iJR==158NP;fWvTOH^FXY=6K!2(9Cg; z=Di$284B7f^XJ-SFdW5$!=tJn;8mr^w?aJuQJCm{^rxhJtY~;$kT$)Ekg>#T>nxJ7 zEy;wXe9cQHt;NhqD~RevpQOmSL3qKim`Y_2-ROaFd|8D&N5ASQ&cY9}!S~pB>0&ET zQZSV`eIrkHgX@@pai{J;$nhTta*H<@Y-Fyl_Z0@$*5#dsI zfJb%#z!Y{PZE?UH!c!a*BhC_ciiA~j`@}>I4d2<=T~$z?sgqcHM2exkJH-P@kHo0B z39<2s8hZ7j4Ula7#lj);Ou)L1)Os#RcZp7|!=%{H&6_6dVC?>)f?+k|Pxb&KL*V*D z_t<(&Yck0AOb3Jc9hJ6z7F^!c{ZKbSCGeZYo*;4Z^r+N~>*`p=BpGfzr+ECBzj%~| z159~H3PlWa60UB&NV!NKVGqqTOkb?hrEFtqjg&(sNCh_eSo2&I5#@Iw)1PHW4B4lDMh}cKR z(o)_&k@3Y;Z_t$?<^;hFN&u)pSHGag9v|ERxtC08?Ftv0={4v|le06tQqXq&M=VVv zRNR^(EhP=diohc=FA2Ax=&nd?QvihF?;p?}k@y%g@#P$Ta^VyF$8qb0;<75&6yMV^ z=c(?$Xm$b;_KxAl{7)210(``^t)|Sa$i`gECsEKOPMYQA3!l8%HcYFP_L#J*Z_JmI zRVscDq+flOw?-C-xasjBifW(SYfPv=6$HVx{Saa>I3t-yyN@U~OZAQWq``aFf+DKv`ECSG2olSfl}PLn)J~H)HXcY|TG8t;hiBw~VlyPM zR7!M4uH;QV9;_fSm>6eb8yC1Rm6V&M?;U>Ft6^IAfF8^11%ZN76tL7Zghj=Y6_|$O z*l`lUwDq}VJ)tm}78rEHpQTr zP3D9dX16Ft8#-xDS-#vAf)Ya-`AyQ2R}JT5~cLlH67nthUpl%a%=v^ z)>XfwG`k6Jk7$a)j3dLO9M2g?tZ}aX@v9-F(`z_|ag<154ano6vVLq!MGJ?#=k*31 zwtSRe1=|s$otVD+EuU3p8i+^Ds+KE(KI>1c4&YbY3>PG77zH;nmN1Afm*h#5JRf|- zmabcm$z_`H^kp9NI%2h9xXL1{uh92|e=lJ?FbQ5#s#0A|vlimEm_qI+tUzBcM2fq~ znu46M7~%yrfc&;8Zh%PzcuH#U!U{QP$DHtq0@G1ZHE?*8cOi4c7f2jBbZzB46(Pah z2EN3(b{6VlqG=iW5408E%}0trjPDo$#3H9Na$4s3SyHQ5g&UYFp_Z$RuLuS-CNZfN zQsFf<{{T852ybb-rjD7yRE8jau`5@BDR0&ovzuoyBIZ=Mv5bGfwGBB$cKymwb6;k7 zoH|349U}-XMussD%tH$N#70cp>A?jOk!DcTAiJdJq~$}8#vJAoIOTn&{44zCAT{$a zUzYky1>%f)vn+6~)58-2!xTPZKiENcYwMYN6-*|u!&Xwm3i+x2MXdw&!sW L>+ zc`2CkMqUAJ2q@t77+J&iS?EsEAp@Tk4JA_Q9Ek9OSpX}sEkif?MJvn|Av7XT%gsh6 zCO$3vgtQ5XNv=p^y?aDM!ZM)_(F~q(f`lhAOf%1^1BL$pCD3qsO0>s@ClJ|!g~z!r zDlr&u&v=Vp5uhV7nX@8Tc@O6@sJ`;Fw&2=#iY~`dIfc^24lW|2Q0J_I{;Me3rep(n`ecVQ ze?X{W@Jxxz(P6?;86qISK5nH~hToyuI_Xf4cxo0Gs45dVkDC=ZmvQqdm^ECf?t4my zVx@jV9iNNU62h|%$IFVb+u9t~DE-(k%wzR93`zznDGi7UR$p<8I2o0Z;~yxwQ#*Rp zb~J>JlT=l414CH!f)f;uQP6roPckebyd$Y)Mg|O5z{I~i4_FILCH=J$0kHIphqQ5x z=5ACS&?%=r)dvhfPV&Qh6FcQ7Q@h@6l(c2+pu4_)B0-DxgG;!U`D|ky8B&HEL2B(4 zxwqalozST`_Kd7t(JxeDysvqIh1J?I_{xS^Vpb!=*@oOrf-#qpMWxO0zDp(_}gMfW-bY3XpubkF!uM6dEHB~)eXu)BIn@us8s1hKJl z_0}CVS*TZdEQYW9Do)9IdnFPUYrOE7!;YJ#EAwTHVpIV5zf+avuf3kJ;fNjV!JoyL zILft&X)9;X?LL5i5y9tuE&71<5$^#g+Yo4l(qP3xz&vArXZsRY1;(p_<-x?S@PDv{ z<17=PRk>_bW5;AJi`!Q9(~PFqczISwfD{@s*jY z^&=Rpr=$mgC*^`5%}AkRwq+V>=4&V5;j;)jg;O2*vvhmPRm7z5zuZOY8gnJ%HBDxM z8ZcVa!vhJ529gSI2+_of&WNqcglH1r@q~t5Ej3xlfeuurRh=H8{{S~^9IfE`+&4ng z^O)X6(WYLy!fZtr<`_!8VpLL6-3Y+k%8b%YLN|9JVhy6h7u<_D)>%Io+gh56_h<=n z81TEl!&dAcsQk*j8|fJ%Yo9au2BQEs;+5?RDxeUs8L8fuD+CQTeZ_=f+kbhGXk4(> z64B)Km=l6gM`-eurNKxSY}5~Kn2(Qj?E;5C!dTR8dIW`634m%hJ}@%kna{WGBs;VB zh+-R4q^QHny~t*Df3b)exILeEfVxQRj27o|`y;0kxXG2(rT2&DUGNWE@m|I3VB2l;<7t|&JAS|diceFh(t|#Gh;RW8L$2M<{1|3Ae<}e zsrZQg-f^vT4 z*Nl5339=rLg%ub_IcN=hYF8fn>h%C&y ztY#`X=qwQdsD!XpaV~d8v%+KK$9`yl6PH7wJHn@P_c^LolpzRqoT9%zZIoTlgf}f0 zm?K`qNajc08ZlxjEOau#2M#68p#}NTTX-Iw1(+)_#C+x;?vtKKMHS$#q!!wTNuGMnHS zZ=5#!LZPz42t%2iAPKdJ4aHUVj^MUZ4-(zQ5kC=dWv+>EIQ~py-=MKo76by*kL+XS z<}~6p>;0Ju;#HfZjm(=cZ#|?LA{srD{vFt%BZtrGmMlbC5v9R8-#Kv*8u_vuwzZ zS(dSSjurX2f=efh>kbeqWC$t{%1|1X6NpoL%zT5S*qM>cz+71LFTDVtC}OFs`pR2- z!ujYObpHUksR&B~{?Gk~1_$uRyt2KJ(@UbT>S>ojA+yxNSpLMuz2ms*@ze##0?15J zo5QkF*FQEKpn%xvn*b{7!AH_kJ_yTv7;RqfTGlN}Ebxk#hwfdj_4HrJn7gs?rcho9 ze0qkR3y5t8hq)84r_v?3%WwyJ%w=CQ0b^{ZJV|RKc2uYEJwN0^HA&<_7eUNLC6LSt zj4=nsy`yLyzdM4;XZ1{eD+I>l%`{_1Xm|aPmu>b)8kuS^D|HJ$NW!~ALxGocRV|0_ zGTv2<=$8q-WnjV zn~c^QWc;a%gE^TXy#A&&wEZtLiODJS-$*|DFKEXv0Um1Mj5y+-NR-ma=KQ%)Hsi@I zI`Eja+9)nKV#H`Te+|cQz`vM+Mh9dI<%8=EY^B!mH;K5t6XTjmQthz&0xX@0!{+7% zFjTUHVR9g+=Mjza$E>1$YQ3TW9Qs__u{mIytA>xjoDlCe=pAo24y4eAp`snJ(-++LhNh#n8w@0A^msxti z*>?PXqY>cfZsL|{f>}hz-1U_;DYBAb!yFM-F>iFM!pP%fUv?~_a~H$6_K#^|nmm>F z{6}5+$7jKp1@6OkBPp7|u`Po5L=Eu%3-^`N3f`m_P#QkF^p?TN7)X}GQhGQ4k6lj#0x9!6K*p-e7I%rKtB6$Sv%#HJ4MQi(M}}QM`SFJ7-}f@u1H%zB z5SBhmKP8wb%)}OR5tR9vgx`rXe-;y`RD2TlYGUHf?+tg!>kWA_(hP0WDpXa0Q*p#X z9)q+O=KH}*!~8IsztFffiDb8rr@R72Z}u{m;EG7yMbP0?j3lVqm$MBnn#WRr^BUxd zQB-?FLTJPHX803~jwL+d`G{4aKXVqGGe0qi7Cjt<6amhEF%dXDPvqsg&(yD5nuP=J zfs7oCRxS?+HF%lz|Z{{O=0$V-@t*$I<~U-lk(_6cc%kN0ZTo3*GgO#;10OCJfQ|lwRl&qw5Zm z>JcwIqUN3bqZ1$;kVWx*WgA8(PJwZ#Ysh0Na|(dX-{J=bI|DLagQ1Nfh4`$3vj zt1}FDhX|>BJ*CUz9#bqMHAw-9uRs$UM)fC7(*>F9UupPGaZi! zopYJV7Z(EZ5#9*q0p?CFDOh6rvF9jKKYceSiyq_rf>_7>pNPU=;=xKV-d#0p-WcTM zed6;?{#W^iha`TjpSTNF=67yg3Wi!!5WD8jc#fO09){VxO7w)Y%kK=50kes;3xuY^ zGM*ovl8eDlNW(WoV%*Dup{Zp929%0>d|)(x*+&f7`B;7r493%fDk!d992)TaM7S~g z%nB8k`_N+5x`lIv`@lish-&XJQo`ao;{O0~P1pV0HE9jHaqTkh+!a}KRIUsl15p>@ z?Hzw5nKtU7p)pi4wKk5kHw>3Dt-sW2<`USz!eHe8048sWxR&`x&U!qu9t>stSh!4~ z#!+exQBgB0V$+C01gOoS{$5ONB825D=-exd zT9wzcr*`XTnadRAz!~5E`AXJA+A}J_WIMdfNex1L$y`hC1*!Rv=N?k8svM#R$J!})5}X1VsZnV{1ZRRfe->hx z^BFa(hbmCdgh6j+XXimuMui|-Y^gwgq{zhiiHDUj%Q@*i1BU#}$`*@I8>z5|FDUGM zW*@Y41g?zQALj)E4811UeHa~p-FVsimv8O#nF!@X!>N(Dsv1T_@rXOBY@^JHQO4$| zv^Zd5qub(3%)R5LEAwXfz-aH8L>%J&==w{UtUw#tnbX~hv|*76m|iL%_`TzUHLs%? zV=uFaopvHNA!U>&g+_l__LvKjGAfFi zo}xGsm8j|>mn%TWQo(h%evvq3>+Zl>n9GV@%_0~|wlg=(#e6tfSXsNtQd^2)Q5(>X z{Q0t0ZfhMr(Xv~)d+7ZWwX~6myZ-=y0IMCOSZ*P@w!Z92xCibVQUXFMEWt$722M{% z)h%I!w>1KTQ6R^7GxMU(TTwvshA(vYo2)s`%;fj!lS~SheGsLVl(p|a3LuB1>6SE^ z=MGgXCXQ+rtbQXtVa#i9Vg!1mI79sHg2v%9v)1CjA@S)A$R?TSGY*xRnS5s_sT2ZU zVV3B@lvu<=4u4Zc;T^*|j!Ci<^ZG?M2V6r3uvzrHCjS5t6g6+8wN|2ovI`FX0NBQsKG=Z2Z9YJ1Vh0R82ngoIvc`VlW%s)a*$x@xEHxdbx zpw*}3#0pV`xwZ|NYQC&@Q{u9QdoekCu%f8Jau^_5zFfy~Po(4Wm(Cp3kfZ44H5X`V zl?79$jJVrZ^MA=!yKY#ch(Zm{_!IRgHsVlb%qoN~0u>4$tj`_Cu@9O;G#P6ZG)5AO zHmDK^_}KmazDj0JZZNgwm9tBpGN!cxH+Ak2Z4nf3TwCynyi$sD58j(tf|BA?6HM+P z+P`8DzCMtrjF&5+>4O+6c4FIEx9t|Btj$)MGJ}bY~Pd7YE#z6w~DeR1Kz3nM;c?B9Z}=GHNkG zSn}EMyk$amdPmhFO^AP;ks}eU_ODi0YeqhOqx%m|lVH@bVKa#U3RI7eyjK0i9CsaB zDkHiGF}udNjYGUoFx0zDeA&KM>NoIiIi;HVDoTN+pJ`*3Ib{rEFp;dG1+g)>e}3}& zXKzw6ST9_{6w3)n#lD>m;8DBR;{O0~TB|r98N!!wn>+i#K+2BuHe<91Fgb!<*XjQN zBND%c2WcMAsD>F-FEdoylMZU8+>L{{XO6wrux_ zq1x{#i}{I8V*db9csfyU80pKqSx8H^5<-T(BNhVtON==qtoMS@=q<1SnafKx85IK8 ztg+LyLtUV|LZ)nlP{TX#&k7x!c0!hEYg-^!g+3Z6YT3lw({{TBWK(OQa z?-JGl^l<>EWMq?0sp#4et@98Ih_^ET07^GHLl@EAW-?7)8Yw=dXB9_B|mD983t74sfM^ zAM#$^*w)V3Lgg+&&$Mci;j}qM1(p$(js{nJS?o#*VvGAiB-UC^rD;)&UJN5NVD@K& zZ{twb8GXcw>?F-dV$^%CT^NTn+3rND@%#SA4DSN7yg@Zy(Y54+yzNFbcbmx75WYBo z3vOi(3L{kVd&?~Hz@0i+wos~^PmgGAxj%w#2#1HPZ4p~LM@D4ISYj1&`XX9!kIbwS zIqeybY+#cv?FneA(GvsUQ|ka@y?Vq2&9P0{B{BDd4u%$^0TJ}rzWX++*tDkfG65ZJq=p`n)3RjFkH}Nb+ zBMfrerk&t(;sSFhQ*Z`?-ewM64A0G*;t;}cmp0K}%YKjn^oz=-DDQS1rA>1eP>&%3 zXS?1}9Nr)Sc)tk-EnqRy5kPS+WK(s|?m8+r^@F9ro(L%oiR&tzfdOH}Sz-Zl&D}vr zKx%~blrg+T43?nr4$+F;Lstk%-}etOFV7D`B8R_GRpn!;j8`b!3@^=1XdmRz=!Ou^ zx_C_xQ$I2)a))vS#-G&4ZIkEbAdY51#WCHFc~;(*EJ`6`Q1FC&r_q)*vmWx8Z1i93 zGbxSEWvebQD}z5D&*UQUPkD-`%*;7c5%D1zPqxh57D;JiWpJ5_obo?D@vNQLVfZjm zc>e&1!lNdlc{W^v^1>TrF!3&J9Vm;)t;Xe$T!u54Ff;L3EWR@ed1N;YOM;^^PxZPs z=3w}%xp6L>{omXb4MsNQAgV(*eZYBFcVD8B}O{ z%u*DNTm1ZBU0bu(3P*+tXL+15v6q{KCs~Acsq~f!fd|&v`pj|cDr6iGj3!o%pzSDC ztNb#pN(+Iom?E?|$Kq4xKih^O%N-cxn=s=ph&62I(475W*X}%Zi3oc!xERJ^d2}%W z$nf;2$?EU%Xe$6*{g`B(Mz4V^wp&=%pt(HzGKe{|(hZK~x0{#*O7T?sCO^9k(gjg2 zZEja4v+etWDseuNV|m6KR3mIcTVet;2WAkf<-fQn=(_vEqcM~kfL<6ursHZk{l*#j zxS{ccR0GI~1KuyDo1=vQ1l*`T8Hzc9%iR`M%w|A{3Sws0(j$WUh;}&&``)+DtSERug-w=_+fTi-Cv)!taZ3Bk)^;9XYAj*nN1;O;u#UM~ zfnl4kPt@&T9*`dy?G4KjTIr=Fs^SGtml1otea2B-?e?jF>lucllmIsnvQ-wwIy-vH zUj<48na9jZTsoV$RI=>D%wF^<)w5CjRd6Ou&e}$<+s7Sq^NJ8;o%A+a~u_uw~4c?FLZ#!?MNmE!~x9(lKxBfx| z+8hbJz)>oT?T@+N#t1F1YalvYqYduTwmCgvG%;H7nYTsJ4o-l7Ex_Inx-uAOfIe{U zLxj>0BDOI&1H8hH11>KW>l{Usc$ABf=Mn8Vzr{V_O6;#l8a`qj4{2};aUB$O4%73P zsv6Bo#KSCK9lfV2BL;FY<>w17dTOx+lxgN)h|B|=_qfpE{EG$3A&W5%NrIU8`Gl5g z>Q~mDL#7!wb1$JVFA1__ZVVur>}Ca~etqCO!1N(BcYDE-Ta+G%<_rsm=q8jy_3sH>Qf+#e)$dg%W#6VvNRjJs3`J^-=WWk@E1*kL&XM&Mt;>vEw7Oc?~;8&wl3!u@gY1aC_$uf{fq z^5O_}{{Ug)n64tVg{&125b>M*e`87Ae31=cPi!f;iySaos7)t16 ze&Tcym2tI0Fli|-lF#gbl{NbQOB(rz@$sq3U;UI;*X@j{v|?X1js*%`^5Aw)m;Hpf zaRN>Y(U*6vlw{~!*5Oi3UmA!9xios;#XqPe&i?@Cv=ua9i=1*2suJahKf=Fo?M~7w z$hb&G0eSI>H-r`|Gi1ST;a>58^ZQJ(&OV={@h!iie&F|_CY1(}HkTOf7(9K)#&Dw# ztZ=$IN*r(ZG~1|YJo`s+I?O1X0m> zVz|Nc5S|2fkT#CykTWG(&K@`Cz=efXt?>~P(tDX93`;9iMnn$tUskC_R4#*#XN3iUEmdYBO~Ue zneyh*K?X!|I4jkL2QP8&0lkN`1eY{S06{LM2H>u^jkeE>NjyKN@ft5a=vD@3^o(gQ zDFT%mT9p->JrW>3QxF{HS}bg+9ltZcE`i|Vy&Glmb8F+lh41$|6!W_$tK>PJ7$9EFP1wbi)Ep}jmhmK8{s7JO1 zIy37MRr3D;k%PdO-Ne1FJoIM?pp@S(r)SbOwQM5z5h|j$9Zls$aa(NM%n%8H6YhEk z=|rAiNGcC*is&u$=5hZ3Kz1wv+J4E{LVjBInSSTAEY5mKWE~A$pcYwykE~-vRO7g+ z9K^JjQYV5I7>?$}+IWcD|ORbJ#uMQQ*rpJj*I{wIfPr);;E@gWLHr z>zuwWJ-;yCzh((Q4ZzT0Wh797>}&=t_h9~!`GOQ>h@xCteyV-FK9a|CRlpJ23*HCk zp&O0DgEbajf3W~T0a2IKjfruoTYpmnYaZknmTde7-Zig^lt%=z!UTw7SsJD@o4>0L zH;Ds?1QE4NMwK1EjLL+fvsm0=)K7V7m2adnj)&4wdzL*BE>K16dqNd61Ot`#o*+-i zKoauiP+VDMt5YCtRrpweYghSYs3zHV95IHrLx|z+HeeNUiE^}=&)YJhJAC}dF6=^} z@bx8EHt6VT3q~dRa{)^f`Y|c1V2wGNL4?vcSxa2BUwB62xQm$MvgT(JeTE;XoMkAv zbj6o08=4U;iJ$W;S>*Z=v?`nXf7o=pCCTQ9vyP`6&Q8n)Uh#pW>j6nOM9dKLFK2-) zNtTG35Tz#aDo{6g%#;OgIB5m&C3qzDmA`bR7lt5SEZrT-&k-7)2kk6pBlGhT9PjWx zjHr!=(JCN0-bM^(Xl@Ha(~m|~4hr{!>qqub2Q~PWy4`+H$>+R1U~JrMQ>9Ats{KP>--hUla7y%N&*Xe^8m(Z=n9AL%JeCmKdX0XD}3{{{WC; zpDHvO5EkYUw|PsfW)XahG+r~74K7eTOgB37_km%S;2Tv{ zJRiiO+{B2Wum^J>hEHXb)aUmowZwMlWy6TtJ)+*5P02tog|t9j;r5lNwNEgD^`e+q zRmY+nOMP+e5JzgnCy4YhOf>~vc8HK}<=UX}g4dKo4YB>AFSRIsGfIJAO1Skm2LvM= zr%`Ylg01t2-h5x`P_HMnvJW_OjH%EyN1|#KQW6L$#vRLoFv1!oTBr(L$y_ky9jD|) zPAKnD(sBO)Vp(yoyc*NTydgXomV2?7X66b@rsG)gjW@IDH&I~v)BBbIwYSk9JmDIw z5|+YQ5N8pWmc3?O`I$ic+(_WXb9jU{0J9kMg#yI8KzsW|KMp>>*lGOMkcDrfZ2@Sv_(q+{C`TB-Ns{b6<$wuB_(8bU!AaUz z5zoOFgTW|)dxslambWY+ii$8;ijY?Lq9%5qf8No&3{6nkQn#qKVV0U1a0<`8 z`bufpKG_8_Wh`qrgm^%xGOU@y7EWda(rB=T5trpI3vuT*PrXBKAjQsiNh!mD`!DKN z++*z=8sUt)yXyciU9uLiyG6?iib)NAci&wt2eEk1h2PU*I0Ms8lN&W?s0~1=@>nUlCZ@t*D1WhwKF+?!IEER8? zh|$cTLg+Cc7YrEmp=yny;jWb!kTW!zx-5t=vqMUtd8a>&z|O_{kE9<$Ko`PMa+=0w z$6@<~7qc((WlT$g z%t}-mXS_~ApUD8s+w)2JBJCG%)FW8Y3Kcc!QVJCCzsI+%poY)nvl7DhKyBM{k#ij- z+*ny50cQ~m0F4Lf2F-`0a<^IYl!d^VO(RyiU>@Q9u-P=z-*nVX!SnSSx2huJQl=i` z+E(44JtBw0h=FksFbzVN%O1~Wesp6AV#9-q_Kq4K_AGe@Rs}$zOum#;yYrIM9H{I=4}?g>`GitGV~+1_m3GwEfXjubmyrmV}go7 z67M1@D7TyZ&S+WrDi+Ua_Bn!<#xs|v7{lOU7!Vghly@_pC*Dd5d&^WyXLxBg#Y%4Y z&9P=spBQ8m3;0*wHPKGzE-;82XJ0#MxT zq@}w3T|(f^{kBDQ!48fLmCU|u1k4SZ$Cu(UPn5FYGD9rxBP+Z`udFw6drY6j_3320 zKos%zsaE*ZVoa3$AE{5O^qYB@OXzO_ElU~~9tJZJs`r^uiGhr{jTod_5)YLt2)%{-=$8qEHv=z+_Fe}+quO3o!RWx1n1K1cNbL%WiPq+Fx4+D! za^@XR7p%Bo)5OYHGZq}9X7>7#ZaPHrZHZP`l`!Yp8jMs3w==AAv6YN4dk_wC{eI?b zG#-(bb1j6gqW=I$%0aEhraXH=ylGR^RovY)oJ`RxGHMZw%SIK3T&9Tg^OqJ;2j-}f{9B>RU+r7#JF4^Q7Q?5=4P%2(b|4o z+W;dha|;KP)jgu#b%?24F#K_YG>lajDUY>G{{SiNH5~o`&iDiOv_V}L7X%x+CADr- z&mkzhy{djd3UC>ZNa*N+uOr%AT}pUMRp>Br3z~pOW*X&$g|u4z z^XkG(iAljN2CAG5#Xw)d_J&mXh#GPIm@|l#?vCW^`7zE0eqw~%X>&w9Ku?7!$C4P z(A;7KEVFpQqHG``;~QRIpoMakj{yj7Y4n*{-Onhc42;FZvL<2)A9eE)k|jZn>4H9To4WBU?UvK1IxY_g) zKIZDXP*=3T(k6Q)qB;o^JS%}M&%|OW03@mHZ{{WB$ z&7X`$u*GBZ0?D;a5n)K8{M5HRe&AMe`;p4spOKG_N|0t)7Ce?2%;h;hP>FWbQGyA0 z(FQ-{%!e=(97Xpa5;A~lHkQAs=LgSN%z6l%c!Pwd;~go1g3BWd1>d9)U=Ob_Jn{i8 zR2mZ31r^+Y?`QDCrO?4q5)|z$@5a%nNbk|O#@d#f@97*oFYW-I4`>ElzRV1dR_Os` z8n9LRN(U0>!I&`~WYjIJwP9(_J>$a6%3>ia0$@c!-IujWyh8-aOCfT{p*8;i1v+Df z1bzPi8e>squgL!ZA}88a8Q3%f>q6j{LO5t8OIzl)TQ zAD)n2dMnxyP@7yRMh2y%K=%kk63cV3*JuXP79;GyLI0D{3DiwUv~#xUmB-`Zz9gVdSs^X=2~pql6j z(uoS$gce3~T@{$KmBh0FR7}c1iFb?^h)m|lKJP@WLLpYJDGt@^`;}wm6?kI66}L22hUF+*Slmo`-nB zeq^{*Kx;d?P3%U2-~EkmCog=ed7eR`egyc7vw|tAXU>ic6??CL_2cxKc8p-AK9C!ALShasp7aZne=OYq#VaubaI7-LnNWtYXQI{TL?nCDq zVTi%a#7*J~qzgEOxBM^#>4>KBJ`6;yFrWhNKNMo-6^ld`Uo$y4e!Za5ng}F`Nh%YI zmRKNBG12&DA$YRfTKm+buMkIgt;Dz^moX@7_>mFs{ECw-)u}`P3z&lp(>KLI3{qnZ zWAOg~*uRf2_B`H)+>T_!G2P9h#YKStfaYWVYGaB&kmz$1BJl}Kt?n$tXApcB_m!y8 za6@EB`=JjbDYc6Q%|>AWk=%4VcDY7e4_Su}3;RSzkM0I)U!{cF*;75Bx%Z5Fui6@^ zds`K_nMM`X;Vcs!#2m_i%L@WE@e%IumzZj24d_Tg!5*Q~YSh`K`^!gv_xmY+>krtU zkvDYN1_&{mC%hsP=eI+=n{>E@vmI~>wqg$PG?=Mbc|-T3sZcEzPnKnE$D{g-0$%oG z8hfy1&^mM#rCq&a8yfsF(|bQ`aW`$USxhFm#U@e9?zw81!PsF5m+ERn^$b!!qde26yj>!%N z@rc59sO4O#`Gk_iIc9mwgs9~Wiust*yU(i(i*siQk5SsZqA1KD?p-QF!_k`IfggeT zgwM-0Rw3x!a*DtV6`oSG9`Ptjir~|m=rA4;xpgl_D=a^RxOc=7DP(*g^br8>qVWy? z0EpZW!*l`VYZ&}~kLo!7ZYA+6m$i6eKeNa)R-T zBv&hx{F%NWivR7KIKXS}2X3AkO!JhCN`n)>668vlvkK_MOde^TZ{3RsC41 zrv!M=U6Ya{DsE$blf+l;5_`iJ#}1z}6{@gH1wFbMXuNSg(5%~M?tb8Rc9{|@N3r3t|FaSaY z?D|A%?#tpZP6%|h@q}FPm0v1-$LG=?o=W?E3p*#yG3Ehr^gP9Lmp>+Z-{smO%t4?r$BXvhqp`fR>xYK`cgC zMiq!lOhq#mMDD;h<|5L>HZvVZ3@XFkI((vhG+{u#XoiK|%e*N?Wky&amlo9bh@Q__ z%N&QaU|%q8%KIspY*g@-nhwwfIA$ZpEauQ#fip7T z3@aP1gMseeIZ5aqx_$TKx>AMJk#8)T}ExKFb=^-Ng-emW9SWV=ziQ*y<3}Yk?VPvoL%q z``R#;+9vs7RI4+D1d%3P4F3RPWl8Q5c#8EPwwfUdpfiG*og#|3eRU0ADPs_t?Et+D z%)-(`-~kJ{P(`>T2G4ln`1cSR**r=8#hp!FiFOK=0x=8c5cuN|SidnG-*XBkL~zDD z;yd%jlz`?UEQXB83bV-VFF(8n6urMRp?dA5p)d@z7FN-bW&Ox zgfZM^aU!qA;M5_~Ix3cQF}@RX#Dh}R%vOa3!>)vS9R;WgWkhHCMWFd)5{+IV`WgAq z=LwXa2<*g!@q`Ly1UE5WQ*O1HAR^Sa)F%TdQ)K+x#~a=V#o7PO|?o?ZUxKGMVZ`l z?!wslY^Z$Z3fu zsEhTIs7`vOt2IglZ(HTxMQlLB^&`)t0xE7CVZ; zlvP|r1WMF+m;N?NWP}HL`@@!e{{V;DBL?#e$g=O4%!KKSpOpm*jWDmeAl%JOy5`9Y z3p4u68zCNK<6R`fKQV_Wp_VK9XYrYN6hB|G8$j2<`bTZz%jPoX;u4p_;#X2pE-m+^ zJt0}VmGvdFd6!zU!qLV7xq=-2n9G}|)>}pSn6bVx)!+Fs-;GZeBR4UHXAp(-xr;tx z0E4H`Sg9^B0%(_#ajAU8l<@Y8aR3vC_dJS%QX{0iqfkAy#S4EJ(Qt^k8VwAqq`B2% z4Y;Y5Fh#D{&sgi>KQ%Ip07fY0HH#gQnGoSp$Ot#R$)bjTWT8E|2{jrcM7j*?morqJAy7Z6NAm(w7X4!a>CAZjlkCx^?9oJY%!y!Kj{ z&7BgUFd!w#aD+}7w@8-~V#NhZQ|?0Xa?w`2pgyZHY`Iyy)>H3O>|64y{J}%EAtCPc zmo4JiTU1GN7${t-j%o!POeL(JbNee7u>K|>!2uR1q#&Tl2TnEjs4ei3?tI+C@q0@P zxhzJ&_>Q1VB2|b@jQx|O!wke#!x5573Xb4)h=t4Zk{Mw&o%^rUb2U<|P078og3kpW z)gAcaIRY!jA;8vs<%l>Suw7nK5HdaD0PG=1CE;@O z+RXYwX75l4I%SnkU?KWP9kKmMX`h*dpyGWC^$Lr$p%KxsqyjrkYrm{Uq))ZNDREE- z=juY4ucZVsFA3fa1ia^LTaXs0_b*9tHDOiZ`n`%E;Q<*hJ91))rJ z9)k|M;ZzEX2T|A*H|RiKOJeN8Q{u&krtXy>hV$ZS3y5W)moa86rxOy)H^ymkefX{~ zqGX?+336pZR97dd3^u#_{?G+o+`T+rkv_aA=b}ebGSpS&%W5L#LonCJ?p1#c#28ZJZw3JgPqbM;wU~zpvB9Dd z9$vrp0Jn&{d0CZ=29cUlD4sEiYA9EM>lU*Lm-iZA*n+NY7e61=Wgb4Uu)3%VnU(P! z5j2ixMA}$$;|PnNW&l^+!s<@TDDG{;hcBoUwnF!;3#1DI>=L7e3s8KQk*8GbeN zgo9C!ofxf`ip}CE@Rd(v7re8CwPs<`^Uz_}Y)YvsxLbxeKkw{1)h5IW_+PB@@~9j)%g;l;p$qTw&5XR%MyIRU`)m_5H(1w&7%(iYF(%%1ZGV1DQjk zTg`_T=>>_A_8ylV5t9D^61HSs*k~xW&2p&6X=idK!Lf-@%3tQA1#o*cEbh_qxQj?* z0_f*IKQN1#&#WxK0<{_t+-)uqhiS6~&_oJ3iqO~V5Ma_vJJ_A%K%>wMX<>A;8K(0a zUf1mw2jL0=HRGt=%@1Z8Cg+rqfMwwE2xl-r;nOCgxlNZZONr+y6fr4P@%EfZtVd8* znlP&@AxV;Gm30_c#m&=1VKyXkg3Xspe>DgoMMN3^&wP#vl%8X$S&>5p!JL*Lh?gRxO7JJNgs zaPH2#zc<|p{&Sn+9&lO%odQ>@EUvO}lQY~*rdR&4K}3n?w9Flz876zJ#>e5kGewZ; z`wzQJXlCC{+J>+SNvJSOOfrZDRkV>$#w(e81q5?0C(g!N!v^ODlX}b(zC|HRBI%7o z+fuln6-s|s0DAGY+|}*8PGIhFm96@Z*B55+*AVg);HyBlzf5F~mYsK}l*NDfiJk*^ zcE4&68eD&8%g>G(C*SLX+IqaBeDG2Y97rBgS-}_`@3;&i@9xLE9FjeoGWICGCvzNf zlaS}@;`|0M*DLl@o@+Ht*jgBN@D)@~ zR1R5GSPC-t+N<<_MY)W-Mbsbvo0z;zbOvhhsD2VrAJu*;zga2vq=E=Mr&=kXq$CxK z)=CE#7G^aZ>7U%&=qG8}c=K%b9VHU|FKMCS=} zM?KPAHOe}j2Rp>7x$iB+k;nL+K<1G8@Zhv`xWyY~MHa?S?+K{g5@6tb3L6j-H#nLM zXUtb^P#?cjMY_)g*zHvqTxT^5*Od(qA0QeFA+uOX$0?A5sNwOXl$Yc5Ngqs%hL&ll z6rx`w-yLJEb4e5YO(smq&Rp78FEX+HLnC8`MAOIGQ@XL3HrkhwS@`Hs7 zL$+?gl%hf+O(cZnR7f-ahvQwVaTV&1P%o=Gtc_AsZrLJN@8h@c_zrDy@}>U_vV?ff zh`zmQ(4UVN$z;A~+|IN1%pBLJ`^m{-=l*JBH^p~7tM_G71QlzBCz}ykt%?t^9ps_9 znvUE?pXKhB4dkc%PNSkg8xO><5tF~b^abjZOMzWuHd{%0h$l2A_VYTYTCP;L)%rqwmGBb#~=oWK~i; zv2XEJ-6QumvI}Y%c61Nf=oXs%xrote#?GA_3F3}xZ#&6@r{3u^n~$as^FPsfHR(z_ zGA08^w%JuEj9NM{h3Kd2sKOdtz%B(<HS_&kqKYIL_Wbrts8|zO(MNK2ZJNFPgxf2gc)$U)ew71@28;I?0M2?-+&8|z)I zLru<&PC?b!o~{bszJ*v7tC>xR?HCxOQ}1^)Zi{a*W~yNN2dE+K(hgkbqMKPVV6%IV zlqo$aPZ4%vyf!gFF?4(Wag|aGkksfC?w5(jPb|eAmoa_hj{eO2%H6?GV(Fydv@1b? z6Phn>0%CDU(DS8Lg#5jE;A2z#u2!SNb|oxEWZayJF$pphs!vbV@y_Y%IDZmPkhP`i zD~RUT@531ziPL{fb@wCKj0HKnPUP7GK@%<`9_GY30nTTw0)8}q&1?e$*6}({h%hha zVl6e8Msi2S$JQS?9|gv#GeI4;0zs^_$xC_uCg8C5_4wF9JCIasUmwF95gE*E&@;l$ z`N9<1hx<7UpTz`V1kHuug*_F6F)FyvF%cYu1&q^vi!64?w9YLHd*LEXqOT98w2Fxs_^tDM@f1Q=D zp=)Pn+JoPgRcp5-e3X6_r0Hf4&0H6@z**k;CEwZeW)Y?8wSi7Ez1o)<+8WDOMS2A} zbge(kSI~9QpIJ9IW7*PEtnF!=eNw}ZNQL%qz(hPU-! zL=IH3uZX8Yv|fCz48MuU#Tvza;64++U}-TMzTT&yQnr8+*cE@+cnfrbp#N9L3aV z_=%C5Zi+o$;!+#?wG5b^@ZRUu)UT1_s3lsVGm+XWOE%lhdlTJ92biz?;-mw3okK;y zH|S;V&m~9k8=FKCI^`KV41Z%o>AfL76%M&DLlvDerd5IHf<`#q29QzGy`Gw$qrJFi z{IhBlqv4a4*$7!_{jF7JWzs}X3y+#nU=^P{*G(VF>_0xQWr2Vk%8y$xx7_eN+0$6- zz27%uEBI_FQCCv9Fu0CQrbK!dpK9CIfD!G}+sepw;I0KpMp`_Ju+;59-=< zc#zJA>j&7ME|$?I^unhV_)TWh(SeTBN*{i@Zi!13GnC=Pfe zpl28{8eTV$_n*=yh*c?@b%*>}uCBx59!SJlqmetW;IPSsL3r8KC4>Rafc8|FACbOu z+f9nwPSaE56U&9d;%%>?w8*>qjvuf2^_cE(jpfQ1uWMFDLAL|4LsJ6Vm=*~NX`d%H z-+uTVN}2xT&CShf(fPC6^ML}Mv$J0TzA{7T22EYA#FkU!(n9pLc$y)(&#+0lrQ)&- zbC1Vcj9942y?}ns+KzXeH9+nMe|6=t-Q<_{TT1OAmnoCMd_kB2ox%_8@E4H}_2^I& zBJUayqw#l7B3&K3?s#y0y3uhaRkuNo)9R3YW?H;$noDcvX@`$mVCCkkXqB*54m4__ zG^}fkV4%qUhG{Is3|j32{SfDa2NG^VA6?w*z2=i%nb~lh#;A}QbpuJJ1Xxe6^#bKI zX&=of8GNM41*|``o7B^f8W}4Y-ULVBrO8hS>~kLe&L0Js@Gnj3*84k>lk-V+6-^_tp;Cct2D)C;ckJ6fhVa~te>-_z;WBM?iN4VY>u95Am z555)*k$4A1;-pm?+}<>4vSkQ8oV(*ZJ0`z3C{Q)-BuLtwTziNf0XqPJN@>YfE(b^n z#&u8YE_H)30$+D*NOD3hyEI62!*^DuQj%2z_k(L`qmWtVav~|n!0KE+_e<=Y{roSA zl_J(RvBlDbj6Z6PCu>Q>kp^KD5rKPv@-g^_Om81255UmtJK{{-$!qWCO zu11kpsAXKT#yfF~WKB^M0*_LZy2-&cvnFkc z$`lTs-`&$pHs77L{$Z79j3JkmJsdd7R8Vu}MRaGY85BOdFnSYna%WRJq-bWbvYd3j zW`b>0`R&BQ)^**EY-P>6OXO`SGho{U(_vHRZ6qJ)$Kg>dyPIfaAekX?6*cg=PFE9Z=o_lD2uLTp@p@Gl>U&{wi!#z!ewu zm9*D}JaMKddCc0K6qZ)My1wDF z*Y{uvkGGK?Jk2#0GRv;uO+mUN*ip*;WOEDo-YJsNQ;B3YznD(!OfCnitS4fo#HN;nuoZ4ypoWj<#>bXa;%HY6$k#XQzm`2^K=%I7Vb(EJ?F>GAo` z#IR!7e8#z;JwNA`1W)rH<|h3RR@DlW8y3z0@YTuIc1^<)9d< zy|j`CcN$;YXQ~=DeK#$(Uhp8Iu1Kzf`OCbg6b>35cUJINiF;o%-{|!CJe~TR3Ml!o z+A5*i+DNi|f&SIgx0=LO;q}Wy{LRrtUohl1yK%g&qTZ&Fe_y@Q*p=QZDnbq!OxnCDLHF+=Bv>;b#2)V5;4A={T|qyAzBZ)69R=N)1>|GhY4 z;ZJgNUa+qUT+n2votZH{P|^=h7i&~w+5nUdsTpThC^NOU9$!R$F`*@|wPpfFO!m)p z>koRB7{q>1u9cR+#_$T2`Ou0khSDGefU-&+({{x9e!AIa(Vprm`#e+UACIUOZbDHp zP85hG%;EVy4`X=UU(Li@Ix8o%0C;kiF)t%DW|M$?&QP z>yB5n>CKvy&kJzbej50*LiHyY0dfxSecD88k&nW~VTDT~l&?N1Hf-GIXu$w#z|J|q z!At$OH`7yJG(rt`__|$I>F#xBG|KwisUuzS2C!X1Rx3U2e*PIr*32G!gJm3&tk9@p z?ff~;%9g=z?VW5=>{cXyi~C9Rt%#a~7(hcEru}hcI%mh3YtbLX)etFQTww@ku>1P_ zD;8}oAPFf{N`3aJkiG83Z(m2*=U<8bKFJmC{;kDZw(Ck|jwNV>F43#L`}`t5BUs{56m~;BsC6Llhk(!dVZCzj)wRxY z7xZFqmEVdhM(+WUK{EPyS>Q~-w*UxC^(vzMXcj zAI_2P#p$Z=%QYjB9ZQ{xW6(=>dBWJYps09nl97!L%qH%9bF*(S}xwGi<*Gx*VKr~Zp zalDdmpPi`4+XkUeP0&dQ+?FV(ke-}S$hVgk^L5z!rr9asnEB^`{e+(;?!?5hOg@y3 zJ~K~(8+wwjy{y$**zBS(*Ejl9H7e_4fstM3RRJ2_^A)k5m)zXA^V>i6 zPRPj>5SK}xG}w{e7B0K8K%w`0t6-aUw6*@D<_8syCoj-}w|NcWmp z+A>BMk&kTq5PlXPQWnV{+I;h!#~EyDpL8@l367}Jin(yJ2J^ih>XGa`tfoRcAc1@m zX**sJ3Qk|ery~v*eAo_GE?KEk+!}p+MlZ00&fO<8Ez3>OfQ(FZjSh|V))OEF^vPeP z8h=s}WPuZYg!+il_hBX6yibZ%P(2SVXGuDr>DY83Gu+JN%T3u_GE(q_W!oPq*kue|u0nRXvQh5KYi0A$v?t`kv$EQ-z9>#3BYyhNXsnAI5#z zSk^6S8gbBf#@7t;3RZe~-~kt+kJ^hyOW~qmSJ`^cHRO>;aCd}k?TxHzNulfoN4}ql zq@AY($e?P++Rl_4NoX`pWGaye^Ry|>w=B;4i~k4knEONwEEkg&=MxqNeR5xypO0W8 zXP6`e+I;!WV%o*k7Fl>yumrs2k4fRg4Jty;>NLZ;0A%iQzR!S$UJa5py$$;ZSbUxU z3VTa);IW{DI8DjRi`_=%uB_~~aByBCX=IrHl1lGmz0TDm3)+-^OELnz~L7SPx1bB zNs+vU?!w3X!yI;8g>M^! z#S*KpuJwfv6WzjITwdzKIP?87$D@t+Il`kxWj;4Z^j{CR`4BZTKSpXbR4=t}0#zUY zm8-rd!9+c>>_?dPz{skmdunG@Z0knVEFW7l{>zdUtr9UOdPzqR-M~DVWf0dUbks-( zPG+$~(EtMqO*bw?QPG_9hEgDFVx4=kCy3He(BaU8e~As#Nht<==d1$$PIsP2!NO%E`fg47FxFRR@K>u5#=W%D{Fi;Y}HS>u)anL@nQo=QAF(+M*&j1~!e& zAu`5b8i>set@Fm3coyz>24DjNBz7(NA^(q^QZuO=Ou=f(+{Ped#JoPQ5O2`3903_H*wT-#rQ=t3tUB zct%qJquU_UCujRwIiiK1?1iVj*$`t5MW+l+r#uN<*Aeo&O?*0<(lJ(ogS+V6F|!^c z|5K1;ji76WP!Toc{jDESE{d;DtmCK-t=N)Ol$0 zLd)NgFxjuEd0@+@D0LVDx9`5e0JlKLEq`z0Dlb(yZ~EDM7=9iu8myG&MZyL)*Y+8+ zHT9N7H15x~KJ>a6pOEFCZMR#Z{(LG;s!!HvYG(4{cc?sfd4cyM>^V~$Vx$CGD5X!~ zFY3MwAn+%a4}6RTeP373B{xz<4laS2O*|xvJ(gC3W@K5D6bO<;i%IF1!kO7cWmErVx$4fCou+E2$WkoIyrsqN>n_Wf|_&(4QX z^stG2($&rQ1*Q z;5%Bw;Z6o*5x?lqWSin}CWx#EemQG0$4wA^NLtgts_f}2M@6|2*VcVc0@$~v3&y-!$O7gt5+da;OY*41F^v60)+CsbG zde^PS#N?pKaejTz>3~AAKoznXc97@8&BEJn3VP$aB*Nk%%m&c+t;sU+9hTorGWfUL zYYh8_Ck?tY@eQqc7HanTJLMX6fiuO(wso?w{DC+RSOZPR176W0$wuY!!M*&?5X!zk z3e_Bp-FIJ0zlMGvR8li}m}UgP^>hl5+ZW+!y@;61`AQ!mfW zO1BwNo3pYTsp)me`bqaWG0zPW2+MNenrEkR(i7L${Z3Jrmq=lSv!xF!lW5bYH-mq% zmzViQSb5K`;Pdo%Etld5qMq;7Qne2RbY9$zSfU^kJ3R##F4;K#1N3#?yV&oKl7IGCP2N_8ONt1d?u(*&9)VNIi>fkY-^Hs za`i>7e`hQ>s?NE>7%xO$2(_945}9w^i?F`dF>qBdkK%W9mKUEyX-O(LN6k|6w2{8j z7SE^4^d@t_0D>=KX|2dz$ea&}l94=L>H$6?SsYwM#yo?5V|f(+v-5^V-&>O>{4I@p zvVSJUwgl@n&?MmnkGFi_Ttk_cVEYcH?tlkR70FR)hHDEN`aRk;uyI;tHqjBfOdF6+ zYHYDK%B#-vg=x1>i9TkCc0|=emUhwvL-hF-XCS)!lK$^Q_CKRv;spt#fIL9Q+7%v? zT}^cQoms;(@c+NfFY%rh1F%}IS^e&aT)`A8Mg9sezftq`VY)wW*z9#nh2opgOmebE zvHssI4h|TQYP(>?dBRYZK?rL0WpkHpHID`?1>^*&*TMAkiu6&Wy`k=NBC|M8ocg4jC?p{|`j zt1%OuB9G+=eW%4(h!5Op5acS=jMl!riO62?NT#F}|5(WPOFnE@sM;grIp8`Pi zoyqj~58bMHD})gm#{=I}(YJiKR5_qFcj|e`xS69RgE84-{c5`iljq{@nMl#IaVD&W zj%oP)gNLCnyFw}+lYop6hDjl9{{T=9PK@kTXWAQkx0Qi@V)5@q7=VACwgj(?#O}tq zIbj~4T0!nqVkMsuV8QQM1EHLca?ucHeL8iaxRBfhMGZqcTAlz}afKEVM#eA}Im{}n zcD)6?j@p{BXG?`@TU;+oKYa%IX8)>%sM+m{&DG{5cU<->-Hx@}yPcFA=Ul7J&jvmbL@zt- zj%j~Q<4;t-8%|?9|Nb&Fy%Tf;-0deU%Igj~hd*kmFjZ69pq|eXC@G9)`~nw>Q64v> z%5po-1e+(E4uIbtfc1FKtONqS98i9^ScNHzvBa8L2#@>knrv65n+51!QPB;sFNbP_ zdF`K-c`~wu9+$i(HN*O`B(~@1`=*Yh0clXbXqL}70dlXAMw(!Jk0&#(_(S8j-7Ia{ zfMrwibErXm8UCZt9Q2-xd}&x{0SpgX)P0&EB5pxfIdb+Oa6n zUxr1mll3_`t~?2kLN`7ckYrjW$@+jbk~qj7tgLW~o_~9Yw6{s@*WOQLkZK6jIR9@A z(&|3XZ}oGYa!L&xpycBHZ9iF6v~Imfh!Sf2*mGqbGM?yid~@Y*)%2q*7)*OeYX)al zuy7~|R;`)+8?e*Y+?Av&_7o*%faV8L*&yDio`=b}+Z5SL7N3V;J`BFZDk{dUP>kGT zMzWOq$5Q4TD0cSw3PILloHH0buT^3KznM16DRn0SWG0}tLzVQbI}UG!!fLJe!DF*s zYumuXq8QvfSLr6(6}~+B7mPA#64&rOxYtcW&K-4ICmjni)QAQLlihi_mcr^P2F!L- zRGn9huHr0Xq{S|ur5n)C%N!k}gHH#&@B`53Z_T~}2Wo5FfaSAM!HD1q!N14*ae3TR z@))#lDJt0pZByQQ=+sTpD>0aU|8W_Bms!k9L7GyQSFkQUrdd#wXA$)hz+{OPs46i$ z81i}Y7(c9UpEjlJ(*Qc1U}#;?XwbCejP{3@_=5TG(wg}PeUQWD7Pz-w`-3}tiB8mI zk{~y4f7eHkk|2yu%p^|s84lPC?HDSAskP-5j&!mT@g(^{(>x{T-7gj#cAzVQh_2`4 zVVlI70$$sAC{%it$AXb@xk8?{9B!`t*MF7;JU*gllcYoUw8%G;nI$F)!I9R&kdO~e zu+o5M>A7d{=prl>XwL#RP5v{3LuakT#8>GSLs=B77g5he{dd;Z{|rEz6Y_Ywu5*9& za-j5@re;D`qd14(wV+jyB&ApIJ9=xl(#w$Xw+Z<;_s{Op-uCQi5-qP&spb91ZN)4} z=JF$+W~zrlXDKz{4|lQTQ}QepZp3MGaHv}5AK5+68=LqI$q*OZQ#W713N+frMGKC2paq4W2|@4sWv!K|Nn}7{d5?!h zzuDl5#lg@Xljd$XEg|E+gk1A|MkmhsNGBe2A(o*P&k1)}e~cT2_fzd`B(}(s%E8>C zdF5GJGPr3);l+c(1XsU#25a+!uRG?U(4&~Q?qRK7O>vw1IUveJuhsRto(E+H(cQmx z6aH|9qKehR$Q%bzs-0liGp2(A$KcUuyJBc*LdO>kIwjr&zmIbJ$-Q}&zvKr(L}sF3 z5S27eO|qMS5{1nV*JYV(5zH4Gwqn!h-69fg)b449li0%gRoN5(uay(iTi!{Gn=S~f=0e=?<4{URtS`qP4W{^npTDw zOg8H6mqT-*xEsDp4C6KhUwfwX3#~c$46kn?mR?7}SIdZ%m6m?D>3sDU$UE?)ON->N z<8rmD>U>MsJ5(z%3ieic!H*K;PWe|}VySOtgk|&XV!!@{^FR_8tXuCaltCB_D^qO_ zy^)pkidun{u$fhU=3EoL2#%uTkG7Y|oaf-FB_Ti?ABeSG!3A3P{bpAW`iThcP&8%i zpPSBp652aj+x#M1jiHK0>P;w|;Oi$uT@4*7P-*XLx)$D;DEsVeMXKXKjo_Zl-Db6W zl-XL%3T9lDdzjsQVk7DX`Ud!-Ckh5~wT;?N-t~!-n=UJ6yFEra(A)j?T^IKiUIFN4 zdj(y8Q>eXmb(qo=OL5$Ju~B{3)-V%3gmi7|OIZE5r7t&fbujHhp`pbimt_;=k z&lF~iBI}sXa6=wzm0q76_ZS026}E9kRIay7co9*EyKD(H^EPdlUT?mV^s54ZkeO%j>&iN>d~Bm+SwD$vDcGtqx~ewTmp8`0@CG|HA_))y20AP|qa3P2ox z?@XImc;H;t4QnPl_qz%pP5XKGV)J{qp`}Xsk|32zaoz~C)-Uu6c@w#2a^B>sV^}xW zPR!7*)G-RAk-~cAg-eLf7CylWQ%XfuufrSPyUz<4XDTbB3*Fgcz;$Eps&N|K>wadP zgdw+XhywfcMktK^t60@^kQxYFRdo_L969Ak{?eI{@*(2<(ODZWQzT1hT@+K8Dd*)n zjq1SxjRT@f#w?Oo6nEerH|Heu51<-*j-gj{?_*z+$=yc&5!x;o`v(A1LAS@Z!;6HeBTGHuE3eOyQ!SCVD8;pU-=_! zqThC}j+E;;#SMVIS9xAFIp1}GSFwqhc`lK4#^{XJR~;DfX(;8`v@QOg`0I=R<^YMW zmQSzX4V8L{c|(%Xj}U*3!v++S9;Ed`Npqlv8f4S%ky3c%M&O(V6-W;wU>RZsf;n2oJR|MeQZ=he%V z*K$4|)`n@s4G=eBy0Bc0xMBH2z4#bD103_f?hR}zinE{lSmRG&KQY~tq&Za*VnVL8 zwn^8p7xTOqPQ+7AA}_Ir2qV+cLI5C~_6b%1m&~=u8|^M?{M`@upDU0kq6Bg%@13A< zf(JGmJ{@Vv=Cuib9s%PTKIkUXs+@@8IiTNDrNZuyf4W(s=)aN`^4cHRKkQ0#Q-ZA; zvaiIdYo4b_?dRgwu3@%MwKP1tG*#!%os3}bmny;#eNB14&d3S}D{GVd)QY4DP3NJ1 z_YU5zzhM z3|bk=RVJ0CY&xvNZ5xQGl(-ILE1kcA9Z0MYcYF!OM6Izw!>fM){5q@UDK5tw!KRhr zEB+6V(Ovbqb!lJKzs{P9^$<^`L(fMVlZ-Z6U5m9nkAlrKKUzRbP;D~*etJA9tho>Y zH+roVy*@|&4SfK z{aZ9BqAa^?pH4ut=ObSu-wJ>3&!n4!`<2Nz73^BPQTz1rA(a;!?YD3@W$gI*8$y>@ zOw>N9H!+1DtyvI%^a{D+L4YYedLT9e?Bw425AX{WP5r|23Loc;7KUWuH1)e9HEOmA zExYB_nOf&-&#zw}4>;UPV?M{da$md-uY;ck_(rv`U=Lyu`e2jVQQT+ttwOe$+G@{7IcRH^yL_RQ- zwtL=Hv?Bfcpi|?|I%4Q}Ab2PT9(4uYP7PJe+d3Br3#?G}_mYcL?IV^MS~tB=g=Ht_ zW)@#82LY!S_>#DqwV>q1)-hoS>>5e5 zPm9IKS6`|sjzROcGDzmtwj)V-L*rLVq0h?7m@CThQne|n_POjKrKM~C0N8&3F-p}I z1Yff#{_o*-6!-*D*1dv2y}$?bcm@_05k=SgG`lpR^ci&B&bKtYqNTocWls-nVGrSA zc4>xZ;PPs!&MR zYJX3;zu8n!;6!aq1*4zfA-Z63I~7O3elBmq+<~)w!u?ti7~I*99`DOg6d=wTGSik~ zg$DuZBE9H*Lz05oideD(f>_g@%{B-(4>EWYBZDoR42M1KvR{y zuy|u@UN%d=HU9OLw#gYN$We02j8 zmvv`Kjks)RT7R*BfaDCjd71T>(0Eno-#{u@TJSJ_#5qGFq#_&&?dd5F!;ib-ha%7N z_X`i#%1xkXHb$%R12uVK=+l|GYlf{ugBuP4kztAF(z`K3aoaI{Fj@;r_}FeHZx0l* zwi7xG#>-cb9`pykCc!cfxeOLLbqw|UklDio7MMZ>8fFDBj< zEGIBVDYbRT7;c}71@z^RA5e4zoPTl1s95My>W4x4@rI!nw7N{V@qp+KPe^DHQ=WmO z>;z2Vk@>>wiZ3Ap@L}4<5EEN!X+h)ym+^pYa6LweUiHA1z!sE&ZA& zGI=D({{*eT>|WjNkKNZm9HR!MEwDGLa%b>!+%JZth%3HBe>G|83O|OO$H7V0>ozv6 zVv^hgizqVTnOd*2r-{q{zL-s(54d7yLy5T4!6(8E;xh`teXs|MR5xXs?Y^XWU7;TU zA{HUK#A61UyjbjYo>OSEpvh(g+$$bn`&eoF8qGpc4L56#`-N8-{SGJTwpCE}?8(bf zvgM|}O*xMMb^QbiW)x<)zCQ2E9`@uCh?n!4-%hhJYj90ODG0sO2s&~b_F!}$0aXR_ zr=412fwA{=8gncW*WI)2#iRGa_>#VjNIe*E1T61(_1fDxvqJHbnir&ekEMRbp7m5e_RzJ)K!N6f`-`^&xL-p_L@& zN2n#1{Y_X--+%bfD*68i<{>&^U^|yogeisjft!&c^CF!qug>~IeP>}~7TX*G) z9t`@9j1%89UQ^bE+zO+4h1-dNarq|H^@_yroE%KGxHdkwOlk1O7xb!92%SRT{=VEA zC9SSa2EEmj7%_$rMo5V;jG5xTScmF3jG^zO#R*av@)+-K1HmzF!z8z7kqvFI! zZ3!lKb6??cS45K(qbqa+!{@pe0{^Us(0>frNjTb%{-v^=s65ac?oL4%{CWZ%;N*T8 zFlR?1fFjF`fHKrFOcWfLJw{iM=PhyV=NQBs`pZ1PH~Tz+?WacHz!+h~eLP)#{n1KX zvb^rO7xi~bm{g*Z(L^u!{%e?C+97pQGa#gw(Qm&1QnHcOiAzk(S`h`;5GoIGk-A+I zn^78htCr1-_Q+3^8&j&6bZW6g-%a=Jq#%+PDdb^ea?SECy1`$m=7nvbM*H!iGDnI> zpLhRQ0%zugpksXMG5%&z-#4BOE1?m>S=?)^I{x;X2ad7QiKJ*O#>YwMEC^WW`SPml zUc%@s@MthC9FKZbR7(*OrGD*S@HcUjX3W+Yn-ryzNhMJo{~>QOT1~TA*Z9anzxIyngzqiME zPs)xuW$DIiko^Gssa!Z()QKT-an?hXsW8u`?^3Ck&Kk`7CAy_d zX4&x$qx+mgKRq7ThO;8&)z>O`AV1X3MOtss#B#vF;Q5 z;NoJ6(UGx+HVs^gNh>*{eFtp|g3;e4;3HuA5s3|nJ8BPg~yV6x4Eq=jZg_g(Xuxa^0d4Q18ea_%F8=7$RUzC)j3^HBKM@ zGua={c$|K3X zQUKX^s>pX*29!Gxj_y-8?V;4y_yUqXJ3lS|16=#=31Vnm84~xrCAm$9Kz2wam#IpVY zXokmJ8Gt}u)R7*TcjxgpNwu)B9$m(0oM=zG7IcgM4CF>-h8=4*%OYF2ifTK}7ZzaM zOHLQG%MN^Pd-TVCJ`Ow1MlzPhd z@;U%WL!$mfv!cAkEv8Vmyzh2-;yJgDj1SJW-o&TYK0RcjZgU7A5xTIvAuO0RMlPE_ z=V;&FPoZUEQ1a+3-1CBIlKp*SQ>JmYDk9hQtz!`zhq&FsKc>55J z2ewvLqE%}sS|cgQ6ZrJi@fb@R4_*0HKpkb%Dt+Mq#ymMoiRR||@Oy5FR*8=m*jD`Y z@jt+nc06mApa%$d79f=+vEVqPtfi$v0->e*DzS12Hsm#8oA5i(V03lJsfar7r&qcE zm45ehm)V2|(D9yEs-?wQFIM~Dnl+GR-n3+_c9ED?26J=OWSHT6 z-no^m&R?WYlQ5-xx8=ak47rz*N0(sk z=6H3+BsJPZjGDc{@hso6704$^4s2&U(hbj`a>Ie0V+;qYO1y}G(=)2bY`!qU6uQ>~$i0wh1WdbLhZzCY-02ImglsLqkf%(s2g zyy|(@)3%tqc}>6U_Y;-0zGUgctPwIMMyhXYmxa7r&he$0bdXZpS&5AE3Co&|K7W?k zQup^=FYzU`7FDzt?M?M){M+%Jr{`DxV#1U%c0V_QZlFLe>wsR8g}I|P*rbvU@PV;7 zr&AVLoKxKD9qH?qbg-_O?+gpw@$DgQ96bf>d$?*&ielbs;-XDkm78c*jej_W{WFS^ zL4i26`RolVq_&MyeyppHoxHxqnNf%#^p`vkCZCuViJs~SXy~9e{Rb$*CEUU5i<9c? z)&2vtAQXeTZ!yG)XhOL-Z=c!{3bir>itc`sh8$*GC@XZ_JriG@*kS$$2pkGz296A` zJQ_VXFe4eH274XOp!zL+Ab(fDvHZ-96;@aOeQj;jhJS!kW|210&v0-x>l;8>8z~YW zWa?aKsHy;WCSLHGZ~hHnic_Yz|Iz)o-)>O?QWU})wLLEYe)Tr@^y}pnSJ*2O$xE*J z&RCfENLpioTs`k40v$P))7Vn*Um!;zKLeQ%=hL?bSNl)6!x^&+2YZ5XcKNfba-7<9`0R8T%GG%k6q5)Zw?F8jjfGtx*bt96_r%A zc!KfNoLS#4`gHt2|Jm6M^kt*~!i}xIB4(}hu%cpCJaOzBTN$+HRh`9vA7cqiR zVKM~xdY2?^L z3XV9EB(X{x^XZqS#~8Vlrz%&lPILiE?W(4!$gR&AYW*!fRANQUQ~3Cf4pXuii}?d` zMFbg8j9=WZ;ZDX#d;G@GOZ3k)(!#DtUT0zh?Ep6h-Qo54IikJxou|Lc_Z;CLh#f*| zD=R8?l-(6xnA^c<&hICO{>qtx`SCT=>)eIJ`Q5qcN@p3o3FR+!obz?gilc!jR`IRC zx{6=^8N6D-<=MUR*tUSUxF|FkmQ1X_3!$|>phaaC54u19MzS;MhXv|F0>^{Zqo@>+ z6clc^cdz!-8NOE?o$n{(%y?Od(9bc&rstv9a7(AoB{ll90^<6WDgk zUnK|-Ef-=9vDLy0XeW{TE0-%7fJqMgs7|(EU($Ak+u8YF;W^tghN*B6C=6Xe#}COP z>Bd;hZSTMWsjGp3KDc?HDRvlqqW<`c7|0Ao$AeSX70GetiVd}K(e>XeRyplux!is_ z?8UrgHoEg~-!3f)pDwvl1c4uG9aKaT^L5s4pjlCV1D19@2PkaV|8a3`4 zI}_upvKSeWO&#WS-nlnb!G^v+-Q&vEOC^{K^MB_n_!Lz7n8Q;zxdG6a$uw2U4_#-L z6D-JC2@JV@a`9my8psgBb~d|ZQ-c+mLNRHftA-9<6b&^afXt@|O@rAlLd(Dii9rU? zaDwur4YXwg5b|{wba=+au>^;}gZJ&704%N7`f;&*FXjILoLJW!88yL6fn1sO*Ed`M zNr33{@rRG5O4V&S-YZEv)_FY<1X}_fzK_08nG?Kc{{X+wF0$jnlQWzi9r8kTMzV!3 z`*_tTlAleKnD`t!L7~eIXZOsStGtQgE2a5{FAIP(O%5SD{{YLzjG7HWwMQprWb?st zMGZZ)1jy(Q%A_@if9H9@h*U6hI%j| zAdrl08Oq1T0-HF{&qW9hzr&4n=#i$S1cRHGxEvK~F<>+-WHy3$4ji5?4W%rA1>TmR z?Bm%bDiF}J$$b^&ufQoo(TUNZgsmJ13u4#~h6AJ!_-ooIW!b(-4u|vq0M{A4170P0 zq>w67hG8l7hB5dDWJiLPuO6QkNH>|vkn9_!E6JW<&Sr9M{dA@3T!}g(gAU8`M zZ)|@&ItdgKCG0UxKD_d-X^w;+yUmCyqZKFs*`qoAmcRy9C{%<_&2PRK8Ufncm8NYw z{BQ=GMMa!NmEF(PxF;nt3Td0Xr6&+*fMh_3z=v^}al=vBMzA1zjM{5}HmXfV3Of}$ z`W#LZz!h-%P>%af0(i5r*nBQCaYD^dKbMP)a|%0RTN#+sz>SP$nmT)Xc+l2NyAeJ9 zZdNu%a|IbC{{Va91e6DuD*X@kIb;o09EefxPew3tBVjUG81JX+JL8t2`wD)zvg7(C zRh9x{e@2Tqn18C@22EU-4+EFG1O=53lAnEb$MP-^m<7PQ8k35ohH7F5X05pQgSI9L zoQPHX#}qUW3?gTpUyeSKAy_t2X9;!LaJ*6`T%e+eU_$7ch;a~1E1K+>!Jj+OSj$hK zlq63A@z)I_R5gcykd?QYf)v>V#HDUj3~dM(0AW@giIo|^My^AmRAr*;rTOtc&I z6_iZ1(mYD5QqtN3*|*<3OBSY~cyC?svwfj0lav<^oM_3y^yxDuO7_o*mf{+MA7}IT z%%5H)H$|ANMsWC*Yfo9v_LM){J-~=QglyF z1dPC787E>WDhonKZXakRY7}azQAHF(7-;F_l%@#nmn)7S z(vV!??d$zJ;P4P5qPXvW>~WbSTItwPD<|gKi3ojeJOqw7&@Ysrb8-#T?qvS}oGs0W zw4fv{?3$I#gyw=d(NLvHjmt3@P7t8G%qlJ}rkpyLfI>M9qF|~3Bn;`IxuA9}D!>U| z7~Iq?WP=)InPJlK6QV=$zB;Tke}DDnBk|1yTQUIPpjz+`GEb}|n{5;2cjJIdf{W2p z!(a9|TH#yWw{P6_(a5Dj557o<0fYhDpFSNs^@Yu_90+EJW%9+(2J>%l%QLVI9qUkRtTg}vXG-rkMdlUM0_C{q@;}~h z9LkVJ4JVkt`!mL86m(s_HN0mKdf+Mub|@jo$NsRh`2mDXyM$5)N<(~b3RlU^!PELL z4`4{AtRjd)O}RKEDwu2mTu89F!PyINonWw3@6axUVpOqYj93^*>~=@^e6oX|Mcp zav__?GJ3~+)D(OGXZCSWaB#Em{{WtAeRDkTmXgYa;FY4#VU*+GcrqxzQ!i~Fslq(* zJ&<3{d2JvoXWuBy5^U@*!toJWf>zKh)ywh78PsiU`1#r4v~CJ9May~3nQwrv0VJ+c z5$XJKV4y>QqQ8$J3Ii(dpNAwY1QY5%;#=TQtgv9W$~UwVA$Bh%zV$| z$1qUYy~;m~J~-8L1^0LV0Gu)ch!|H7ewyG^DuqfrOYDDKCI=AYpkx@}nDELT z9{&Jm1<8PB>g78-;spYMC~Q}_&*+@C0R()&#OPxmZ#=D|VQGBtiJ1Y>%Hm zYq9>f$|XzWvD_vu*MBYve3+QTu8^h_*1(2DVw(&fO?u#M0VD((==J*N(~NNwc82;a zQ}FXRqZbxg?oPcl;eZ0)YlAv8Z>}2$5XJ_=#JqJT@Iq{RfxJCddPSHFc`r-74=)7( zaA0R%JoNRx64iAg)GoZ8ch5er3R6Z6Fe_LoWfN{^VVnp*!|9lS@ncG^);4q}*?l~z zVG9K#FOZpb>qjYw0BTIK{{Rop_+e6OdoS_6Z;R`zDHq=R*Q~9UY#RVvr0!+`PIs)8 zpe7Mr7$uRk0ERG-!)fGCo-vS+3ZT4*foBk$rz#Cvc z%&Z3BonV7_#v0-%$8_aYIA%vffn5Wokyr@?;uNR~HJfMKx6chlVykgpVrY|t3?eGb zP{(J!xU3t^GJvV^o(+{Ck;R8!d`>Jhpcy}H`r%Pn-c=tj=kdmwMRycfkF%-Mc&EsG z9UjWlfBCKr6_>QZ`kZ8>D<=Em;4D*Fuuucc(%wA_H8OCa21!>tu3jWbuysz>Tqn=2 zPSAD{$OuTLe_TxoTCQIr9gF_}&Lq|XVYZC%EFcif3Tu7-eCYwSgQg0re_|(&F9K}{ zD<(3BRjzLg!43g}MMF#eaMCp+01HV}qI}?ZR%(J86QLpvpn3y5>fW)*Wx)z2 z0%`T*7}3QE6pa05eEsl%0=VPNF`x&rCun6r(p$70GW3j$@;?k{ZFCOEB8qM+GWp>< zRU?CRxwGT>Itl8GygZ12D0lqP~V6`lIUXZ+>ltIv|rk)5OGS-1+! z1ZJud<&?(P8Dw(V5-hA+xQ^%77%hVUP+p8=G|djN%rjP=h;zBo^9~Oml@=~WWMJ+Z zU@MQi1PJDBjb<{XGAkbuB7o!q69$ShapXb{T0srP3uGh*3K~R-wK)6~U*8D0qBVp6 z0JjAKU?G6OuO)(zh75K3DEE%~!Nwlr$Q~nX2~GHamT~I@0MiCTLHQq|u&)#ehp{Vw7f*@BgIeWWoOc(sD#oMC%XgajRlOETGqW<%MTPqc(Z`A7BEIu?5I) zJ+;XooFmm*8K6$>()jr^`6BKM8r(8EhfV-WB*k;B=bw0-vYF`!F)5?*W1zePHchIj zDSJQr%gQ^rE`o?g~MOshunA!Qm#&fL+!jSIL@A2(gXMZ0ArE315p%Ux$f(b z>`Jx{xyp_ey2PpmX7V4y-vx}MD+K5z)9KEe5p5x9P19H#KxW+{hiIcOYvMidwL@eg zo9DO3&n3fLz|oL9o>!q6fwe>q1W-7$<{|{)kUE7so)|+tmAd4B3MTaelRh|}UNC|z zS&EoEhX7#!8%-YE{{Viq$G2(v417Pe!@dNV0a=^IN}lI=45bZ)#}tvwK`t0{tzyu- z2rMQ<_sh;W)`T!dsxaih@w|C~sJc~D-rU)q63ZiGQII}GHfH$;k1*UBN))7M*9H9! zBoaV@rBGgkrzOfn!6OY}*QD5SU|V#PDf!y*S7^f%0{;Me{BU3A{`_#lf`THZV{(5! zxb)CdSK}CH@5JNSoer7*0B43s2z=M;i|cL}F`Hpsc`vfSFJuEa7N)<+&no2|ZP# z&&Lei0j3!pcK-nDzA#jQ%dmIiKOQCGs5#Cf{%hAq0d$~KRLbI_Jzprea!|wp;n|0v z&Ef-wlr-20jGiRpjD@-@<$1aEkIVhTCaDC^(o0%j4lg-==P$~zBe+Vwn(PAyy0X z#4<5WQ3g+c$KE!Tn#o?e{uuEtP{qFue_WBFVXEnIoDcDkG7Wu#RWH=?xbr>8Ds6)P zZ~HtmW|8W<J{O_i(wr5*8xH~g zeC8ypflz~Pw0!m71Xlq^NUhJmrXC=a&6lHR#r_)U)e&%oi-Q=3rjdl4DqtW5Nda$k zIb<4;45r)#Isvy4A_9;Juo0n{ZL=j~mdKT$id^#B7j7UhNX?=YDwGjLD^6q)1hj)Q zlL5jgi3sFa#{kaJlrYIG4VW-5e;MR6FaH2IZ1jPU^#1<<9IA*I{5S&k6D)*$a!{IT z%e;Qx3Q4B#hKj?0rXiH?{{WvcH?m%0{0HxkzIDTVnix;9{x2pr0%rqHXI=U@azsm! z82)c8k|61jesB9tJm&n~I1tJ+pY(g=scM;&gA?J;op)#N`2PSrStGt(fCX;sX!xJ~ zIHIpYmBASD-@Y?!nP>_h*C?)5om;+%@ZbzIKy)iO?=P+}g0N)~0pG48x->DB<68W2 zC{((nu!SMU87@%g1P*r>cp@XBq{ylQQOc1kgF8X2r z06w{807&L~s3CMfKaLka98pd(qU4WwpWh^byy7r;F}K+9;4Zr2`CdFY(E@Nbv-@A) zClC;wB>k(1NK-|WI0vak;LU{g$McRj(i2nMd)EjVsn-#>2@_+GasL2Ls4!zL#GzR& z04f)U!ovnt)y_xH^EkprMWC!81B@Azes#5sP*r57Z0lU00XU5$eSb5Ssd>bkkJ;BZ z@o`KEXm|NE#Xi9B2wP%6Wt*H$19u1sax5u&_16IHAQ%=(s^SND@iH)?sf#qo8AdTh z;9|NjFfpQ_T29WmaAGJ-s5a3JiD)2*WR90IC`HSan8=4X3seMDSM;)O@!az&C7Uyk z{y2vvBW#0*4{rcsPOPf-2lD0ACuS={q#NJK%gNygV8Wgc(amKS>tS?ueeg+9Q|1qm zogMyMNSSa&5>$02zBodNg!4TzI^C;jGwzK&T7e-wyG?F1)M}Us`qg<5+_% z(yW)K{CixQHc=jCKPUqg$TkEO11@dP!SguA#Y!dJH`+KDe6X28nD7tBd}Ajfp2`UU z4@k655Cw~oh_aw1H(MBGv$uK5smlQ(TCbI?C+yCxIxT!HbfBZJ$24!07`=2e~)@0K7e__8X;> zw`28r9-SE8LHvi`3pTn;lp&XomnE>~G629-_NPm~uBQP5AZ>AH-MnovL3&XB_z%D< zAVL9or2xhVRP@ycLZEgYoTC8XfJ|u&3&Gek_B=TVG>TBw$Rf`dQ;F?Y4|);9*Zq1O zW~0$#{PDxG2VhcXzvss915+aa*#JywZk@97B2Y099E{7Jz5C^v#kWBd&YAi1#XjX0 zwWiUi+T;nz9%PaBEq}yj*#Eqo<7#fWtF(+NDUFy0~q%_=aPnb=O6ulJTV~wCTbS};eR}5 z6%E`aW3J2dIYSdeua~NSJV1~#OY{E#oFasy8rJadM5ErfjunbW5RgGM(qNofz_%Z< z#i&S?weQCl6-pxrIq99AoS;Njf#))ic*j<8Xb2fmhyr&eYMuLWl_a^(!*<`U0aL8b z3S0RecfM|TZ;Apd4wLzDMlqwNA2(hm`qwf2tfc5M3@Z+^#=>M#qC@RYMuCXQ6z8q} zIZ3w=1+*`HXm=^un=1J<;pYLDFMGCepbW&F<5TRo(vgg5F{{Ze_Y8?tpLNqpVsE*zN z5L3oGO>LaQm@O)aj)h-^A)X%@kN`s*yv74JEQ(R(38WwXTH<=Lws^K#mCl_gBWL9F z3NW}^1fn6O%O;%C(W<`VU^0Y+gxVG%4$4hO91smM6rs^6RHbkOY^Mx>>lk7b{Jf>A zD%!*eqP`*E!9PP>#Aasm4?>{}1ck}}033}PC8`n)vEQCniv?(jzoFx}RU7~p@3q;F z1SMO5p$D}W`Rj)0Vz`k9sOIa|)ujjya%=OK_pESPzyz4HTp$9{(;oeB6wOOuZow@s}mg|fO!jDF34_bQn#ypY;0mgDj z*^3-vMUvhox4lr$B8MAWRx# z9pgN!FiS(U@9)3PcwwU|NI?@e^`GAc;~W)&a5I0*ae|;14ZxDTe>#(lnOC6tJ^oL} z92&I>qdoRN-=02MfWT5_yF2lgoF`R#LHsO!S8J-8BTQW?SLgG~x&(q|hB5%36?);4 z09bG_(Ade06ueYLjFUjxs}9Q3#T}i1peaOAR?{|*0-B*iqGAhr4o$8i9Y-=#CWY}( z#f*S%SAXw(Xf||ui_35lUjirna$U9!MrCq&$;8xn7WiM!4GM@2%DB&+pU*O&CtPL@ zUcGV*$_HQia}P6lnDX()GtRTlvBq{ywy5yQ5{c3hYyEiy6pXFI@{TSF#w^L7zGrz= z{qc-5Xp~6T09=eV8H+m+Jc7?t zqlZ=Yt6|r!;{{ZWh zFkbP&IP!;K_G^O0D2*kNcb&7X`%RHp4lpn5PmhiiTb>@%1e7=AbJP206%s)O6nn)< z;h~HICmV}EZSpzJb-rf8APtiagV%n!kOHDPgFG`o69*7OA`GrMW|;RJ;DCw%&;w7) z>x%=7jIDQ**XZI1K?n>Xfus+b8X3SC=7p!MZG`#Ykysds8#DuE7mP^i02DQ@4AH{5 zW$wBtP9{KY-dAS~-WXzw=Xs)Pye3QJR!DT&EW+(MaGgX^h!YWelH(;502UAd6Y+EJ z-zTlWCO`+W3TtmO;R_^$GiK&W@3)whryBMqe=Eb9^1(p(zxZ{;%xDRY#Obfc4BS%- zaxd~1U2`W>NRUB`a$;)6U`#4eP@@_6`20LJJE~=nSHuV!um1poS{W8K1|jS14r_`g zSg1HyswLYh%Se+YB8DNn;F2{ogLWI79#|AP-^JPvX2# zMEn^V{@=e7l5qe<5fcPzeN<^@Ft1UNV0%Gl%&M@>gm#P!0{CCQd;rkwqH_d*`57aT zBBJdeQ9O{6tSscYKqdeKqC#gB*MkrM?ySHbhX4l05r#-wh195MlvQhWQWpduAsY(? zlyY1>1keTjettNN1dW8Cub7-jrrzEgSeztpRVbjxo)=6kBY~nanXu;dzBw#H_GibJ z?%SL1z@ddz zTz|8k0SRz*oohb_;YjbQ7@7xaBYSO7=|nxDV1htoGMKp$?H&b;sv`YPIVHdjIOjnd$!)ZQ?A+rz;3Y`XTtEk0-Cul% zOx+?z<$Ra%=5ZARBXDf!!TIaE5xhP>=NMKukg#Xy{X6BDmI!DkBzK*A_!W5!uR-AP zeK$g;oP_TDs>L-BC?b*P% zg<^2QBQP=m=q#OT5^=H%bW-``Uw^c>?|b2dQAOBXU+b;#ku2KwL$9t8KsiU)v%fQj zfC6hG{{Yy1a3@%vdC}?PkP4Rg$bTQlG}{h*T=C;POTGl*i^qy-nJE_O>^Q)&D@Yc0 zc6e+Qp>9~W-Z=OH3g}hqgrE{5fbc*ck^FyrNX;_nLMiGG=YZ^FkGJ_;H&~#*d|`!T zP%wM-py=LsT^PG4Z&+{5a-4HO=D;m&slIRJK1$(Y=Oot{R1&0a_(ZjDp{{VPL3c=u`S4Z;V3JwRq_gtosI9#F((_8TZLa<3dRU2%7 zjt4_e!JpRyL(9RB#?4zEMV*x6k*+3C*4%h91w;uHCneVnUKu!vtxa zJDiso2?{Yx1rnjK%9i0fGqS5D_Gc)21V7yL`hGl~%rQ0EmJ&~nqnr%0LW<@@7$ zllK9sw=+*#oHgpo;07G-x@S(N4v@`*0Hu@p71aQZ9?BwtepTT2z$q=cus{h!s))n@ zYJy;bmLRNOH!4nM`FIIXddG_wh;*tbZ16_JU0(3O2nv%2;D7S~^9IMLr9h+P-N&8d zkUS*7%8fGSi5|W3V<>pkfDH;UkrFg1ohn$uPMN8cCXv8cf`PVA2A|7^cAp&!s3$iU z&spS_970KiS&f4T;75*dw6#VBgsAipk>L)S%c9VYz_7XuP9W-0LZetB3MoUSodN?P zFUMIgnlnVGIH2RK*}O9UZKgcc4J4jGYx-KiOS$G!@0hoCc4#}EAB z8*N2OJmvEr_nIUC7+D7V{{T4gv;YjTmUF)x)%bh^8m?vy$lA-x0Ti+-2LL)S$9RVz zQVvk6*B9!uU51_>1Lm{kg9iEr`eUhu_XcgFY*THNL+`73$C* z0(5xfQ0%##@J40T54ki@h@7O)fyY$-31aK`FVovXXWsj-y*0Oz-kJTM%3ILVZmHW|V7%&gNV$RGK^ zfQSZHc}#!0;8hZ;CmH_$-ZfQUTH`ZP^l%EoFSi4O=|9I7jF-%*{{ZFF$`MR!O5}Yn z6GEW?WdqkkT)N^#`FF$la5{q;jsBN`4Xa1aUI>`0eiQw0fb7``FrVYsGm**_%E|r? zd__3Lp%)4te?P_LT^AmOFITVi$yX#0M1e1^6wsNmfyj@9{{TFpDWqMJVd#C{FeI8y zUdPqq3c&^PfEV!oIe;k$qd4&Sc*Iwn%qUvE`QeF0f9t}rW7P9soq1YW0k~H=@_xAV zLL!6~@DrHE^;d>&#f#5d<|YCGRG(wN9G3SA1J|1CgcvLr zrhRaaEYpnviqOVi!Ks=Fg+Ra-!?5Uv^ztrn0A1rMQeWx8JRPW1$XHs+_ry4Akf1gK zj^!ta@ZgVmGYb3~&f@UELl}&;OvBo|AA!k80$YMWlNDJ3$bK`KS3wgj!{NlBN~?yE z-+tKh%0&!~6ovbz?~W%21wSl;Fj;|VJU0kXqy!;TS05$y$N5RnQp&kexsEcdI#>-k zkMEhgIblo>J+1U%x0Flhwh2_mY?B?pc5pOT8V1Mm9cAVxUVw-22iF`|97weZ3yVbB zZ;Gryil7u3mjhBeB2c54FO>pYbp~-Wjss2eUp!cuZeYh;!zF^57XUarGc0}3q2U+<0|qFMeJgGrZhbYvhQb=Z^*LdS-HsGY z{sZfR5ljHGFbstrg$Y6ibU1*^Z`MN>795vw0JRdA211!>Ql3JPkg=uFBHBr zzsH_3h!?gC7wyc!8i>piz7b_GY2c+KXb7!l;eR-GHWVC;2G2v!&U3(_EENOd<_*YJ zM`i1bLSB%KuDko*?BH=KlZLaQO5M|WRQ!Srk%+Ne^6!2CiPJUI!Lo8Zhyw-ycjud5ebIP{{S~1IO3Dh6ji%Z?5C%qNEAXU0}+q#dtOqlOQvE(HFwBx zL}3C*ElSR`%d#AAqYh>AVhe%y`%^u?GPto44h!YDyTzo8?wR6s$L@va0EoQ z6)^c6#F1QJ8b}V>RqW)YjI7VkJX^&)Fn_)}H+u8L{3=)?TqcG()Vz9_oIa>k*J;6txZJd6o0+3gI&c_2V`Qbc) zqG1a@M}Tmq18M7p;G|9+#aCcLhE5&hvItmA7*py%Hi}6g7bgv&tBEH0ot;2wV(wv^ zXLqrvKu;MWg47YLE^-4WGgk}0~QOWiof3_ zTnCYy&({9{Jhw@c-KX_<)b@JcAdF*(a#1tKY<@T|mON4QT5yh2$2;a!I6<=h9>bGb zoF}0t<$gHiR)uyyhaZ87D}{≠mg1NuD-x4kOw>OPNYY0+j@D?f%n&)|TKbaEdjP zfgzv|HD9^!#}TpBz1V&L{`i4oMsO;p@y8iVI>;~U@xaR9Y)xODze9~LY{z+h^BfvV z=H<(NxB(;#Fdb#ltUo+cCJIdgzj=OM7cs&3G5-KLJEf|+{B?)$@)0nRnh1e+zt03{ zxZB?d&|#;XpYh}eq0js4f$q7!fIp6A@JIK_LqZ@F6YlaXKO@hSJMs06Vqaj!6o zkxL400&iZ979Vc{)81!q*N0q@5s?#Hc8MO=%~;902JRb{TIvAFXSO#}|QE z5Kf4(9^`=Fya~WyM-Kp=)|3Ve4GZ!CAjJsXhP8@9;I2`I#xI_nX;Zj%XU2cP?}xlV z6&1)^0@1JTIDw!GRy#dOw6k!3h zQKTCONjN6Xk{Ez3!yMS8;C8!2EGno~vdf1GfJ^wAnP8$|4!cx~a5Z4biCn>s!(>@1 zD{9c!5}X$)zFF7>@_>Fc*KgWXjK+2W$3eebU7I|t(;2_n%u=BB5ULwb@x)Fb5x7$m z@bdxZe87dBi}9@S!B-;S0N-m5#p4q?$fv=FUS2&1M2r-vi#JnuW_a!Dqss@9bimsx zez-}RM!=4O&iM-9aNXP~fC7#!caiIrYHVFfL6gc7?-l_ZKw*r&nEoE>tCUlNMSDXf zf3wIyphs`;yXxzFoM2^h1%H|E?i2`3qc^Q%hKuK+=a8o(QdYBOI^xLIWB}f4bAEUL z28LiRStFgjpsx$|10Jkp%LDwaJOKr!ivavGmly>c%S07SfD{+mATEQ#+KNk}Gjuww zn-&1$#btC$==f${QM3i|t_b>oe<$yQE#9NTSv$a3v4(zWkDf>yQ0ZWKvGJLskeX8s zinX&EmmPd^YyfHisp=!I{4@n9>u+DV$q>x*hpUB zOWz=*1Es9yuF%EEE=9u>tCXB;y9iOHMQB0VohFG{&IB`n+sfp1)5su-E)g;vzK72! zDzMZlM>utli`wvWDJ4`WAg20XIdQAOTO6}SGIjDjXMH5ZCa}Q=je0mEFo~&kQpfUK zb2lXcoeqc7cnYAb!vruAO=6keYRbaxjfCJ%5Y|}chb=)VDcUq9$pGRKdJ`Zfawx1- z2=Ro(A)rAMG=jraf^vJE23EjA;OSLst`%P0Ur#ZVDG%l0Ux99SnLlq3M;LeSgcrp?mef5a7Nzwx=-)MAfnVyqCqnO6hRl{{WNknNY@=AZzc2QU>afNOw=Z zQXnSj&kv)P98vpdW6ytlmmPV%@E2%mmjr*VD+Hz{a9%&(*c#=X-S6|y3yUmgfS>}% zvn2dW>E-ZH29Qg91HL>4*_F%><)5wvYDF)@z6PDesG zm3j;Nyx1#1W5D|3B7_v%J9^uBR{@j9&k!Qyr=fpbphXh^qKX#}#}RPF!TfR6GJ}Y? z_lbADTp|?5wf*w*I=oq0KY(A*@eNSh00t{i$J=;@=0Fk-9D7;u$;l;s&`%E!v+xc< zY;5y3Qj7HA>v_3MsAaBp7t-jr%I2IvYge{-c=+SNf(B%pqevy*oE#J4I$@os6(B)q=6NpfuW*8kl}j1ASsk#NY$i~17qa>0Dc^EVQIq` zy?uDOdf>QfD`;@%c>Z`#Nlee-xkGi74hFPpo@SDS=;=}!+M%U2)h3OK6zCl7FJuM)7MMO=c&?& zo=*90h1xk4oj`^F>8aO~A#|czqU}c))a0uq4vwsM@2{>x8hb*8+}cbXjFBizqgtpo zDhg@S#z2u>kz1NfJtR(Nu|dIvo>pfHB2X>sWR|uoTld1$UNI*@MGzs482)|nAYdkU znEwEL)Olp(*5tx>nUZ?%jZY3p0AWs?(wOdW&S(VINe@S_&jO?=QNqgk!~X!BisoZ5 za~f(I_wme;5L;lttivFHg~J;S!L%ZB_?Ig^YmCioIX+Hc3Q>@@n{a1<)yXd#rcifq z!J_bsxYAIuTA6SJsgWKErjoFurEzWyYdm-uBoPrK-FPRSUqTcXi7VuyPv?qW2o04N z{PBz>mHHnz^ZoHcASB4KgDE~`Hn@~3xU$=!Z@}}dx3F@t5a~QGWzQ^ii1hehVKa+a z90pXfz5!bLt#1iJ>wvY6$KW}3aPVL?B*99=4mHBf((OMXi*DhP@nDn)0h=F`^*(_B z&|=*O6dPj?gCEy10moz%EFw5JHe-(#1eL2PNbgurlT%5iK^yNYK4%T$`bVIi^}!G@ zE1B0La;XAb#F@;Udo#mek;pY&NjLtDGZ-wApt!GhiwIP5NX)YtOw2m9 z6tFp`Cv30+-c*diW;$U32e&4;YkCDH%4gVgWhka!G(ZA51J6l!m(j?%B$^86`S_*q z;2_8?jhJ)Y_<1RUVQNA!!`SCPmBdyQsi%9+xvucm@TD+mnP%ns`{C{9lr>!S+EXHB z0j3s4fYT|wU<}SNMN@5tl%%U*0sy=o08|x40a_?)U5kiFazIOZ5~5_vPwU1-TRoy5&+CxU=Nwc8E+8!po+FPRgT+)0kXida_)`&C z9?8~yJn=#U&&hSN=L5-R2GDVG`F=T;g7_Ye@6Q{)MXYzL;rVyypd`AJ+^gTuftxIUZP0 zE{k^c*BR7?AtWd=kJkcpBF~YRG(NazieOZtV;}wZ$4zcq%swrRL0WO->jW2~yhGvH z!UEIYAu)G8c1_o0Y@|T#}6v?nbjfl-}z5_+#`b-bYA0*Jy|dRDi*2zQe|3`o)V$tg1{Q zpy6UJVPahcR2p+JP|814C{ola_9|uL^S4JJx(^QEJD9ZSG#N}5 zdl2aD#IK zgN7Nzev%X#?bO9_pUBElDsgH2pO zR+9t)gVG5ke4E5*!7+G0~q!@&T{xQ#ENYI*$i3XN+-Td!5RYh;XN!b*s0*l7ifowqDb!ynBY z#u-*M!R;Cr@U5H&#xRYco5F_cyx^vCh#_J7kB7$=u$-ZgFY5-oHLh87p0PiVHT>&? zlK?s-8tuLL!*EXmB@vZ+Oi=sj-J&awHE1{=&BL;y3qfu$+(s@Vw1HZP7psp`B|wNu zTnHuwOC$nga2A2l5*BJe<3wqhbYPUAQ-pAvDY)T9Q!$ZXn{dgE$|ofW;19k%6i}Kk z0+49Mmx2jV;tdcY`ESH{<1jJ}I?YEDFP#H|!^1c5`MI5C2SLx*{_+-qRt8aC3n7!=*9Th(tIFk>{c|ZW z!}K`5j$9Jg3;jD-Flj(jCtLQ>dl zNRRvLh8dZAf}Brp$K?cRsRRc6+{bTxR?b9Yw-RPjI_}0;Rk%bU;N~POc~ZWNcT9CsruuSnSs*qf^33? zChwbU6%a#&>OTGOPjJl%GS^Gzzg$Ph-fZ$uZVBM@&X7Mth%mS!WCJ)*3FHmApx5}E zJV*re+pF(~K+D`!p?NEOjx#s{rr)+`pbsmpQ-jmuAhw}| zy5$Cx3DNh;+t#+_%!b4#on#(=gMr2&2va}2zBr&}1}G+3yX)vJP9>72O31)H{Po=A z8@79?be`|W9Uo-_DrwODyf|2q48;WIVY;b!48cf=M2A9hs*2UhX{upB1K_z8y(CDu zXQ+~v;~|uHZvzr4t(gi+vkx`pZia=F(e6}cejRfXm_gdke^~0gQg@Cs%Rzz+IX>Co z7?W)>+tf?tJRFCHq;Z5NdcF4HD)X}f+thXQ#e%9zv^(;C?;K+kQ~(Fw^C~+HY1vJK@@qU~Q^W+ZW5yp|YKsR9Zo)Hx0yEpx#UuzTc?XRUrjJ83c(|aq$PNlF_YW`k2Vz%;Qd>2ue5E^y{R05(49`-~?6C@Hdp^S0o z1{hSp#dC)#bYSHc1x99G^k{cJa`5wvM}=G~+ucdgXV8aJ58886{=kAW{Rqkd_QE2JyZHyY6+j_s4jM zAEEz4ES!ZKN<7Zzi`pm@yb?$tp`v(jdVs7I9 z0P~K;jzz&>ugAeLl{uBKM1BT*4EVj&Axa+C{`fU>FwF;iCL&8>GXMlmE-`Ej@WwWS zgOIV@5~a&8C1J3KOfAgi*Wipg6mg17*Qdv*jKoj?chiiYIJ3Sva;p{)-}}Aem>+T! zQWH3hru;bz)W{9^SmkfB1Cu;=5=62%>wbMaf`cAJNOZ@JB)p>a!dFUbE*ReqM(~TT zl#p&c7bAv~_sGOV#t{LIitrSnNNiw2u1dLU0MHUd>_C-+#%waf0>lh47@~vw^C%O`V+WPQLcaL)Apr0| z55K!)#6RA-hvuO*6Mqf_=&(Eg0LpT9rWxc2TbNdSIR5~%fqsNj03EYBet8Rt623+L z9!!J00=Ie1mQ=!HsA2%wDq<;vZUnTp}tV6!>wFN{hDxKOO}u!*uw+ z^x;(4(fwY|Ie22G<3E#zEStgg%M_PS_umVwb}yg)@Rnwsc`x_D>qjY{myG2Ofm&x6 zd1s)Sk&A@IKZC|qqzDzsX8g}9R8>th(cwQma{mC(Nua0me)z;P1mnp+z88^R;1Vh1 z2Y9mzI;j(Y*lBk!UF_4M_~6|UA}{6f&7m{NglCd1Ai8UuI!nW*Rh`%Z(&=UPW+2aMHMiq`q23H;nCMrfMgA_gF z?se;q0m^1o4MAISYLhpH5s1W$u@hesyv~~Esg@`KOe*cEpinSqs1IDVA`+(%8xNo{ zlSWKu9#L3V#j-K*-Fc%Mo=j;sI$+9*xp%`k(lJGQ4x+xk_(`Lw`7`qW0A4sCJFfwF zu?jFNt6$%a8~|f6esJGl&YW=jfPhAZ`UZxEy1JfslgS#7(@nr829Uf{3t1y=SO;P zr#z|AL=m(eC+6w3bZSDVS=rFWb4w6C!Se-oZjUqRCcv!|jffUSW?QII6*Lt=wT z#|*%LGz^U3UW{D2NmKTesikU)4z7w5?T|ED(EwBi42C>xNT8laLshQIuBQZsRfbt% zYM%`A5FwSmU*9Wv{o^>YK|?AeKq=L}DtWBfk;_a!*F0FI#U?Q4em_CS5|Z7bOQ`<< z8gM6k5ynx4I|i2|n&IaZTOo-qt3ULjj7wCd&FE$RO$hzKw`;yP19pw+M4H_=3FtIQ ztP+&l36w$OSZ5e101yl`#wf=YFl|^`W^fjM4kkiFLjcF!?`)jFfY{(3li zxRV11upuf8z#hpFHDh|L8bHo?D8^`|7;2VWqdJl~W*4LeG>}C$Ot}keP$hK;`nfV$ z;d@82wwqm5_2UzU3{H+O`8XFUzzg6fJBvo}UW+1-hk`F-H;uF%q+xp0%}jXT5GZqw zsa-uuTUHN~#@?92D)KfX~b?e3A0;Wlh8#BeHjZU~#EwGMTJYj;a zdM3WVd`{rWMu7o#MNG`Zs>lQe0v#QZd}Y*RXDhV^)$TPf52iezrD$NxtLKPmq>5zZ z$oa?4IYyjNJM5*iF?yjKkb$L5qlR;y-S=O*HH(l86h4u26%5J1HyyY$^*V2-b?~hsFkw zCz;h4CQ=o2=yJ9w6HHO?c@m5kPqU4VE;Zh`T{sZz3E-sG4K$OBCCb1XpY{Cl17r(@ z&;I~DRQS3S5PB-(0n(t1()Is3evpZ-t)`Lq#vG-84bf-mQXGZmQIpJyzILear3 zzt4b00uQH*Fs=*ZBmHpM6vCxZyXU9z#L%vEWMlI24Dlb&GLc#pReT(igCRC*Hw~Tz zZb)jiys(rC+zNU1=b2Clgn$g~@N2h$Ph*5Ga{U}r5?~5yfa*#4;Kt~8{{VXb0Ougm z6(>l;@V^{ULBr>XQp~~FZ|4f|BsPI6WIDdyGy4*J3%wTpr3hfQ)R-JrSSx9s!yr6Zps0pa zkYodxkW-4Fq*7FkZ4)I?9txRc62kN`3Y8s+#+w1iCd;+Jv$tJPqiO78d(%1VQmSE_*6kI3}6Ip%5zNw%3@#<;wyx#_TNu;e-wiW>&!#kJq*w7z9YTXO$u@ z4zIb2Emt5N{{X%@gGc_y2dqS7MHiF3{BaDZ+QDF8mHvJ40;?Cy!9K4eb5}K$ z40Qhh7!A`%sA7raAJ6LW2p&Zj2sRRFe6r_{z^NPAo1_uX{fkXE;nxySv)Po-yguGr zsYYu-P{VsY8Byh=HjvJcTcqj%cgFQdQ0<8YT0Gx8vZr8|Cg#^poM&@_-X$EcV_)Fl zAj2*O7rxK#bqHSJL>#rO&wn}a*=mZ14jsSCOtuvuQ!;>u6Xanrcwt2f78bCFhuhHgfgYSn`wBdM+_SC{hbu-M!Ap}QdZhiI6wq~iF51h>W zW!Dq846|~l9bv}Cz1&C_Z9_DkDxx3BC_Sx4R`2M#nq1j2V zJPAgtQQ4JYh`}b~J#eZK4S^_$iSA@6BQTH<>NwmuufAxRG(x!FkxzdL3m^w)PeJ|< zJgcp+%jl4GRN?aije8^UG2x z1U$E=+k`A|3DiHnNJVLKX#V~34e6oKAxyp#^}*K)Fp(krFARZ_m4pxJ!C|W4y{mZF zaZp^!e>41Xw}yUw`{l%iuAis)aSv5z3zhV_U;wn>N-bGkbhb7WDh6Jl4DEbpK zTh}t^3KWxYc1tRF;c3OWwMmJ-K5^GBGOc2P+WB7(Zv(=KHA$=Z9dJ5qYZ9hAa{mDL zk3+5_;d0NUMhCoy+uOP+ZE+1hT?6(hX zYZ(cAvYoF*GR39}yF83)+MVlK`7Z&^TNRkg%u#bKb@-&F_uIaLVTf zf13N_+sk z9d(oNGgXIRiM9f;EHg@XLz&SOHbT`coOukOinOqD-oWV{eDMN;)mEC40A~z4;a!?7 zP*p~Cj7v(HZzP}uBYIejj?yWIm?OGq1+w`}G9Df?>g2Qk3c@nWC$Na^yQN z0aCC;wacgc4g|s&O2Vjp2y@~J<=wO_v?>Er`Nt$6_!}k&J#(FMh?UVCf-_#_{`npP zBtl^G>CpPsBig{c3zc_PX}%z6h`Je=-X^y(u35Wgj0LIH{(hQ_`+V^tsT~F}3xQ%6 z6*w9!ghZ35=;#*Ua7o&lu)@(4>lr*FrKwm6{2N^pR~*&RT!J=~myZO)11Tpd>QDAN zp1;-;m<^nmM$?>o)-zFp=1JTA#*d)u_u9rHjt1c!Mpl$ zy_Z#lavWD&!eHn~(O?KvqJkCxgUewEfM|08l9x&#N(T4~Rf}@&!s*~=nMouTm=`k# z>1yyU!5Edp(i=p{nT5*r^N2E1H2n@AenQD%TCXEJIxC&}hHC@n97zHCSl*Z!&HgG~@W)+~K)C@m| z!@?>NtUX}U`1Y5JApsuVF!gRd=T8I36?KoM~@s5t0_J50<-VoZ^_L-ag*abGUGVlT4bhBNBZCnvIOXd z_51M1qg8}U{EjzQ!59y*$CF%8TLZ2!I*2peKZ^ZxA`Ox77@qvhtB5A4;vXT!1``m; zpnk^=C2`Q*i}$BBaXj0K*S@$IkWj2o=LhSB$gaz6Zg$N70A4mMObHs^Ch<6gDVQk= zADo=};t7NSEz&*z0G?SQHZYwVp8o)Eo?JIW`QqHKZG={xdT^K`(y&&|1}!Iljvn%i zJAM1)Fd3KKf9u5!h=AdO5GVM*-wix_`*=|)%}a5`0M&vDvWc0`m(AvPl0kIaVIB=% zUBwA^)P3-s?S|#U>3{6Bjltq5z}~x6hsP7YFR9A{PxGtsG@A zcHWoP53VhE6bf}7aHjkl1Qi`lBn*OOEU`T#k@2zDe(w{%4>l|vh>kF0 z2G=uiND_k}06WR`D6E_^EU>g*MhWB}-wDVaEE1|cVrPjF5S@tQ5)=Kr5+Ezmhc)rM zV^ExFCZ(VdW4^K5_yqL_D54dFM3;Kb9wibAi$}MF%`t!l%&tNq8==!iavcQf;l(yd zoO<2dgn^Du1~!X`i%xtWZ@x59D94vOeK z9bh?w1BTLKjRQWh$R#W|1WFn_4&8}uMD`1V1Tki6Mk;tt3M&~}aI75QJe>wxr zQB3@V9wE}Gs8Fa$D;WdI@;en^T+1mdSpHEBMF7q3{<#k@&I_v<{2Yy-2yD`L{be}rz--TD-u!3Q`wi#;EHNuSBkVGq#X%QD zxXwh4PO~`VQO|ZD^;@S3;jLEy@)I9H&wCdb7nCOAg0um(3so6WV91J$ zD4@y?yq<`>u^og07(`D45&-}>uZuGjiGVz~`03%v3cfl&#|#r8{{Xj#uBm*J{{T)> z%5Hi-bMKNM#X&r{_~h|4$XnkM89cbE@<&#W(|P(4Rv=}#G3S<;Ex$a~=s2>2e<92XLA`AM06$!4MlPmO8S|0n z&<8P$Dsz|jGr|O#LwN(wYCicOU2$8MKKJ|O_#-=PTb_>+5ZxHkdgGe0_Rsfx3(r921?xV1NXQbvyIh`+{Jg=U zI3e*kf}J1dgh0a1_yCXoqxzp*5c=c4GmwChy;;lg!HqEOri&P>YZo365P^fN-)YaT z5pV@^ltaGJ&&ath5?(NZ%QHV*R8azp42W+306(@3h7XQ$kDK*{36+2b~I~c)lTk*vRB#@w+^W)zF z1rl7eV4r&V;E!&Drn+4(48{WwGIW$!i-{#tn7PX?@#T`CHZq^jGx+jVvAC4#&8tGdKHdujsFOTbrqD%t9!YUOmjue@&u!IgxU2`z8CQ#A5 z$F2rbQpKe(Um>}4Lxj?)IuOWVHeC|ta0%Xvx|K^rc(Yzb7AUw1Exf%m&|u*eeDTL& zhcHCtq2O4JqMqbYdU3}mP{^<-9oi*nE6^{dYgdjyd=(5z%J%QRBeb9)EnR0dee_^k zeD)YC@t@aOKzI}b#BaUt{#9_BIku2s9bUqi#0z0(R}GHxn=%_XF&8>CLRd#jo7Lq} z0fQ4c(-imQ?0AWN3p3Ix%`7_{Xn28jP*|N^J5^HSD8yuHFrk_F4hdutbpyO8 z!Z@lz34&qFVuXO{rQ#Y~)w574N;>9u0_Fg-b@9W8XZIY*(&dDNAiSf4@ZN17`E=Tp z+n+~zCh<##jc@*MfOt8PFj;Y*jvHz$1&bVtO3nSH{^OUUA8=#3Im#1J#nlP)$%pfD0Nqrls?-cn*5#6#!3KQwX#<%;YS zJMew*v8gkpcf+3}$sYhKZ$Pur(8w$@m$ztY*NMS zbcbG*IMe`PED2xd%JKv>3YDl8d)k{cDhfCIpQMOqTvI(b<}q zD7I$5&GJC5Q*L-83bIK8Dz3hI-z;72ApzOe2twtdGIj1628e}hkZR2>3`!wmfWrgw z^5!|iIUtZI!87xB$rP-TpfVfNzmJy1I#Bn4$>uZFFtlcuz+vQbV3Mvck^nV=4K9XH6Ddp*0Y?vdp2~$Vt>9Ai8OYvwlSZ+RcdYGjlfc)lwaKY;K(aMck%xK&oyQe z`u_m0>yQs=#U&UMuut$e>wx{cj)H%W2uL&&(slL0az&=Nkn6(y@c7iaredm zD5NO19{&LP=12>}f*23epZIdip@tK1K3_lm=I0Y#+vaOMynO<}K=hG2jzoM=U*8UMRLN2~UY`E|o?Yyk zm>#Eb>n>=-n8oOV7k&5Y;=VT+uJ8LRcs0LFT|4e_jwqXJT^ zHva%G5S+&r_z{0Wj=2!b3>54@#{gktPF{B`iHNs$D6edA&yvbI%(!5aBKdPZjSvW? zA&@!5@|-}aMCou%5KzSQPE}Ean<2L237;OM0PhM`*3&~5Ip1H5QDq)*Fm&(UUX&gcQg&Clqn`f& ze2v*(c$@-$`%Bnj3o*l1&{|R7%h*GWM+GTNMPFc zcc9Gm!nj0I29(UYUH&q)*$fmjp%c-5{2W*XIcaX!y}r-E-XSooA?aDl%+VF%!;l1( z`^MRpyLo2vmwe)fX3lR=YqTfj>B6s=00UHi@_ym8T`D6@_n2 zhRWm@L<)<6pqlK|Fz7O}M1!4BIOtkveRa}2au(hk7r?-u$!{4z(^CiW$r;c;o+twX z-OhH*x!_LeR3Ky-Hdz9J^_05`ry9D{JiTeOl4vG z@T?ZADyySY^C%PI}ZA<`WOU-v8y1fyKc_rR1`kXLaV@f)rvAxkG<0TpCt6y?UrGqr^? zQO&afRCrqq$fauS+SubHD+=X+!D#?m2g1q3SFO*JPKt9>$78yfkp7vn)&m}DDdHcE#(|1!{Ne^gEdiA@xR}e7&j^9U+;_p%M1<~wc$8P zC^ATLE=E-n;7XWjcb9XnzW(@;fG`@z=hrkq%|K@1XMuP)u^F!Q%+R@E zn$rIOE?qfb;9PI=UG{Q~QRFf_2g&~cyo6~Wg43+xVLP!+=9Kc^m!03x|O`lRj0gtnN7 z$Y$r~{9Xnm*DFXcm#3q%{{Y#>>IEerHP#MPn>-NQ9L3#2;5=jH!^0$^4%oh>&=Zs} zivSf;Y5W!A09NR=ZNgw4c+7$jG{hVIyW(;%Yb0WiQ9W$m0EJb7ATWWabbUyGj3v>I zMA_Wn)e(;|p%6AF$5)f5Oc;;}+PWE6nj~o|@@u05Cs$YsFvWPJMMl|&8hC{d~|o9~6dM-3uT za>aan>x3CwuFDIQ&OC*hnCn{#*Qk&FV!92<)3Kg)PtWlo0=fpN3`c8{w?6%Tul#r$iJ#J2IqZYEjx zwBajRNj1uUM*3uEkThrquFQ3ZToQ&<06^NV)~0yA9l(E9>j0G>VUa*Kv22+G77j&N z18H#(Vup;&nE@5LR=^dQ21Fg4nyx{}EhfCg2tzwEu1BW!f<^%A*Js@TB8E7n5 zEqCJaXC0Kl;8fH|6B{yfaW8;vE@R{I_fsT6DUr_?BoKwjc=C_e5G0FWZ8K;Sp#bI~ zT_aUhMg`0s_UnWL0s#ZCr9nHBAPlL1oM;m)hZ!T=b7QBW%g z8vEd#a@B!Nk_9xS7FUNE$`}a2QN%p}FP0ihog1f;2-7n!&{!?O>;&8z}H99R%w@c!}4SP2U%Yxn;EzWHk^_~Tr^ zjs+8@{700z>C6P0{y5Gr{{WOIrp1>8{{VU5MBG}nfj>X9jI0fn(;WQqKJ3T11Nn0! zZH4PRvWh;{e{+l|S5vts*0^9?#?-pMN9T;Ip}Z=C3tPdGA(1z`W#&FlR;MaXJ`53HWxu|9kb)U z{&_|>C&&9-zSvOC1IN%c#yqB~0cg26@_9IrUxd6%ZXo-&kj{V3d29%Gl%TJeoZB2T zh!LS=Dp23oCUAj_y-wg;`{6Vd&5Dqr<^1wwpr=5d-%rn-hv67#iTumL9;}NkVSe}r zab(Ekt(!-86`1A<#{dRZuGjpOSYFO10qct@-1;8w`bMxq5gdUSQ+$Q;!_4iw z(}+Sv9EA98FyRb1ZvkbbkS_%XkY^cG!vUkX^`1&tBT51IoU}E7GZ0B&YUkYG90gRk z4`or@VRPRbjF|}5kHkFA5dtCX;OG?cv77tn3b3(@=3&H;1#HQ!44V?Cp+Z%ub1>v? zoK;pP962FdAwn#d12t5lxD7DT6o%v-ManC?N!+LkkXlEmy?=b#rYYlLx_>R6Zx`>3 zDt5tJE)zri@NQ+3eK#m0+vmjPVo@;FC%`{i*9Au^0yEyL=lJ8A#pH63hDrN4MF1V_ z@*}k2%vq9OYGpLDALPx$mz6DXI1s{g{c{Q8oG0R`TvDu57dAaSnBd?Gp&cGxlDS?0 zpdCHL5V&a!VD>-=8WmvdR#NBhhU5oQRjG!aPo4xha*PK504c90p#V6TrrK)m{#n|i zq@rw9Q)q=fiaQzk z^>NJ(0GYiX_lOfA`6nVv!d8V;uFM+b)m5kf(zE5=@xgCkyO*cW{!UyTPysC&%%6jJ zhM)^XfQlN$XWn^pLZ)ORpBKD)-yUQag=#I{QJ)=Sn=4z-obZbRt!$EGX!t5*6BKH^ zgy4>}NzqC?oF@a2Kr&JRgbr!oNKL??aI`S$mFt#kmcwucqTk8*1?GZN4%_zi-m}6c zpah8xA06gRoG8MxRABX>?Vj*F3DooFMs4{4>O2ufya0!y;O=d~coB$$Fro58*GCNR zR+1uvUe(BwhGSkPbwD;ppTaf;Uv7z)p6rPffqLbj3i1{xDB-Kpdoqlet9>VZTaMq zLo~o7>A))^WRD*JW3Vh#RkF1W4dRY7tbnn!E|ij-I5Hzq>%Fwi8_0yUE1YFqJV*Er zGUAG0CKFVjhk2WwqR=<0##w%I_N+nau(|pE++vQUcqVUGsf!=&O5Oi?m@vdoJ% zW1?oj(PHe+9x1`eD;K$q0I1&tu{n_LoB`o3?}Z96hjFu8 zX@9;LZ#3_GeW5lmIPDJn@K00;R5qrT4Dyo4W`r}NOXJq~4Q*C1na=Y4a!idEm1px@ z0!~F209H!=cr{27PN>-ChjUli~jW zTx)Nn6|24l{{ZI@W|=h;>pPCx5Ij5}(cna5(x0A9qa=|=I=N#^P8@_Wt3bMSPI0v! z42qP|%@PN!xn_%;Fdz_aL&%>?{cuj8 zCe{MYZ~5`jd7BdAf(h7ZZ{xVJiYRju2ZR)Jz4G}A3lULpOfU9$=sg?0pu$TRG#iEu;NW0Lida|t{{WoSBLG}A z3!eo5z15}dI-oPM;-WUe?yUX6`q0H}DuP%2)^Z&Ig|xYq`@HKsNdf~BQ72wJT%U2a zf;v$!d=zH&x?$fUi%jbbpeJ%uBb*ft8JAG9D^JZW+R%I*Wu5x#h)H6V068i|VXRK4 z4h`kwAPN`)7+6z_1GCK&A;7RxK&xH??r?G7pj1u^0RXS7&djxmpvIO4(}HXh;sLuB zk5fvhf(!t|vg60>JU0?d=DooFxWQp7DQ0<)NPq%LxE`hcoDkChpo`kcRrSO~3OX`~ zIQ9Pk%)F^;HzHPTB_^J&F~%h#N{L{D_UU%xIjkBVf@C)u?OB3@(1;AK$bYXgmC9A+ zo=Q~b1qjqae&`~-VM3-&t1>O6pryE%#BG`pawz2#@H6~^*oq2O$GDmd@W|b8A(l#K ztl|&5v0wS z)w`EFzUja%9M|&k*cVYUvR!I%*<-=Mwr~d=WDyyaltSGMPyk%4EN=#@+j5%PFt&bh z@Pmj!i!s3aUKQy?xG%t-{5?71VPVK=#zR{_Bk>GZphs|qdQo-iJeZ{F1&li`{{SBx ze&G-r`X#xvdGVZkDUUAy;L$l8{V~S>hYozZ?gsiui}}xWh7h zbaP2X^6d5e{&m`{bsQQ>j}6XYC_Stm<}jS7gDGaKtat_r6%r(ibEuM%J|Oy)HHI50HE zIGGTpna;1L_sbQ9h3yW$-`^8q<__myZ!igZ!)V^zsghcxyE!pGe~w6Zm04HKZ-0M$ zVluj{Vi-&*De;7|AChQK-@flSF})2_HfSt(3|krHTl zqy}}Y_sS}P{G z7O{SL84d^`M;A%{4neUI+Yr`CT!x)tZ<_C%xB|# z%#u_VmUkguZEqIAyYou)YEue>t~kjFU<9;KIB)j59swqyMYG#HakIbx;aJ#ls|h)B zH2Ro;{Sqoa2r-6St$MuajPHzn2CVbY|cdBa9Ic~)bwHFC71|^ z84NG%;d}rUW7cnJj;}5NjnKj|tXtzJca&K$0F`Soj8JrAvoC0%l`%Ow^?jZ1c>$7= zK0d$kZx1{wB#KbTxaeIWfy0b1V0L#Y8r#yUcu-gf42D3r^OJ<)E&)i#LwgB@%5WpJ z@XQ9fZboaaMGVj!O6D_Tb@|^8D4ar(PB)wnoOcwoqVUL6J?2p?Bc3$D1`(Gc8S)*O zL?|W|mJKFQPqpcdmUJE734}o5iaT&-GhU^r{{RuD%Bl2p%BWOp=!R&iIDRw`k4OQ~ z3Q-*4itFWIz;_B;XkjNe_6=1cy{+%9Ol5%wN1T~w*v3EO1XP;$c*JZ&7cM`(V7u$cyUIg+bSxlcDjaWr@p#mLZO~a)$E|RA!z3A5LrIgTK@{&YQ%Q-H z)en2)$3Tq4kjSi=^Fm(bTgu&;#2To|0ebYS=y2&qM86gVItt~h2m~8`Xf`G3=e<@? zVL+WhC$unNeO^7&T~Gro>;8B_C@V^!j1LF=;P6ZmETw19{{SQ^T_!q&&GU&at1v0O z7E?+T$8m(IAdP=pf&#F$KXI=og0)?BJ9P4#Q0atk>GQAiN_prLY> zbA`nSgA_$c1cwF*iU&yow+j@q+;JkrYDh$|XhdaVBZg`i+{6uNUyyH-KtRhh_V@hp zMvol9DwHL-`hSOo%tqmZt^ja8xiKF5?72gcGn1oGBOWdJe9mO@*`fhGMEtxUH1?Un z{{Yt?%U2Dq%4cRp>)?%`hk?QOgX(oSpAwY$;Gt;~tOj?zM(R9=XbV+LJH@x9$6p9q zM-`M%{W#Z7PNfJ#0ZMz~0cFpV zSxBVGbe&0gES4bz+oB4#S8)OkVKWH8a^cUynGlLjWmXTC1$`rl2pE+EfD|Mw_w&D! zRU13a*A($^{Tcoq6Rg15i-%wQct+6MtLKf(cw6xRA~N z0D=7REr?N_vTx45-+U$L2nmX(^5Y>gslXR5k$epDoK(yT@iYB@4q-?RYV`N(j4^18 zi3f*%1GV~u#T>qrI)KM*TcPRl-4mvg397`(|J%MZbmj{@(>3;VApMR`{0# z>)!a-7boxMGtppc1$bkKz4zsKbxDKsz-J;$ykGmpS0PHQZvOyp5WppwE%57v z=3;r{r&j|anC$*eG=WAWCTi+y82eK+VI-7?uMR)10<+NH_;QieCSixsA6zh?NgI4z zP89+W8@bDjAgv3_>NXnEZ$2&ld4L?lfKe!cOy*NDkAI_puqvqArIjk=2cCdN8_Sv0 zopCu8hNehZvE13?jdJR;iKTo$`5qww2N_C^zthd+T&A#&KTbm8aRpjlhP#{Y6e?yu zEZF6oUX}m=%Opb@RfM~uw8FAyc*7(#=s)9pAzKCz45 zgR9Z=%a)aGVO6*kq=nBC-JJm?9Wh?XF@%r?WCA6o1G06tOU#gKW!Wu1BlGpI362C$ zC&`#Umu28x5{Sqdb4OfWdqG4nhF%gvYzGDuh5U8Q{{T7wW^3VJ4*>PY1`C0TwNkZ< z=N*&JI&MwsKB}nYlkV6h?EpD5pHM0 zu!eyd4P%%BOiC9YH->%-GQl9leVFshrsOKcD7~s;YkT7tNk)YM_nqtKgv545>>sbu z@yw8RE>MOZhd$w6EU?fF7{q(mo0B1q7?42rSi0@w%dCDc30W&tjSQXrW$THSY$an9 zur?SG03Bf4QMzj=Ha-1uakwxfyE?&*PhfJy!GM(xVU>O|Zxl9rgl* zHD7{UOpg$S2CB$9==s9M;s7kB1~8^8&?*3sZOn~^OfN>6EF~Txh6seHDlnZ&%*AH` zAd;mFf-QXY@x^kID$MX};O2hWp=vW z`A;f^x}rem7%cEP?6Z`=Ci zJ18^Ud>~dJzJz~+i2D&2xg32nfYCzJoWmV)g_&vm@^1v+(La&(!pCD}oJM_B`QjYK zh5PqAI7^Bq>H0mVe3cOw-7D8qUGZvrx|&@3Gx5fxiwkUP_?`6gqt2DeN#FQ#3W`uP zn*Mm)nl}%Q7&O^{x}kQ*rMSUeq?2|KNcq)#aSC={$UJ6648L61*c|@=zPN>(Ue&mr z{J2c~arU5{{U|gt4e}YD(465Vc#C~Dn$&LF@yy! z2Ljn3FbH;IKXm%Y0H`xS5(I{m6FkdsGT>-EecjHMV6o%ZV~-VUBDKV-ruS@xI#97{ri(-+{m4aZjJUY@w z(UB0MckurJJidTEh{G&Ab(49PF?Qa=Flp71VK8v0NvLC`<-0m#gkzA?>sY?|&H!<2C%&2261u7X#UMZf1l2Yb-1+}<j><1L4wrfzqIuJ_Bt9Cqe;<#+Rt z67A@G9r@v{7O;Xz+zeo1jNl21DR36%Z`Z|8&wx!(AFud4Mw0CBRwOCYsWoD|qw1 z0Z{gLh~y)0u~-ak619K{Qv~^C$hKg~P*n=SaUg(RL%KsDATc&Y2SC~-kuS0+Rn0Oc zV&(`W?ieT|tQl5gZ_^M{v6*r5jacfy(sZB5`QpAx zmy8kR{xtV(@%phVP#}@U@vy+096)XS}*W;6lrmv9y0Kbl0!zN{w z_+k6wLs(;FGQ2>k1KU1lbs`ewNAtpHpo$nKT$)D=xbC0tj54|AAW|gI56<6?Cb!|F zMdD8`jhbg?z6c1{Dud)W%lPHvtd9saL;nB{VNxAyYPILP+uzYbT?`^HHN2?(^0?tu zM=R?d8k`6DY;Pg_@HIYh)_rjl5t$TOt0C)vV!eET2!qjV^PTVw=sEJk{PMzPV;zn^ zt_VoxS-JlJ(Zda@g1g8+gy)}*e(S_7*UM0$gv~h=n$@g_LDC0yTJj+6z{D9HFbi&e zc&5b0EVKDIC&8du0{U7%=aC^1n+_MR_~nkr0Tsrf4PP%1114}gc8XRWvg2=4fb(`K z(0#Zb-U{;gvBN8yHC#6$gRPpvhOrN%nSJq1D~#dMe1<_!d@&_u3Wt?tDf#$MB`Bms zd#@iHt_0^O=M&^`y(Ks(^b+;v6FD(?Z&CN=(=$8Y8I5lZ1n7zTzYQCeh>-fMHC z7~W+WM5%({X9=XD0`z9+25G&6g{#875|X3evU{b<%@O&3rso;XAgU87SMx2 zk@fF~#lez-bLsy8c&JuW?CQg}@9ADdyi`WrE`iZb_?n<0cIS*{i~7-s5>mkHe5d_f z!8SN58Uz4PkSk=0aKf4e(g9gAsxZxt3~;EpM7cqLhnL+PMFB0yv&+NbIVPr4#MBjZ z`S`hvVTP|mxitR(RH5M<$f#-G`JEz}WlU|L=^MSWZE}5BIzUa&b?gMtyb4AK2&vnu zeh1Tyjz~oYgvk!gs&P}66EFZ6#4K-s11UgeJPQJLvS{Q^Y8ZSNT9hLeyJjd&0L-RZ zhI1fbLM#Ee#)?aADYlJ1pdGGJa7sEfs|udjQ;?*;4ukl422n3_xNj!)y5&Hsg2ssnv-L7aT8o2 z9Cx!Xx~u$4$>gk<28C3`7}`R|f{+tNRiPXejKCpOG$g3oO4RJbk{Bb*N|@P@km=xS z#!v_dh*4cC<$NABzy(QBWTtW2k9y9yDbPT{8A*J9wq1y7y9L6V-?JvmRY3uzWb6m3 zlME3A^KwjAzfHL9%brTiE%JZ_*A1zh3`yqcn{B-5od=5{aEJi0Ku*8uS5!Di0w$+G zC>J?M5IEyC<^e%U@(oek0Z6c6s-omYR3?$Zi9~|H_3s9I`MhW5+n%>6C3!S9Dx%#9aH-_zRFxH zCZ7Czca9olTYH7ni%Ik7=C(_oO|YUBwAEY=6D&L6%NXHS5=1lZqIL`8D8!6hH3+f@ zEjZ_q$OFA}^}^%=Z7xK|f0*M312qFB3DWoNr{HZE8p$>v&-uqF0o=q{F`KmW)Y$Mf zxxr2t%RRz9ncKqEgzqi&OnB)yr$*TFglO*n0QZc-AdRB1&>>nOk|zOSEdUTBqbTqR zPz=~*VbP-zPg<`F5Gji_2w}muEYAi4h(&`8$z2oDV~KTTTv~eTTI&Z~a8Oc@f;607bM#KAC9=J#>s7kc3g6hIe}Oh4U6-{Ahe2Lf&Bis(U&7<`_B7nKImJ-SA|~ z!80%&V`S^%X}Js>+osVQ;4}5v+&b-V10)n%rv0byk)VYp07H1~!w4E+R{_f4{Cx0i z%|JN^tE#_SbnxScfVC)LeRuRfJceqt?=oE;5>01^_2!UM3qOJz#akwz%6J``yWujT zt(hJ5fcj?WXvl$u?ENw&~)WO+Yd^U6oG6Z-E&AkRM1GMNWkfI6c_ZgCv}l1^Zs49hRI+ z0dqn)v#y&w&rMM%BNS#V!^elreIV%ZCjOos`G7Nzx5w{_a*U*q)K2^OJ@CmBt|078 z0CkbKe7n}G7-#TbU!DP}5prC_+1LJYS5*mVxA)D5ymHFY0x2l!(AdLmaKZ>muypnR z0EW0k%2%=s$}tn1IjF~P9P>-e==ad>zT({5TU(K95U}L9cX1$x=d=*Mj;F?``o^BAT3lYIN zQ*aBe9*by(lLgryGlM;Q`@Nv-6m180b2e8It5O4`qHCT^X$xE#;FY`(0;rqELD|u4 z00I)O>QZPx0HYINSpv@kPQsz(FCi%?bxEf4y5{k7FNrSbz2To{8ke*9BQh-dhC=Vt9PNGnFw|PFQk+3Mes1TO5?Zna1G&HyosCl^9iGUSIi=38qYF zA(%2YVOvy6ili`42NLQSxqC6tN73)RURdvAT2^Bk8~f{nVu%@78l6Sa_{R!0vc0k} zdQ8XXjRPigBDswIdotc*@;Qu+W-};n(bbG2LLB^b4$t~6Cp0!z{)L>St86x;Hpr+d z&)_mCQdOE0rY;t^AT*==Ub$U5$Up$Vx^Qsl5CLQghbc-f92L%II3&dKQi%v~hsVh^ zp0)dPJ)3w$W=mm%$&P0*fKa*MqQ`xFQK`+1(4`Bpf*x_lZSIC9OCF#1Cll5vCZ>

qfw}T~4xE%s4#Qe+|<(#9yxr~I-d+SA_LmZ$kxV|mpXm`8|jvyeGX=Fh{ z?npR*@tl;R)m11M#j_5sPC!AnSGZ+y^3whb{nx+jaPI@P&t-L^QciFUKfM+|(=- z#%_~CjtmAwR>pwp$%*nwA=tnmiB}0DAy*TEiY(57IvdFi<-({MYReIFTxG%K?(tD+ zsNSzD*Kzc6kkwbm`f{?naV?oEGj0C>oCPvE&bK&02zWuC-zv0C&)9#zJXWS&SDVb^ z40eBhEG#8{`HQ%L{B!4t9!@uzIrUePffZheHg7z9PRvESuP=_dlf?_VpndRZ<$7x^ z{`i=$&b$IK7QX{8{PDyt9F3hrZm z@7D#0fI>OCgZ}`>Tw>t_Dn%`qSMkorm|C^&E-&cw5{MN?FT=dPxZFD;@YXNK`&@>A z#%!ze>B}^fF-(84!D@>TB_n(x0dXq`14EkG`6W?>u;R!%oEg*1M zBRed~g28$y61!v5MSoF?2v zrd*%x&95rB{2+ip(r@|VmUXC*Fe$Q5j`?g2L?m<9ZGHE|{LzVa+F`->4cXJj-YWysjN1?ZUw?MYz|{il72RZri8oUj=O);mL#|iD29LD zxCBh46gnLxl>6)$)Kp;iby(TAc;d(pm=Jgbm%Z@F&RC=z)%^t$!Xa5Gs+q(|Z3h-X;f*w?p{BO;6U!0&0p3E5z^3x(;M z&{`g%4GgM=5cJGs-!P&WXxS!nF!!U1Aul1|>)-dr2BV}uB)Q9fI_@fP6;P`@HvRpS zXnKXA9eKg;I?oU!D8|WQyp%b;WE9~jdKxYZvWNzJ$(MkhftAZ~fHf!HxPhXKHW`DX zCe+yz*}2uQa!idyoh~9hNK6#K*ftrK8RZp9%q6ikDycDCI1nmKJ_FJ20lKq zcbMgu{<)HTkK_LU$1e@%nP!^b4hLs*hQO_!u{1d-&v0t0Vqse>?$e$mac9qDF% ze+MP--C2Vv><5IZim2h(@P7xcSUAxDDUaO$0M`UF$1mX`L1nIqmd!juSZ`oD6^P7> znn)i+02d(6w)gYQuL8C#;%WRD*9;L96>2zJuCE_F%&MCRUYUAM8`aCX=A1B0o0r3mxQ4J% zt3~7S>);qVq61<#E>@FR)gw%WFt4eYucuIohBz>Q{+?vv(;P(d6i6*Xg&j6vkYKHq zl0{tx!p#Es(_a>AeC&cZwD-V_Ls$X~y=U_`fE7U<5im2BNcq0_UPTg9NY;7!-i7Aa zDDiK>yw9wQ4k<1UY34Z@ikSv2_`2h=z|J4 z%Ml|0QUEf_NQ595BtC;fB?P$(8R3rnHkFvJWTqt$a7=QAT80taHN$~ixi51_OUUf} zP{>KGU~CY{>-XYnMVeMuZ=M9i91OCv^}`P1Sq9HQnO}n1j5aJd=oo?@XB2ZX1<=Mk z{U3ZosEeWrjT-*|5Z20<4iuu`=Pn#LIlFayn%xFHYomx%tU!4MLPJPthm6xoayLLP zaMCjs)|~AI!Gd;M5QH>g6v;(M15T6+aaXZm6N)GYr>=S=@-@TZI4l)bnY`ao_?qm@ zlo6Fos-GX}#eis5G&?@MYvDjjZeqc=z#?|zTHuQjxKkn5gvyu5ENG1(^CF#B3<1Cq zHi=u(&kAEQFXDiyMY~@UhpHa|vsPsnAY@ut)~5GZ5grjWP^Ow2!xEycXs`}o-MJCR zD2X%!ab$ACk-*Ai4Jx5%_O|Ok9AP?_ya4?J$iI$4Py+rhl%ei$LWKq*@hgcq?#_sA z6aYlO5FFp)7(tojF>l*G_|WZ%BnNJD=Ye!k`IB$t&!?0sh+wtBpJeMM9$NHKkf>Fk zH+}LFXMCwe=SM)I`;{{COk^5E;VB_7q`Jp-25n~LEJb_B&MiUbOtlzSt}bN_Jr7Ti z{Bba%fse56^C0u#6% zfz)!>p;_=oB8s^&K@18QI^-2OSACUwdw(1W#!$0g9Qdo(j#>d^8=ybmJj@b~6vrDX zyBWt*^}#SO11Hbtks_ve&fRa1J(RxV-{X!UTtA+9w-=8-IBzEuSQDM)jwl%nn-mjV zC1$i)is#o7Hc~C?fcsZII7GB4vnMQnmBjFUa3g_K0BN7|n-^D#-2vK*P3wPy#5IkW z=>q=v;X;g&({6u!ikTEqg-kg9ZdlMYCQ=56uh))0(p2V~jAzL|7rr}4FF*!i+1an2 z0@lJ5t$CmPd*jF%Z3^JkuFvz=17N^9^*Dn7eH4X`Mt?7m$rQ*_HDSXq=b2)=?~3$= zD{JT1?}`j*F^FH*IC)AbUg5$|eEfC6DuSsHnvXEm94bd}BAMUOraY7MV=CW#O@Wz} z7&YJ=G(3;dzg#X#sC1)0-^VvDLxr2^XZkzgT4yXK)c6{6HK$zQX#@(wJe3FE&k1R) z(uQGJpf~G{Zh%6< z>&4F?wVRDgV5Jy-z+M3$17+;Th7NX<5qsT`2u2aB&M94>!E%V;xs5s`?Zosi89^B; z?f`~2#(GW^Z^X;ktBlhWgJ@AOEHpE~*gOzi047k}Xm{!Bgp5Gj76-`mt0gv!Ib}bT z#s~Uy3za3n+z0FW;-l&0*XRM0Yh^U-GtweZE=-Pu{TD&QQg`ctugBV)7bA*5r@?3Y zc;><`F_TjcSIdTlFuH=G;Ib<_K zmZeKZMHy-U(1#;T_X*|!8Rl7I*8}xH3Ir7-uXwcQMv(3Qy4_}Jie*`5h@D%bIsel-d-NkEnJw~`!&eCJn#cuQ@bz| zfQHVTgwlA*9xITS42m&us36WEK#2?wSjkQz8vy|zYeNH`ym6k4NM-4i@%hwQ!CuWy zjz@35*0|w<0_Af{nU-z)-z!Q`gSI)x!``n1SvC|Buv8PN?U4Z*qOhgZCNumu!vqEe zgUPL*#yjDfC2DDm9I(x&I~sV&P-H5kjYR_Qjj554pfdq*xvkbS$qJCS&S1DMQ)zd$elfz&3*H91S59^g((~TRER0_)RoG9ajY>T@i<2;5Xqzb@X z_OQSBZ9=yq)7SbOje)QYD!K1JZ!-`MZ^1C4@z!}{psJaX91hqqvUR`K{K!41xjTe|c2M6{Vgr&i>vS3QH?E|~>$l`@1f zyb{B5ok}gK8RPfBV+fiOSO@_#yyHhL;D{JAZc1&;8t7ggsE{JWi#3(UXSV`919Q+L z*;%qm2=P0eRXAQyN(z-sMdR>e+Dqmi#|Xy5`r{aSf4(Rr<1&;~F)FPsiFnZ}C^{{H}u1HeRi9pen9V`db4_dfV-;uP+m*Y@|pP~ijJhw$VF-Z-iO zLSsZb`A!6wh&W(Z$BtOQN;R#V67K~QtQvbeb;L`Pc%@=fz8fkmt?IVxFZ)u#g$pdH z?=Qvq<({TB%QbP~qn!jImloK|jP>J=2(!WW>zC9ijWKsmHovYuiy=b}l#t(#d`$)b zy35A)?G)ky89bWn?}0ZYvn;lLUJyYHRM~^UgXe?tIK&I4VX~7Bd6wuFE=~f^vDnUM z4pdG?J@?t)5Foi^s;zq-RpcGU2gI+wW6Up>WF7sy&EXv~l*x=@JblnBMzk^+V*78- zJo8Uny(~~=gxEBT{+yOYY*8r$btkMrz<2;VrMGL6`(bASF<@@cz$aW`-uP_T;7tJ9 zJ>R~z5_lugk=cen90{USw>v+h^~dB*sJA)Y3}wbMIOGU-6CAiNez%*(AZ1Z_Ktu(t z#uFkVw3!wLN8#Y{fgC`0e5@7{Q74J9aNaW~sMOu?XPAQ`|M;m|Kk(Al^cMVY<< zehaz8qI>tS>G|cRc1}$YIc`vxE(L|bp=4nkz@|u7;2H%QeaHaGXd*wT^6&+v4Ixbd z022J|)d*^PlqF&}5&{kqD{U;=%Hv&M=*hpcUq2ZMa5?$0CWVk6#Jm*)DE@hBt z#9JbW2H^lI9^kC01aM0p z$NvBfV1$My5#k5}Nx0kdyLiBzn&!K1;K7*$cXNz-1Pww7cNUTF@*-=1Zzw~EV5ovv zxZ3atk5GVwv|P)5oI@4@ks{KQH~#==aSk9<%&@}de|Ry-QWf^C%l3HhdM;%~tXlhE z?Dy3G_#`0?!a#T#jdtAvw5bM?MFf189L2JL2sCH|$E;>?b%I;~(&9x?5|!lv%0viV zcF@M%jV{vw0823y8d6Y@KEY3L8?8PMX84{v|(FZH07&S4s#C|yNAOx2= z^RApg5Z4II;bcBH$Btnp_Js~eG@AMP=Ax#SZGzlE^^P~=0gQ>`)A8!?E+K(&7w`5!I0CTjo32;%!Kz3dSUn4N279zwE#6n<@Aod~4v@nXqpf@COeDVZxcVM&l z{c*)G`?-(&;!zGS1QI6AZ!WxZDZtD>E=(e*0pH&Xq18H*{{VPqRty}WM?N^C%Tw>m zA?S_yoNzpO^T*dE;zq#?%q0H+d>R2D%`TJWpRw04HZ?~2IBtu(O%;j$=awY_WW2Jx z!WG67KaMC^kP5{^@8A76UdXE_LHxL^oBsg53XDwak!Epg{POKUh+}8phkR?=mHfPW zJ0HI#ch?XIE^Q;$1Fz$Q+XT%#z60;)hM|UH(l$OnOmSJ-MnaJ!*Jt1Fl52p9OCj31 z{{S?D(R;e%6b7UOHm8~Pb+*ia1knT{rt*F#6eDUx%|XAOIE5Usach988j%!p`h)o8 zu2xS#WBl)(F9+x1ILL=hH@+aESOHA|$Z0e>c`qo+qINFu-z1HIFsf5`0pvj#G(caI z_;QaV6s6w2jyju#Y2Ib`FPu%}Py<-i4}Mqcn2W|2$f`m}6oMw0;&8Y~^o9_nXN)CC zc>^)NlKhxTVAGCvKx%}sd2RhLdBs((KzBjd? zGsP^k>Ke;RoXV?@a};uRD#XFVpBbZYPa062>1#7kz=87{uM$V3$k z0F)wRtW6uoG4^G(0H2U$COp9m85AiT6ONno>tV)aM2dzDd@;uznF2qaEb^~7L4p)( zAbKbc%-o3DIU-CYKaq7@M_FT42s^06^&9qLzbX+Kc9_ zp?N64NXXJt<@x-ADMo3>+_Dg;B5E_p?C+T#D@X!R%?1o8GNTpQm52f09@>0xc;nzw zRuW(CIcaO21I*ix_V_Gj3L!J=%RAN9IIToQ!bYHL>)f0}8dtLCL$;VbK5!bvWMcpV zW(3M|g*b)o-a5+$c9|6U zykSPvc1u6^m?|)$W7QGtWt(VxPH2&kfDnI{@q;$XpFtN3_>5nOk_4{T%>Whd_aaS9TkoptZi z^T%L87!9SqaG$m21Df1enkX#ifd?an{s?J10p>;C{bmt``6 z+SD)~F6RyaA%-)su@~PKa_KFXI`Dq@83=JyBEL*Ez)3Mbq)XltWZ;kv-CI(i9DZ3DQRHchrg)nE3awL?E)7{%lx*Vd$ zJ9fyhL8nQL@F>rmnQS5&?~9G_5y2vcp-_OpJmbh;2`N=Td7}RS-iQpLU<7kXhSc8p zgaU|(JEIt}lCe$-z3&19L7zkB@i{UWw5ABHTIE-{!+wC&|93tS35 z5-jsSu4W-uug?sepmyy40K6vZ%Hpa*JNLXvLii9E5s~xvcs7VtfKubih9`Yi%0RLR z56V==YwB_ZVDLtJa?A-Kr64dbpcuPD3oeIk*}0+Ny}Ej17r!Y zjHg-SG!%+U03Vl1lo5Pk;Fu_W7Oj2&mB-h~wGSZxPUK=8Vd_{{V+vY)##;PMQAztYL2q zSf{F#$M5m;!c2xZp~p=f^wC3aZ+t{lfnE-dVy3YUI3R)-E`r5&PjIuBaQa5(()zK4 z;0HTR8(Bj#+Ak;cE8EBo(xzH>^B9-Xxz~2qpBhMhKgZ}_HWyE?6dN)Uk7=}~$ z{x~Ie37E?t`Nk38udDs>v`(ABSc36I0R=oG$pt|!_r)>nfA|7$CwyVf7Pu5E@p$qb z6%a_4HDAN;hGYx~C?liS9t_ElOkwLBvBU*EAm@P4S*+Xo;(&&VWsM)xz!2ITYftgR zJ*mE66dP2svkTn3RkG@FRWhDKzPJ&PA!g;@%;bWCWhNu=f12RYF2D;zaL>EX05($y zrW<57-y9q(i;Q5_y*+R%2!tjBo!h_T$wwxR2px>KzI>lN3fWB_e~*8zSZHgmz#49T zha{xb9g1aIb+5-EC3E{xlLwX$_|&bVI)oF;6+OG;Obj7i}=@XeF#y2fNp8;*N*$O*YqbLm7;NVFa1hVFOutFsw2FAsx4fU=qNGo9@ABFL8d*aAS z0}Nd5^&N7p+99O$m{c<%^T{b64~sYKM|Cnq#mP3o3T%b;z@09F8FsQKxJxhZ<5!DB()5QwU`T zB>QiJ00B)GEIgz^Oo(A&f;(y2INDf|=*Mz3M!-ynjzAGGRP}MQ&N>CCl8XY_)OVh# zzDYax@ylTL#RPMc7~mi^AB;uNqq6A(@C#KV(C?~SIcAB~Sg*g2Up-T~5h#Gyr?SWQ zSM&p~GFzF4|MZ*IR<8>e*ht|UWc&8h?U1bE6%a+WiAD|El;{g{~u|kW) zWL8=&13_g)td`-GL=XV6Tn4`1zcwViCCcL>g<=W72uRi&;lWW$u*i82RA7X_deQ0Q zb3$2k4WCEj&W1-`_{LViz%sMIFA<&$i!k*@?aSl7SYw0;;7jKW_1t+suNOLyQ}6va zd8-IPLtnl+*||cJI2`BaeGe(Y0*jIlIGgzIcsR7Gsv}MBUE>{IB99u|E(!-4mqi8^ z@V(f8ZLnD@TZLrI;)b1`rIc2&u|7Prr(t0x&&$8#l`u?5W=A4_iPtDxO#_4-{Qm&w zBX}sM<=xrm5%>rMkjyb|bCZ6|)`Z>zV6+YB59Dtgh;I%mT9148zzl(+CUc}VP7Z=_ z5#l=&3$2sDc*ZA~S9wj29A(IINB;l-O1PICrPXh>L`EN429U6;+T1U(F zn;?PXd@+B&jxHFMWs1D?a>s1FbAq*FBnpO4Y@=I6dUyfmpNd(3OSoHDCm*)^7K~o8^$LN|#6Hf*E50diF}r7ZW@*Fis5cI@%d?b9kqx089)TXL!_Ta4ulN z(e)jEpJTeX0F2QIBr%M1sn3Np`{Hu9HmK8XRabw|&46IUneWT^=9ZWQa@sp%9~-=k!s>$a3wtUq z)l^^DvqvmS2xWE&H~pegKefDi9Ehp)%#e zVzsVN%v-2v^R43^K$QdV-Z1&XXM&r+!z_SNEd$iO=MhA?C3paoQZ;&ts|-vf6xj%^ z{0j)@jGo)0@@5Xzc?F?RMFLrXp9~%Gy3kiy&=mk(TfCx$83ih# z1N;PIgf(U0!;~VdX05uUQ8;Jr%^Q?jXGhlM8X*{DShHJ2XBRXGaMfzy{y!X~pdB#? zpYQX{`18WpgjK+rgMOS@xREOY)Keb*&I-jz0Kma~6RY0{0{aZ7@W+l-g)x(;--q|g zuL&`TlMkZ*0A@I>RVx|_wFiEl=NRB*6cEm#`r`&?95vXkDtfTzbcJczgK0ohN(eN< zK0(6|K?uOFO@koeWH>cJfItr<+dVJcSVmp+&VS$EBLzfFs|>l6$LqCZpcq5!CK(>O zc#M!(tb!t6fgE+5b2Mu%fVwpR>#T%G6b1ypie)?Scaa-Jq?8Mhbv}kC9FbbFYNbNB zWz%OD)Fi2b%m-%KcA|>U3Wy;yn5YpJDUKD(GqwFRa6KB;gT*9cr$rPWDrRkS3Q5%n zGN+q!>qlL1VvWu|fAr!~0;{RMSV6&?#eB{2An07p^B{NDX217?dIU5|lXLy9d!;<_ z{!Dt}y?ESv90SxN_nvr}M?QEo;ezue$Tz~X`{7~J3>*XH-^;)bl|wNfkUtMIvdZ)z z{tw3)5GLore_V8qr{<^i#lW~7GY)g_n8ZMnSbyiQjvQnyKb~~TX+}2IdOG#X(k-k2 z9J=KnKrX=^W&Z#(!r)ScG{y%Re)s`uGSPbBK7StYG~#Nf7O{Ol;&X76tQlSVVf%12 z4qH(cH;*1QOj#s4`}5)aG%F!iW8W~uQFeI($a?;fvcQX%N^sAXH^9xK7$`%n@OMO4 z1?M$_~dZR(^dhD6mW`^1{n;;^WYgnOot7!I$Yj3vTJ80I`KX-*08V-Y>nUZ&kBAx zg6*am-xY$jiuKArqX$7$3A#{rc!^T5LzXttVYd^RR^fFO5WM+QeV40U`6fUG!$ zP&Xo61gT0?C=YDWmL!@w2^&f|KPMF7-;Kd%mcI`$AD%i1u%?&DnunPxf}?UwOoR06 zk8}Y#7=z?rJR5MJU{x!$ToM6Rji@*6k=&D9W;;|2CIJr0<4g<2DRTrB3|riEls1P0 zDMfnBXC?zy*Tm)@1a-qG>JCXbw2ReCz^kl4Kmc4bQyYPgDGvm2zp)JXK8gc&!~+0y z6qN1+8%Y8=xoG)+M~Esps|3<|d++zeYN+Fa2xH-CG4vX7;_&-2>p9*P_RcJ9UC$?S zBPLMI+GGUeZKz!tW2SnDT3Hu+?jUCfN5BNrgl0rTrwBk$MMWY(s70NcA<7`>p^zq) zjsuk^1#l@VK+7JRj@6TZh8Cy{aO-B|?u-uz5M2ou@>%}?VQ)NZnr4KKK1Trpn*f{I zRmN7y-er2w!AU3{7@hZcSx~~oj2ZTF_`(d%B6vPyk0%wC999955o^R)>C;e^Mq=vA zn149g#4rf(?9oa5a`aL7;kz8O0PvAY6>=ge!9xa(q_jj!OPr$NoE4(+2Z6z~*GqU@ z0KrTG{EW99yl=$oQl{n}M)C2ye+KOU^1YMmt#A!QHcBMi_2aJih{2|^lM47Yu6II2+9kTrZKuv&AIs|s2~Rhq2&T` zEm%x|$DrmU!ijSo(Sn&7FI4RCBkgR6s*pfD3slI%iE(hSes}rgWCD@3I^)ZkVe!CP zsu`Zg`|){@QJ`3=JdvfY^zuk4#-a~Q%JqG6$^b%mBl~~Z;*`;-lb|>I#P5hSQ40uj zp9|Tmcfi+(773FC3?=|K)_9%?YIX~cPv?#qII~xl@|gJ(*5sh5oq*LuJ_&J$PPX-~|YauU;G#?AM>r%ZL)uOXoKq zR|Mz(0H*J*AtE-yMH~|n!60CW@#*CbX8PhJFi!Ah-@0lo$tTb;T-B z!Zy7(XXlVDoEQc5JbBJwsJp`ovZyLu2ivpu*wEqCE_=P;) zfn*{X-}v^HsWIpy^f_jHb1##Yfr1i_9Y^@$Z|o$ra$`Iti^efm;4i(DCOmt*uYfKB z4xg6@oH%eehtPlbf*K%o+rRqjg*E|7a{%i3pBfCT^`S7T#^3yLUxSH^L@||^CP;jI z*A2{*6Jbu(**uFv5++6Qc7xQxjBu8T+N^u5k*nN!Qta5_B*&BIjwEp^2FsQ>9Wyhc zjy{+EaL>uI1GpLV@oEEFj@pJwr7I_rUZ@T*4e`Z@XIcY-oC;j}90F3iKwGNeC$DL-JEk%bI}2{wRv#Sc-?Z z18LWM5$qJOGN!fb@!fbS=O#A3-Phk5DFl$xV0_$nO^hYEZJdayjZU0-0{(HRG4SKd z6W<_yITS|d)~3t}4sr+zgMqb(r~y)38ahE{{XL^IoB7#^$T9Ysb{eJj;@S;sSn6>#oNVp*m(^l<``*0d@s+soB z3fvXD^1M795~}+8e;#>05uF^slu*@UVk#W}0QBRG?;uea1~hXDDaO3uvnfMwM}6?X zYP(?LVB7J`pixGqgFe4q^DQw5F+&^hc1I`tL&UX$XGs6KYWWh8XS7y*6Nac@M6(~ zybQbZ!4nKq{{W5!gpPxI{&m1rXrwA;$NS@sgNe-+x95tEKwdZ7N9Ttjns_5O$KNJ+ zs9Oi*@PaC4+z+Pz0EaMvGa_K$;&M!+3)+5;Cnhjx$`8B33RVj4gZjLvOxYM_eEITm z-o`VVpS~>odF1LQ!?tDC{{Xid0xoVKC3XJ1(1RgNC*wDTS_p+*1H+iF@xdZ2!jDUg z?Y=&hg#Zl3X-Y5PX7nDYbT_hCew+Y57 z67C5h)4U0GJOI`#{jf361>YW*0wzU^Qg8nNcoB|4Ojq`xF4D7}^7FoJnG20n=S`A{S|3zJNYMnZr+k{t}SHk43M+JjRX0miwSFJU__qZ{p0?C2O`F|fPj}$>hvTkR5 z7jy-|g7siH$K#2oWk(6_5*l@xKsYrM4J;{22jhe+J>4dt8Y}EOq*yhPKx7KG{fQHf zSPtA#p^zD&GP9PUF3co4i&+K@2;Yo|0&ukiW}{0ukv#@ZBOoSaX#}h|mDqto)eiOf zamN)Zp(QHMz7i?pfRTWp$n#Lr?)jV{+!yRr0T-@eCP9QrP+7a-JsiXq%Oxx}`M-|v zagh?ZEy>om)-%Ek$7p6Ht|bqQa!v}c+@L+@3vK?G?1om(=1M32c*{Pw#1@2P;LQxN zE^u7$F$sa%+x*~^)mf(1`lX zJ@XWm$%*fINRuOwp|-Wq6){|wr&&}eCJ{YB;S8yQRS+630YX}3m$Y*zIWR8{n6MZC znh_b9&dX5>1vW4q-yD%c0J;AFc;k8o@^ZxyeZBJ_RBnCY;t(uiXS4qDSQdd@c=qsy zrnvNEI^ky1FX85Dv3tIK5kI$`a&^OoItgS@qF>(0KX(e9d%Sc+x+t+a3u#pHz&K@eeu+k zU=wEG*Y)PmYDF$jbHMQeB@wwhhoJl%qKHyP0_p?5=gER4Aj2~m&JE$rMjVV>+2B7N z&IzkP3mCsXpS@#kcmW3kJlo)+vPLs|`0)O?1u|?gfS?Li`!#u(j(KRQX`_lulQ_sE z<$(;W{@;#5z(SESebjv0P-0Lef$zlLtOlamtYc+IcllnIaemHjv)OdA435qrDDAOgn`32{{KEn>oaACLtD zE@ELdbDk?JB@)@7u$f)r-X3KM^pwIV*)B@skW$G5V(4*!{A1OLwRb@EBi~$DIK|Ys zreY%Bo{sn$m?Kb+V5ST}ei>L+VlHHa1mlb^Dl8Q~bf1r|QTvWMC%h`3e)!E4`5zK? z_}2Hf(N79>nLlgghYo5%TaSm$d%|#1D;Rz7h>?a*vcne*2Vrm&(@7G@vWUosvyjzA zFw;VW#Ckg5VxmeQ8y*b@a?BYGrUL_N>GbRGlv08K3b**5_EfhVQ1(Vm~fp0_en)d69Bh6g{fel35;sti|I%Y+XY#F9O5NQAo z85kC1aUD-cdc=hXQU@Zo^SX)hQf~m-X7@PO;C4bF%+?I}&mI~;klG!4&&v2{RQYcS zDpUfLnns!N%-pc?0YS_3OM7@+X!cK+&gxrxfwwP zU=!Y4CQF9L2#P@;8!YYd-+WEMfEgS5-n;Ni#On3NvnQp(9hEG2i`^8Z1Ql2@fgVcC zlz1jWEp(zQn`?nGJSlVua9JFwsMq6@O1{FLaMS+lh{BB%1Rp6X{{ZWRZrH&TG4o*m z0M0sa%OcEaI}Xjcrf^z}mqY;c4j+Ozdi@`ynGOXXo+POQ01@emJmTTk3Ry=LlIR_< z>g5I&#BM3FmS zFD3+qCVk-j>45>b*CFyDfYudW$W2bwj%ckhf- z3Xutof1U7{r=T@)HAM~iM*7>p2aSUntLMHZRe)n=f8R1@UKZ6%y}+3#X~%qWEIUlk zPCeLi1QKPo!_T*cBLwiL+?Ic`avDGpSM`q(^U6E#%jNs^&vmjQBFXY!{oxvWA&-+m zOmTsrbvnsbeObJuzPIAt0t zgop|TXQx=!T$;THED|0X@AQN-bO9DWA8qrmu4m%m#Ymm(1^ziSpN3O^2uHh~M3z>3 zyE4t5yXI&}i_Bek4jdYWBAwUc>wpgg9#nZho^ErcLJ821gQ;@ya9Fk@gt1K6WWU2R z@ySS(O|a89ujd&nS|&m$)ZEO}!#Fx@7JRW65lz1MZKVYQ;arLH1@p$HRV2g|0MNtl z>x{)fU4!*+86X#qaIfLzMlsBUg_ps4@H}S8GSr-e2wnGuiMm7Dm{pDHi+rPaM7(kk zORPRM)_5F^x^oQ*W0U6x3v>fEqLGsEpGFAG$>7Yma?J))&lj-a)S@DhoYl^FiK5s* zm^FlJpaF**N~|$~^<9t8o)Qj1uWi3F{{Y@hFADMj1gTgB`a9wgY3{C>tO|Vk=4UiS z27(4^>wGLCNfZYk}g2Q zsvdC;FBq}R88;Q2dz#}j1A9&!@BQO}B5;);R+mRVju-Ai0T`;~2Y85E+m$F_ii#Co zH@)-CC!!`V??%nr{{Z3C6$Bwnh}sm5XKT?;xA!k&P|FC zicr+%-ljr40S4Se+&k3qncXI@%$l8_Pk+(HDmJEs$oIe;RHupoZX-i(UAGb!xl%Pt z7ks<7H--Tu2nn$gfEjP;oG=OzZMUO?>v`rx;L8}oj&Lw)geE!NK>?Oew#A4OjH^f+ z0LU^E8Eskt$^8X{SyOt{X5-4xl!l__Wgyk**Avy9Sy6Vg<@drWKnk4!E_aZ0arpJX z5Fr^Vvq20GetA(fVPipQj;1*@YS;(?fOgLI)aAe$0=_=ZI|vZ@Cj9;P!*E7Q$bU*= zMoe|Mj?`na%|845)vju>Fgtv|E?y6I&O{j~h24z|20}953$@$+9YQV~(^tuVuWEmsX{{Vgjq&l;rX3syT*BPX2R~cqZ>jxwwB*sLYPjAD;Jg63}Dc_I7 zzZ`cP)&V47`S46KpqpF&0C+4~`#pr3zq33aLe(^?mM={8_AS*J5e@#_SfnV7mz9%S zcg*xOq{^#C^EHRm=980qX1~k&=2yoIuTLI5zr-e^=f@3_s9~QuF^02~)H`5$yyKTY zra5nwB`5?wI2VEAXvUq+0Kr<0S`NNAP9Zk zSI9HtJeaj(H6Q~gY#1DPs)S@FV!6ud%NHDDg(V3IE)DxkBae`%yu=4k~5FByvv&yHD#3Vz-uDAJ#SeYtU%LK!iEF>lGN zy7EE5bTHP?cbUO!Fvc8AA2*ETCo#Z$c>e&eFQZ)nx;6G!t7GUVpfah0|hY?x)#wyal<0*oW-C}G%!Rp z7^k} z(dguhONI(-z1bAjfWRFdb z5u;vTjhFR=mMEA4t zPhacLt+>!C#c$0153&kr*i?$IQOHl^a7#$ON zfK1h7U}P_F4CZAp?FWOE1Qr#Hh%h*DHFc0SD{vz3~_`thh72jdjJCNT!kl@iBi- z(sPn9(m8E7@bR7s6%dVmC-M5=hK&S`rBDP%=g$m5g=iW=@0gg+kcVX>j79jV2RS`VMvGbgR)Y(+}zVGpRePX z{2T~viC`+G8wSA>tP)#L6~j6MSj4%w`2TVxmiWd-!G` zkk?yHu{tl$T#t_6lmQ1x@AmN6D~1&4Ns5>iu&0j+ z;cnSR33l(2!x0qj)F;r8IE;#LhJ2`Cvw$v1Np{Ntf+`E4*PBz1BFdxyPo)BS+g5iu zs-#sFT?0IXBD-(TMY#0TJKcrbV4iOq)q%vHqC%NqwFI(x1+cTt?RKny+ zINm?wm3{zr5PJ0O(Ssa!oV*OQwAxcQ9$rrCCOg=Hk+;K%gN&pl7J)JaW+{QZQEE8T zJ2@vv6Km!2`J)ton_kfkZJ^$L@0Tcg84F^}3=KTED3n(5tzGTLG-4^SHjq2wq{$L& zLFBm5_5sCi6Wd!_G^i3ss)Y^>C_@VHEK0Hsf-w&tjq3LxxD;RWlx2cl`hVvbBISP^ zY|kYt+xy^r!6?=j$KUhB6=-xYt1=z$hczNYyZpJ~hfX;TX!*QTsm5?0cgu+Qy5Z~n z`Qs7I;Fp)PT(Y&|>f`(2PDx#d4@Lk!{2bp*=FBHHG8BSf{{Yk= zBSlgKkNWxKz=1fye@`FZ3f2u*5Z3Q~@qz#WNwS`Hzcu1_gCUS#ct7TH!VB3Gmu$8? zepANu^J;KJK()FBElk-w{O~M8KFlvUW&=y zIarZY2V91j5q)*ae29+~e>wjE9N3;t6*InIT&R|&PM;h>iM(hKkyuJ4;R~!obhXRg zBm3leCq~3BI{x^&;8Xy>`j6iPhA{}`V%%vwJ~zcP3E_n#Ls-N$rLf{7QmPruI(}SG-eDmQL)$;hki;aLAXddRRR-cx?Vf%BL$*Bkk=iF+mM9~mfro< zWq06iYEW*IzW)GD7KM?jd%S0#j%JT7QY|Rhyv*xlg3PE?42a{s(>5Z_6d=@5V*db# zzBEA#B|#IU>`#tbfh$F{N2TNp?r~`)%!SKP#*szuiT(Qtt z@ht1rG!fY_R?IpaKr&HMz6}lS?}{P1i+>~6G+hn|G*#0W@U1b#D7-*R%b33oX84Lo z9!FKyJL~tp9SWcxk$IURqG244<4U<&vxnDPY#LH@7{`D^hXor7&UzS@*)}hCl`TOw z0vIJ_cIN|*Gqy-Af!aJSd~ZbX1jY}Q`0YQX>Or7a1Y+nj9qL|EXP_B4NOR&vp_c?L zl2Os5L2jhS3}YLl?Uk6HFT74*wX`9!fvU=64ZLvrC=dyTXMkkvo>MgEN=QTt3MybA z^&Zjn24PbYEFkA8Fe0G^C@Po}3xfdSR|rE=>x4Ci7c(P$gX`yl0oNHAE>H|vDEsS* z2VBqci4ljKrkTtI9+JYyd2e=gt`qm6 zcP#ulw>vw7W(bIkc%))uZaF*laDv8&HB1*9#f&s^LjXaz&k zg&Y)}&^8!#^~N#b-XK^EJBMe#z7QGIg?93xkNnO|d<2b`Z{PFpnHM1jMKu8Je%Fpw z^Dr5A+6S>>wiO7mqcE4so8K^%1QwRi*wym4zCY%e;;US1XjQ1?iGKAl5+a8L$Cjeh z-X#sf$Xp3wgA6Kg3JeM~&6-@Jfn?+;g=9s-Tx4{?2Ne4OeLKa&=aUFdJVAcc{yg); zf)E4~2}6T29h_M0NV1BU0Zyhj*B-D`$*lU{*L-hK{OZFEA1=8=(XHf4176&lV}D$yW3N)vSl(X-(h;68K_ZAR4Rz zcH}imtrFmi)CJv#0qV#!Ox4S~z(jC|+;N_V_oFKyvzuiAhm3p0Gr%+50cc(K{{V-P zZla2EV9tYD_zx>Gq8n{x4IIP9lFi-sSO=9|E8gZ}_IhiDygS@`?renrQw zS-h|9#bQ8{JMVyw4Zb*0>B2}DyoD4MCiBO^GRTDJg`z&rI_Ol>bC*@((MBvSkMZ%v zWKt>HZ|{*a1?v_4@)#8Zth~+S;XzBdcH{g9=Z3ex91ji(Xrxyww_dah&Hn(WLZCEn z`aEl@Si35(dE5BpAWXs80N<0dt|+OqT@AQi)$2S1&L$qgierZW#3EH!x3%Jk^l;CgluH>IpgZ~FY%#khQep1Hp19e? zOI1v{?tIDXl7Ip$a{gC?elyLXU(=fzCsA+%A1=5@Aeku+qGF6W^Px%tg?0yc@AG%p zX9)%x5wlbn2&QY1dNs)n(37lhEKU5x5Wc4v3>s8*p^>>b!F;IS6saD_Eae z;^)m;klo+|^~-C83_x4J9zRB&+JF=?B`>cce|#wm*So_HYU zP>E12h+B2@%a9x@Xl%SH7byS2;v@hP6p2XvfFAoA`QJK~Uc77+>y zyXbB?-3?8`4C)E$Pf$9AN-_ts*9mbTyr!n|_TX$B!_U--9V}duQp!?=f&%8iAIFY5 zgKYI24?rJXam>V2!%D_X%a7W1NjuUKk; zaTrc{X^I4r&gi*o2*HuJBBC2v@wvt$lJC%oLJL@YjxFO&hymM9!$M`memkRxW(d*; zLBw&H6KF?J;mAiep;6)jrzwoBr3PJ~8m?qbb^<{FO>%~RMllX(5O#Z5&gVx7>J5M4 zhDQKvtefKziicScCUd&;K?zupkAx4EyxTl;3BWOeWk4~7r$bK_xNX6c3e?JH2Fq0{ zt{AF#ndqVKJhQ7XA+Qn8$-8rm8c`~CXO5;IFpk{ zY*0-gv0#o=psEUh5>;PY{y}iIn+yjXLF7?_U>fn!PTW($3K=?l>&K1; z$}|>}vH1G@`t`xG2e|~sM;IyQ$6LRK$Gsyx& zaFtlO%=*u}#lG5{v)t1UbG+k#UIYhtclN{ZaOumeOK-j7!LIt-yu-z?ED?YQ0M7c( zCbaOSx*eJjxl~|IX<*4-Lk@?G<#WDjr&K|lA@e?OeD0+v=Rx>ZdcF~Wv>00Go%y-* zo)smPg#jC#z4*P|@h0;+2M7a7ubU=#$Sl&T7EsNOW6l>VjiS62;~l1R!63r~HpqHk zZyqE_HyYzC6@fN}^c>5^`6wIGt#cg;mI zXUhkM`7l6*(^}6cmP~2K1nvY!UGIlF3Mu~4-!MhmwZk9IxKPIx8>5@_a7knXw%_^V z+}L#RPvy)d(C4W?o5BGrVd)o&%zu1uZ&q;DIU?{E&E_;X0%;zOlUzF&nF6pzLI>9Y zy5(31SXr!f{c({*F?c3&h5@bXt)M>9?-#Bz zkzWR?I4&oE(tyz34PT$f*DPU#9ON9~hu77@xU7( zH%d(wAlJ_lElQM7N*GK-0ks1G!a+$2h=}1dYGvEiFk^AC7x)KW_-W%jK~8mIH-HuI zqlTDG0|BV?XJg`V!Q z0GoIP0;902ZcawL>jUED9@zsa^`7Oh7vx|uQndxPT2dhv1X zR|TRAJ4%Yn+_}E_Ppl+~XkC`K^TuhgNf_E7bdITavzh_3 zava8nf3vPj5s)KcJNiNpAYxM?ofY7jh-v}~)d4ezd}eH`A^_(D1WGWhybfdz5hemC z8Z&m2jO-2sM8aK$7p;YO0ae(Hi~j%zxb|KVlL6nE@anr2SSEFZ%0JIe>`NHZ5XekS zuKM06+YXwQL8EGxCSn4;Llg}7#RO6RQmT&A~kiVP2_-j~CkN{4S8 z40`jZM5>?xbB-sN^x&M~@H=MviGJD>FH=nV_7iAs|N7OIXp2 zMZ_FxN&qn82naaFUr@E&$rY%mc9@PIXr5pMg7?E_jK0oRq8ZjxD(}?#vx;Ed$#DDX zYwL_!jQFUz-?l$#=SE3bf)SP?TuOv{ac;iWxX0yq!1zc*3{2N+8S-$;58m2hiNA_2oz=d{`>!)P=`uNige z<>8`<2g*hM{{Vcj;X{o;gR@5%e_tlXS(t-Jl)?IXWUV&IZodJ_;t)WRDlH!H(-|?wZI6%&73_ch)=&FC_# zkjzsWG3(bUWNt*8ANkEfgKf-g{2T&l)EIN=zwfAp!!Seead~Q$zsP-@y2z%mUr+LT z;;Br@%hk=|7{ZD9;L7WfGm9Jc@K%R@`K}1!fXBgJP{_=67nyufASS8rZ|{T@f^-MJ z4t?EmMZ}3}gu3%ze;lZX5t8NQTm+Ko&)nl?ISL4;r=y>I5T^cwAKwk+#!zkwvd^RW zyabb2ObPwJT+R-lCR26te)t_+T86YU98V)h0W8l*1(NV#q7d;NQ%ea8#hCFsc$1#qp7K!68MEBH2q!A1*`3 z6|oYBmYDXv{{URU8C-!#3%j_HhgXpj=pb;&pBp^8cS@a^MYFBzhH>W{$o=p+R2ViB zNbqVuixh#4Y~xQ{*x@S68@$ziIE(=_>`*cD`tOw`1xOwBkLQt4XIX?3_s1Q~iz)(W zNYUeZ3Co?Gm73jUhs%R}#rWe<0yx=+k+EXuB+nFKk?L5bjEb%{bfWl{HUrw$2J3Tk z#i_u`WE(YB(>yKW)O#NDwfM$`ff`z8_1Zt5JWv*h#i4#D^Wf3eLkc1B3Om*Vk(l|g z6Eu%RxW2;%Q7{T?wtf5M0|<9OCSYN&o+Qq8Y&yh(2L+KR;6`xfD4Vmnf>B;_+bL*{ z24fKN%rssbrbyyX7^>z2;ggpMv{8z;m&7tIQ;m(a1XVA)d;XqPz6kVJ`m_4t(cU>w z%}59*E@n|3m0RROAz<7J%2GU=M;O0VELFbu7S7B!Xfw&B~g@Fjc zp^-RSD+CoP%I~8$zCHF?3d?)jhdxe(Fd&kwnMvPH_?3#?g!c9A`1Qt(EK;U0ThZy+ zzGjdnqe-m9%-DE_Mu`lUMl8lGqfupFVu!NEWyDKauGuUr1dfF~mN}q!!Y&L40Lz1} zf@3@&;ecXRQj{uIo8oLL+XhVmG0{@S7EcBYmkc4xRH$OZgCz|JK@tSqYpd^rHX%i( zOox}o@9T-X)5w8C9TaXBi`Oa`Ya*JV!gTWb;Lt!|1i&qrT)8=Rz_b>{@@xI2mr3xI zjmnz$lNX7NX1EO>WO$9y!eB&TjLD)WpX1X-N>ri9_dra~Mj5<3a@VgkYXlkya4Q6H zrBE&~3Nm*9QUwQ@J49w!w|Ksl*9;JHdVrY3aWhs8>cv2ok;Liv$@jxRq(f5#&atoK zd}2zkNLYU*^W8DSG^8Lw9XRZD>%1l%okYNKU*VTZA+xYujLePy09*mVV$iE}Wwe8Y z;S)k-085KRPe9RZ-C$ZJna4HxUu8cZ9jmctVG)&Apr*d;!5HLvGef}MB{+w;w z)={c(R=5Fokqd_A62bs>ZA3#wT-uR+MiuG7>RmjeFc2`2d;^CUzRQ(ZSYg08JM)bD zjM|u^SQj|)(V((__kOsFw!xhT`Srk76u#_R$_C02A}iOPLM=R&>VG^z(nc{XMbQG~J~&0nm3t}PB3=MNNz#>njuJcCI8|zWaTh@XD^_FPXWtM+iJ?q&>BOy% z#e(tvoKeSIAw!bS1OEV~r!UkPj$+}vGwaWe0w|=N0?gjuz7A6AR?j1)^9gYfRS~&A z9x>!k3cbDdH@+ZIvJ4rC*O~a}o=? zH9U}GKb~9~&Nvm?Xjc$fbZ#mH4ubyxxkf*0%7nPXVve4%)7Ee z1Nh>N_sntj;v^YB+%X(Kd_y28aUBG%R~kQO1qurSjrTbJ0K8)beG=qnr26HkN+YCy zi}>O?O%^67uZ|yE0Z1{}>3#Rnx9Ge}FRQBajT^X-#}Tz?3>|_-`_3E#Qz8&I1!<#C zp&YE?C{b{6ik~_?ajJ>%hcg6x+6RH_JXSL6w7F0}z<-91EbdaAD&Ht}_86LMk*lOVsMTxPJN8)j_t{ zn+6^@u87G>n8Z!AtUwYXO3KL6L|a9bmEz?FMyMAOWYQpbCh^<7jJ+YCjuFBM>KTX+ z25HxSlVkw)T>1EM$0OtV;o^aW0V~od{GVJGCAHnI0P>AKQ<&3=Q)apCug>?yRY|}G zF=Lwiws9zdE@US+gbYQ&iM}yp9;A&=Mj=Y-i^Z`69qdsi$LB40a}^Dumi>lzFLQui ziGH|852B1m8Y8WU4*$=KIS&q;KOR>i#4IW@31fj&-WzxVua=%l>-Wu zAjx|kB?nv$aIb*;Bf`DClI&MtP&Ub1!K9IhA<6=wN->JLh0-v$HDm#}IZ%%TXsm%J zK!6MKoL$8O;H0UZ>z~oCz#2+YV*C7CjpVbplY$hy379>H{_qJ?fJstd&~ef8!Ul#W zrO<{(SB`R0q;QFl_IUd22dqXqh?&vm6XTW%)isQC8&X2}=?PBcZc<6){ca9m(yEG1 zm-^A-^~@K?A>#!tn#M+ED4ZY;X-`6oxor)Kxf={1R&W&E=pE-=MFr3zEjJtnwH^pb z(m-giv;6U%S%PttX>p&q-uM6tUXo|@f7|1oTEqy2himsOIN3VI4H_!UgW6_IETmi< zkLLC9#>*2NIG3^+W?W|mdmyJV$r4e+RNyAQF&r!LBJh#G?$p65N|L4`)dt52vMiM8 zIs=d^M}akZ7U`{3+-Jf?-4L%Rm@v$Ja+144OuqZi?}x4m-ElLRYItJ*0Cb{5&WoUH zd|HDVH7A@{aj#s182p-%gET(*lJq}C7X3HD=|s!8yLEs=Qm#k<#d4!`{{YeR-!lw^ zxWRhDzilOK&l?X66GA<^@tDh!mnvAorCbic6v&H*gQW4L3$(Vd`f#ABKtu!Aa{xMkl7gm7BRu!>lGX7Wz*r9EOBcbZZ&g@eR=o5_+A`P45cH=@zO4^^;}R;R zqh2yk)x3taC?1U2y}?d;9)}!y& z47rAFNpb$XVL;t5IEJg|&mqz>fPvXbz0z?(p@SPX3l`)D#@-`c&LO2{jz&exstB04 zJn;yIXnTcozZ^qpG(=*G8_)p?R)CC1aj+eqH8(hm2O7|XV?-@A?}hP%1l;oSf45-R zWGK;Uos0hfIYNOF{2#-^%so1;LU$Hj{{T;H2+$f}gzT*vxWu3u+2Gp2mVV`F3Y+!zQ2Ra><9*m3ck{EgdnNbMak$5u6 zZpwv=@Z_u#lDYc${P(_3^OauB+kNLA-UYoLIwm^k`6$PkpzAQ~Q!n?%Y7GqW6G>0l zpM2l($zlM3LrCQXB*HB0@T`in8R+^Njd9ak&}>O2s1y^4paH^$VBgeWN?D?{{Z9tILOE$$Hs=X?;+x@q)i2OB4Z411|UV!vkG-6=zINM zH8GUTO`U_QYaGgExY`xjNnjM%2OdI}4w)Ur9JI`ngAx|dqy`YUIneS%#He6Vg%n`f zgeHeIJRJ~5Ak;~f!x*g?63XLeD~ZSl17J0^{mx(x&S(>egWX;x$Y(t=hk#1(v_dKM z#*lGj1a>1szkt^wh0GKY(|WHJa4adF$GwUBcC#r$A}g~Bv$;&>Y~vacVGL(A-zz%= zIo1%3Pj#I&|q8b@9xoznOg2x>R+34t}oVBx#6`h1%E|RrV-!e>qD@xN8jypO> zko0%~bBtk`ef#Z+GS<(BdG(F^<3e1Ek-S;n{{SC6G7w-0wftyx+2S zbl5m}ERc#wbAW04*pT)}LM=uDCcBBgcQUVEGljA&e}|7ImNT#``r^4FYF+S% zUHRe$(8^N-n(zvP3KLxpCDj~d`B(lpqLfJ65kKpM0cl>>C+_hf6(I7X`{sI!5iSuS z>&r|6vu}AHB7V6ipyHwhAQwLs(O1VCt0M@6MiRrL^75jBvU9BOfiQ|xkg1<-fAQl^ zasgA}jiH>v+JywYt91Qw6q`_K>^SxHc~!Jb)z5hM{{XyLjOc-EmTw!@5d^hCgyizL^JU)2BMu;j50oL1;<9%XS5pO?FjwSF1BbEKHEXheQ zx*vb1I`beIXIK7ycqkw>=>@Cc)qY%JrYIz?Z>t}8#plbzgVtPyr3p%s8I{g25#^Ae zS3QtoYM}E?!!!`=K+-L>EOX2NfoKk)9XJgx96$s0&91P5ic%yB=`2nB!;Oy&($XjZxmp_sGGcM$D3~p+hmab2bvRa` z5l4VkakcLTX~K{ONFV^yM|(^hquHjUy}H%pTDT#u@^mdM0pj<*M$x%@Iu>BfahCB- zS;?k}QE}rVM=T2FMNCBwEX69+Qt{4+z!-rtNPm8wGMG0Iuqp%C(gCDqBHa*Raqc-T zj5ENaMO6o5E?5jX-tFSTveJDGN8zJzNaq zTc_{(=G)_op-$3NGcN~XRiGtPpvvH>X&qXFhJ2eNN8I~98#jqlBbYK4uwxhu=QR8P ziUb3xhxqr}N$QH$3|G;==m1PppSj<6*XNZ~6HrpNEbMvMcZBe$=9CSItGm&u!4-A2 ztW95j9R+0;^+6blOus=QAt0(UI0iry2_G4+9KjF+1Wr^lY~qWbScEufSR+-0uOV_Y z3RMVB2nDLA2t*)JHbBQQSB2V2T?-bSF38?wOOU=HKTj05d`*i5bi0_yioMP;up&=~ zWa>L}>EQKIf;v^nd_~d!Km7NNw*xL zjjE@zt3d0cSfB_)O;ZW3t@UvTW7`NNScmW*U2#%%>K6`QKfeCO2}H)^C=%hi_)i!B zG9nKk_WsH|OM;`7a44CptBzBfC13K$b8dp`4VWag>P=yKI;a%#Q2bodqn!8q;8L>iTQj0Vs0DI0>z1QL?R2a#&fN28kkVK~&S&uB zQHe+h0ncrW_VA{}z)%hn=M?_{=N3{0i-x_YZE%{aHbKdOFQ1KY7`s3s$1rk!{{W6K zid3{d)8x0~K58wet7pSn;9A9PppHgkKRDHTl~E#YRuBvr&C$p-hiE}7@FDom9s~t0 znXGvQ*MqJSC`%%UXS)oTSKkR$XMfcm^s_b~N*;J=xwr4d! zZ_sl96mn`|I}UuYo=80uEdrp?e7dHT(-=D@Mu1#GgLqp;>62_65zu1yo+!~m`4`WQ zgVzfPb#siCJQMu{_^m_WKkwfZVj5JiuC~7}nDO8cR;H5~OL;TV!^=8|B=b=iZ@XG7 z#fK9k1w(fHUCunTWr3jyj5@$UVByhu7h6=i)6LHKfw58`0w_#S#K%xf_DHd9qy!Mb zFf1|_`eiE~sBR~wNY#y%DMXAX?Tv4hdM!L$ciws6?}o4jl)+2e9A5r;QCqyBEhk4? z;&B0TE|1FirpjF4cV}xKe_VvlC}670d-vzd!yE;WIGl~qyR03AT{{Ib3#JzR`>zDU zuv}1PbAlgS=uPtY9;3vO0x$@ZI}D(p+=IX#S|wA$S^#tu5xx`RVk?Bbt?L+^fQw*( zYitpC&>18OFh2v9qI-}N~9(oh8o6EFM3%m%25oIZX2_s1|)7?QJ(h3dEn43&Mv zs2u0o>~^z&X)&cO%#Tm}@qy$(%qlWqUOsYi$~G-WFdRCCE~=#hYAQq_X_4Tuqz2XU zFrq-DLOT?T0h-_g23-&e;f=yyB7{aFTH~ClO2sx#SakHv-|^*yGr2#96Ru~)EXWe9ylgay{{TYv26-BU}Q&6;cRdSp6eJ zdFkdO6O!qr2&DrJP{`c(Ib~}J5sN9`M9<;B5UeYf%puovf#;gXa7bfSg06FT4y8jd zp$uBVYh#s#hU@_XddNG?G)^Vr3hHHQASsaVW;igGS{4{u@bveQ$04UdpP%{6QS1Kr zm}M1tS^fUGfGtZ+XEP_C{Ns{+f{XtES^aU6XpzTaoqw~!Xkrv8OuX?*VDu&B43|6` zT_X6;3=9)4x_R~R7&tSBH(l_8$kJfKr}M<7LT3SK@L!%F&(6tzBF6w3J{C_H_TreV zN7YaF%z&f-r8a)wTuRIo#umR9v-;o{5j4{B#oZ6X#9`xnGFc=5t}gUXZR6-#d_n;T@IVh6 z9GnYY`0hfM+n{7!Flz+XL>Yxf>N&xjuYcQtoP{u~{IB%l9+fN3&zs2V6baIX<2U1g zM{F%LBbVdrTjlUARR#^Ow*LUWV!&fTnEo#a2-WbP^xy!|6$BD&$-cA30XnE)A{b}m z#yA+4MDx^~nG4tHx9xPfONlRT zjCnw?p`lk&=7@0V&zvKOQlnK!V(i9dIZn);0_@c77|w8TIZM(4Dv={FK&S<(6Nm|N zXkYh*6+W**^+JrP1~GO;Ijl4xv^GvgEKCuA2uv#i;z3cR{{W3bghk4U^5Jhe@y9{^ za2eTHEL#-s+ovlFG%$k(Og+tTLjqu69G)QldgYdKCI+mV1^DZN(Q$GlkeM*u^T@g} zLS_-8iaohD#S|`;v&#_sdhr0GKwQ7B0st8lhl{WFwaj|hy#c7*@d!aEAPfz}r0tFr zGD2*EjvEXoV8!APN(NR&bBdDUkoV@l$r|RuODu{ zIgCabGBauD-`TzkX0{On8saio7yvml*OrQ4KwcMbz6m;kL5|R63tHl_S0Vt>jIg1W zfPh-Oqn z)N&I!AE0%}S_BLjU8jH8Xyd~GA_oTwnZx(ROCk|cHB%qAyTQyYWUZ}|!T$hGlqm#V zRLuAP0G>#xYf~5@S@!(rgH@!Xhn1GwJN0Nak~0B}Bm(D&hgskW6=OEv3)k1u?c7$9-egH$mf@&^+X6{UbC z@PF8KoJ)YPzz}zxgbqE)4h?2CNIoU51vqt>m|=1oWkFUo6)+SK;@O0nT^$&Mb|Ew| z>DAdOql{ioC>aAu{*MXGh?S+5j*fLmkVUgGpBpGLE?ss3SbA9 z`?Tg@e$Ww@==JCIpdL@5{{UYu z7;w$v<%CQeBp2>Mcm|VAD>)n|ram&|8ne}CRHjtAW-d6n5)&GmgOa!1>mx90w#CdG z;n(XI4m67h{;Y^Ti5Df$9hAJdvh?OT2ZiQj9Q^aNjeLbpVR^_Ii0{ zfQ0M`kAs*NBeiw(==^Zr3D!A@f-~oqdP6TQ7Y<%vGTcAU1B#mEYvyw!n-|A~+(9I= z8+t+b=V^09GU-nV`Q_(GrgpOvcaU@|lx;9@38f?xet%r;gf}N*{{TPv%!&X^SK0IX z=1*ni+CmDUGLf?~J)8haib99yKW@)l4-z;?0|RGP-^+p^W>5lv3`Q-#F-a5X$aHJG4P!hFVr^lSU z8C6SQC@C3lqrE~J#)H#@rZ8F5haBM$lA^$EY2_wA4B|ATL1;`*sa`7zF{rZWxXLF| zb;=xU&mrr0m7xpOCE`qijm=~<7bjcd3JI23f~sTSH2R?@D7I{>+< zlNrJUXdugQ;$E?weP*o_bG-gIA_a(T+R^^~@RLfWivAk?=ZSeB zp&^JweIGw&2#u7I(df?@($N`_XV!)-(Y zMMr9DJsX)gp^&N%aK=!+iY?^(XeP3qkf%@(pp^l#6k4>Q9Ti?R+d(v~XFx&t>$Y(S zRfm?k!w~tEbl)7N6go(2aE+gzz|44M375A@$ z91tR~!y)!PW>)h+2%tdB37)n!#rGA)iX*1f4_>bx#3lhC9WRad;Lswd9fEska0-Sn z%7GgNu-J1vg8m8HCumRG!BP{djuuLLMYf~Bs@sbenQ{{S35&SHx*Rd)8*o<3BrH@v{blGGfrC}P>QEgOy%kFa^b9% zU162U<60hC5>l*zCHEdt$reTn9l1B*;AudR6rClGcjECzD7ESvp7uU&S$M@U!e9pm z!msuR9r4663UH=@!E;*FU8J;xftYYsqEehf8)gTOC4c%)T-pP@5P}5Pkn5sy*#*R- z9%Rq_b;cmTV(k#^xIF&=Kmt))E!&R!$9^-x!WSXE3N1mU-{1{jpj`rqfiT#(MdD)> zj0CpqsQcjnEER&pmvBAeaOPa%r7=v+S0+L(6S21>EOR9unl(5?0};dwKw^&a24qs; z;Y3v|8B#F!aFhAkvnlL*xh6oVWzq3ImW?g|j!taU7NGA*Ti?u4|a%n0$qV1cJ8#HR)Uq zDtp{4GN4;x;1Q7Rm_RKE*BuKkRXpDT1njMw3klvS5=>Euy9g)q$P;S0`2PToW}pJh z!j;#H{{WldhyWBB+vXk)2!|-gd&V2r>z4-rttu*hCj?{%BYDmZZ|UOUMZOLajquJI zqDHxlJX4ANIoo-2j=B4MdU=-72KiB}g$x1Papcrc9VJz(yT=28PSG!3FD2U$MuBMG zhk(CA{{W8hc*lakz}w5=`Q@4nksJK9aOF5jr3lgX{{YstzFSrbn4pcl@Dqq;LU9FD z%x7Hd^~l2*hui28KZhw50c|M12YAOc zAXs^Hvvaen$4twx-GBFosAUkt5Mv!w-l)EZrk@cTeDaK?scD$YWy>-gkWfQ)--^N;}tjPbR$`vCyi zC2-?_seh>ybT7fBvot$jAJ_!I^^YRKg#y0 zI7o7g4x3CkGzI-J;1FFH`=8Dr$bdjcD+uK=Iu~Kks+7qU8YEj%3CAr;@ZgoegDp4@ zht}+2vOtQc=&ldB!y^Dh85KiBwB@=Pj!;p4uSa}a0-I&2=iqYBzF1KD!I~-?5B53O zu4UqDG`cW7@P_&cKxBsKeSL7Qk2YZ_o-X(#6$-(Vb-l^`x!@WB+6~0^AB4vV95QMa zS9=}5THwKH3xU0tx@LHmJm1UcfD9D0Cc_x_K59fl1p+Qll3Emy=NlRZi)CSkbcXt~ zfLnt^Bd1q8a)LO%Ba)le@9*c03=}RAlR00+*2$45it5c7FTdA#i&}ME?854g$6Y!k z*^nAY3|Ho=C`{1E{UhSixz`97DO5x{fRfKWyl}Z-k{qGfpw-#n=trjnWx)W^InMYR zNQ+Mq;R2xz19&)00B%keaXptkfj-f)9Sh_x(A8cH5R?$igY|&_0AOUfFig>E}UtoaSPTK~<67Van5kqy=Gws3#Bd5frze zBuK}=y%9CU)n6c>wkhyOU4Tc>LIG79&!6=;#zm4Hs?1A&k9brdK=;WtmTSiiOi(58 z@A)5`voYEG2H776%Zw|OAbD>%#W5jgvpr8fmFyejlV-R6XKbyruRK^%p6Zgp-IEp+~O&U1MFcW>} zkhWL&AM1*{?akf{CmGsTh5=Md@ZlM)Hgvq?uK>pyjFeW6gW~gMT1Akh!AK$~T960v z#_*N(EBYR90|YQ_l&6;@I|Ne*F#Z1k92rj>Ypdu`Iu{x`{J~%hoQJ1N-!9C*~1<1 z8jc^!W9{+GDWHdmA0D|y(Gnes9RpA8F7Gwy!zwE4;LZ^0;SgXS9yjE@@uY3&!rgNf z&ag)ghRCT*uHNvjJDqTl1cCAgXK222$^3IQf8XoE>;=pPLf^g(bR4znoOO5WookG! zqs~J&ufP<802u`9-taEX3can5&c1l*lAY$r{ipR@akru^*ccc{PKdV{aTZ4twwSiK z=et9ZgHYm6lvRWTQAqX>xeLH-_VUiE#UQQ*Tp;Ncb&J3L+;<>lBnBToIpUD@$1ua#a0saFYWI%D zY_sY_Nr7AOhB@Q`aN7g3>TmCeiEV%eR_;mrB7l!&lGS9RB}Swj!d3Nx#~xPZPvC-9qW&| zSd}5I{F)}W%DHR;(P^fK{?0x3Xi#ZgIX^Ya$RR{15Yi@#pBd4_DvM5r2t!s~Vol@< z8U)!FurHBCqO@fV6n8M&WV_^k{)8_|2-xx_985AaQ{3DLiYx|43ofDv+FODus0eoe zi&z2{Cs+#}VU8)ekR-W`fOwN*=|Q!n!JEqXBjqL@-nxP@%ykBOJL7}vfZ&XtKFudj zz6>KcSY1u)cax8HmT(QoSSQ5mG%22B;a^?O9j%a~fnK*RXKOjGVyrVfTCSbrA>c>> z0}w20$j<#{0S+PT<@g%1XL;|F#-piBlp6GBm!Y*OZWU8aP_=$ z?u3niG%0g4(cbmNxnpUQOl|c%@RX6N2$9U*AHFitbU(=RbYER?j#UN7GQVzA)1IBb zau}$7`^n_{%&V}~$qNYIeO>X@vy4V(&LhJ-)0uogNwg|v6e@TBk84cr1w2S0K7%<2+EyBB4ln)xrXp z81RjNc0mw=o{eJea&Q=iBBBcb8fq>HI2o|5N<0j$?>WxLD0ssT01X8!kfeDQ`fQ9=j)AIZ#ua3&QGo_nmxc++AqB{4}IZE>7&M=Kjbh%F53 z%9sm@m2-=TLt-puQ9th;P zsL7P=)Qwy9TYy}`7TFvm+1A{as5NC*>ub*5D z>l~=kjvpWT73C^86DAHJ{C^y^T%cSKDTSk%rvFm&$Bu2<)ulwIOV>|(ZK_s1Oc4t`m zMVo)3@XTxBZJ z%Aywb3|KCzQ6^O!!0R1yh9Qwf0>7)qoF$!iMl~$qT^A%}gdrTR(WCZJfTD)E9t{Ky zYVnKPQoE2>;iGBmBC4lYBAZD^Bj5hGz@Q*PWB$k2QgY`ggwSTm;k&<22w*ifU;>Wf zZnF!NylX(FBa z%LEtB8Qyy)&{Al?#(llx=V;i{+DMq^8vg*pMbzb#L;#7vDEy`Z5zOfv*C16)18O=V zDVW3YRb=@mS;jG+Hv<>&02#jnKv4};L>AfgKj$8@bg>aKSKohcNUAWKBy7&I`1-5Q zO>iiNF^v4TTHY$kVvJcJ_MUD5RNaaRnYdFFeSC2N=k-=VtCvF|@xp3--V~vsq7;`b z8Xp;%1Vv<-?3w6ejX}P0xyVu-l8iKDj85UhphIMi2DniHH3Y0`M@AD&aG3=Ow8}4e z&V6ru&b1IWSN{NbE3;fUE@%UJqxSqkop84R`p;^E&F3mDEB65?djJ#s|(1T$wq z{{ZGVcwtAAR~vNtd~$|o45VQi zH-ddv?=cqSp+J0==RCp;RMoF+IA`BW8WaO;u{8^9#?0X4I^7^>Rv3|AmR>eOi-Hew znJ_bx%yg5ECMhhhiN}a|4Exbe!s$}f&a&d6$WbaNno}+;>Nh_i7X<)_kh{!YxhTYj z1B)nnNsJibl!8m+_~Ibp?f(FL^C57;Z`btx7m%8WLDsKde_RaU4KTpZ;o%LC;XOa| zo&>DQe}(2|A1lJhQ^IeC)xdZ9=R*#?^1cn6*zbZzw_Z4*8J1h8h)AW7fR6khj(nO! zA|S#40LK?5I3FJ`PxRrH2w+VL&y$wb0*w=YSB?pQ7KuCU*!$(GXktt*K9iUkpy!N? zkL7?n4?5tYM?er&2Kn*=2@NZ{1zp+YZ~`ir##l_!{q@Ck0zfj3oj=aFQ*1yNytq1l zez3Kg906Eg!JYs`BX*>?g5CI;D3Vj5vReND9`i^pmqtGi=kcB#>CF`8$y+}dcOFa7 z7{yzZVVFBcw0WtNg`g4Cz)uu$AYOzq*%$(Z8TA849pPOwQ=KCNSkbH-tpX* zhfj`m{PDCzC`yJDNuF9WfWvEB4|krF2C^bLgCw>e&nQfpLjwW%{hVM*KY{-M@81T% zmT`+R^}j!k0| z;n(0Z@bDU0kWg7NC#nxL;jw8OqPVZH_ulzQ8X7G5gF9|=YG4IQA~aKwVlykn;|Aj| zkm#3Ia}oe4r_Tez2j-Y3a@xkK>y4 zP+PbSeLt>wwZv1N4TuI%ra#Mo`NJ<*=7v8L#Nu`^g8&ol_~NEkx>O@dR`+{gkcqGbo^gemPnXgngalnzg`2%z%8J*Vp0D9HmeTA0JVoDZ}b$L#iz;DT~D<^59M?v-z4-7wLOBz$*7xcGaO@mRzO1{fUY*Z95A#{1ZG1FjR+(t4vGT;WCEG2Fd^nQ(F2B|jqUHpdk zb@HFCVna9^(nm%yIf+^;(q^0My?4Tl5E+*YjRH+gYquxD8<1ZjY{R<`kd7b^EOr|v zaWcvhqKx!pAyuvrsW-QP`#jVtP&+-+MLXkSi9odaSNof;GM*h=SG?Qw$K!)|L`_`} z_4wjpT9i3e;%9ep&JG_yAPC`CIvaI{)WPcz{`i-{-Y){h6b?p}E(jGHjga~T!N_Ec zO}`=Gtk?obFg6gfWs0SH#G(Ws4YWh-5#{lQHjRMNWRSpb0&Z&$mJLQvN|<7z>HvI+ z#hjO6q#-?H$NvCz;Q8Uv9J?cwv& zk`EPND9YLAPy45ds8~QmYjsRX4;xXSLWZQo#!tSlC$b6L7gibpj<~?GfDTAPgF5wx z99+efP)H=6BdEk7U>kz0k_oWc9QcTU1EVr^fK6q9$BQv6Ye2dGC*YAuVzcnYvRwT6dU`lVG^6i=6A$Iz-#*=540Fkz_~PK5@h*`uVTF$Zj`J43S969h zQ3n~#Tw@Q<7_getVfXv-kzq&5{& zLjbU`sR1;?YT}+wS~7@x2$v()b2F*Hk%Ts5@~nW);4RHpNMS1hCOT$y_!nyCi&G-^ z6nMwi9DQ)i`()roWyDGgMDxJJIz~ze=1X3Ec@QSx1y8Uo{{YG!u=EO_?~?a@_PIDt zUjJ1lk>wOC#y_T;mUF1 z#1&zCR#2tPp13b%0_d77EFDxvcvT|upe#$=AN6=ZutC(2KurGt9=ILd{BnyRuT-bI z!5laR2+j$goVOVequmvtfiF;309S!5f;tM&gh+-n3~_lFp%Fk55lzCNJ5|If6Dw9z z7=AuDaPW~jSp5ez$6Pp|`+%zf?ejT)IpvmiE8u7P$!ogCG&(=@b*@`Tw#kl3yas%} zo)raP5Kx*X2-Y8^l!L;o$C?BZ-8@o=j1?5-;Z?WT=K}H!mu)ZK0*DAC!BophzdS>6 zr$^A=Wz`iZy0rrUMRzVG7zQ$O78Irq!wrWFV|+Y3S3hT!T(FMA9p*CS4P|TjdEKHF zj3IHfW`Bvk9k((h{!lva;Nh@gLZWkI9>!~;yxIp(CPmX|=ceZIbU|loA{P!npBZd1 z!2&TYR%OKCNDw6jjHE!tb^-_q;$MzH6svF*9YIPgB~}sz2A)Pl+(iaTG*yj(nzH$h zT%1^;BO`)u(DC(~P}oZM>#z4=d&+VleL zkJruO>t;R4EWN>c*SpIl&;_OW@)`NK$0xpxkTqDiduFBE!iHA@I%YD{7UwM-&atu* z5l)~_uY3Vxaw3h{W7?Ft=sAZB1OOt%gs@teoV(}>e4WwU0u_Yf+JeJHBF1OgoOOA4 z6hsXr9WDY7pfKTkEVvQu6X9T66qHg|NA%^~VaANK-q$fcxtyjT6rDWyezC(lI=CQb z3u*}QPj8C=1}8*)ThBbFX%CCz{{XEji7@8DYiGaw@JnTtVt z)$igV(G~`vZMC15-#btX5e~1{$BqRm2^vi2ciH~{oVt~TghUI72lx@{{D`DKAC^z1 za!A}jQiz4^kGF>G&W#MX!Xn+{6d5a|UTZN1%bSD>gv!VYW|hDdbCU|V6$vqh4h$Tq zxK^WXq{<0Bw1U?geDFztploO`J_iuprf<{&O3GKRA!# zeeh8L!T}1p^*%MsV!;MP5iYZSxEQWl$YsC}jdLksCQe^gz49W+l+@$Z;Bw5r{{Rfl z;@op2Nt1KSfrT2)AXBf03^*dKV14%a=59!az?;v4=26XESQIrp;x$#JDzlndUymVA(B)mnPU-1k=d*$vWl+ zRRTFL8?{4|QKBXj;F}}!=awuZN0u(Vf2RwIgA!JUg~9tic&NBjWPgFbT)-*^>@%~= zz)3CuK#B_0VCB>niiz5yA}f$uyaR!w&=JM|06cIm2%L(c*>WSL)F&D>wgF5e0Lnsq z-XNmpCuJXXf4uO{xU0S2=bJnb)XMaaUU^A7GT5PWSNVPNg~n|PB{FU~XA{mOo?D?>~k5nm)L7i%J{yBYe zq{sa8#FPqB6)RaTzX_L(sg?m;)(|vWWX1x7L*6eXCH2g-b{U}-AA*9L1@f`u6i5|{ER%2EYTUuNtD`LMh@?{ zMSY2T{+C;;^~8}0ajA$N*+^Y zQ%c5!QK%9Y(R34)Z~&eH;evd51|n4>blTu#o(|q4$O@x^j%xRQF~*o105f_&Yu^pX z&(JFMw|{Gl(G>AyFYN`swx5@k;#+^jh!N@smpgP{?q0>Np`E;4Z* z5jJ5L39tt@SjXQK$-LFFf4y)HWU6T3Cak1DE{2yLe44UAhQB%F>EnYUWQ^y=55MPz z#R$rPSrJxs`_}=1O3uLkzrTC}ibV<@9p$}i&NvlZYRn>vmJ z;f(p>wgX351Ggd_pvcw-IvvCnnBd9jlBAIV7Q!AI$8o*#snfy$c3={dI=2T66h;!8 z28aIu9dUaJM@M8YpFf#-m!ZBWzW#rFc?c8%wCRVVwVfka0CQM`0x|EQw3NNK`i> zqa@=IfQ4sPHgJ%X^v$+|I2Zv`(?R1sm?y49peJ1?_rlIxf|E}Qo`vi0f|7JjvBJ~P z$1=Zgm3B<+fBC+61s~t+Tc5<$ITHgIa{<|%U%p{T^io;Bm!Ccya2SB(Q%9+fL-WQ( zY6&&b4yWVmiGo|xhKQhGL)Z|Ak-#wUY?Kd+G}vXaOdUjqjUdT}lS9>*Zwm~lHsO*l zf#Wkp8<4dk9Y&<-_TUQ|`ms;!cmDu2!$bfWy{eC|w|UPQ&@E{=V%?|9Jv>Qz;pDqB zvHHgL@^GgyY-Y!{^gj3m1sNpTgH@jk*9PK*U_fj@=mt0=!uOI8#xw#hK~?4E2HJxl zM=Y2)aF&rT2|_Rovs#YTYJ&h&N}0zC`AX z76sof@AJbFfmm8+&Ym$r`gtm=0h1HV95{%}IEvAs>(3;t1c1PbZ&G3WPAv3bkk@?! z!0}Lwj?fwO>p!2!Y(P-XPMFkq6?ForZ>P;?Uz36+6f%c{qe=}bAHc!h-3<&9ejIotEvE5P7G>st#3SR1C``fW%$^?d*GwvfshPVtI28@PGs`%{v z_rZ`XH-GwH{NVzM6l7;!jm;To$aMruv8>mDrMOd-u?Vg@L6fgB;x7O-y%msX_+O4B znLR>uQsv}Oj03^qBTC@409Eo63=|wC9X0gV*AQ{12{3 zxT@4GS#y+UwtNC`OHn6P&J*E7z5v9nH)Jb}XN>2i6%`u{BiacLbJLqvT1*<$#L<&l z>y)0)WI>Wl+clpV;MVXtkT4lW4ZW>!sFO}JKw=G7Ma+!r6?6$h1i8mSdgWTk;tsZ2 zvy02UPK?DqUkV+B!I28WVm zR?gA=M+NLGp|hVJ_?85BVes4vh%gE(`u;Ksfq)B_s3J5m;ug*asn7wV_!|yR80r!U~!QAu()yeVn+PINHnvnC1a^7y^#;N~4^F z_3wuG6U8(HVo@I+xVHi7m=}6sSUdN|JsnLjqvZpqUpyo*;4mYdt_}xUg$Q&Mi#rEl z2jI>)2BYCeDyLMPcEQ+`UQ`?v5C(BfCON=J;hP&Ime7&8rii4h?rB#E3@>T91MR{A zhLT|@1TygJ5jZP4Ed>!Kj}KWl%}n)8BLz3)-~MuV7ilmR_sNbnYlM921v}Viy97jp6y^@K)qC{1ZGS zPFcSd2pHYSUFLVfZmz__BAgii0De)o!vJAt<6eWJMv0>cHesosFL~r^ODbxJCtYxE zLX3#)*>Lq`@}Z5D2pWw3PaAianFx#~7o>M@{n`*LBIC=z;%1wea5h{t6MUxf3D8Ag zqrlGv5XBIJgQ5?CyU=fhgsw4D1fv-fBd+R5f?&?<6T$xg;Bi2M6@!D{c+Rzqb1*WX zuq*F(_FQqMItn&Co=XO5ZNpmuH4`f!?9SVU%!D{W8MV;e{{ZI^FJ`P8YA|G^`6%W9 zZ{CYi6KSN=ctr!462%*l+8~f}0YHdn5)_W(BohFh004{!Ik1Y{zFr3tZ55#3VrCh8 zw~|YP=J)I8fHap6_um}!PE``TAWa~h7+>!}&E`ea${vZFaA^X%DYQ^~yjEA_{1DH{nzELiAD;9N8-aZpAFTB`oU6jaH&@Z~;NmGzVS2bb`ElA@A%(c98?XLxvxUm=aRe$7 zf(1Hc$XXCq6ieTON?m(0faaSO6XV&Z#qsq@xgq*la=`@ zD+0JaIdi&JfmdKx`Q}(gASV||lgD3NNgT7bf$gSx{BZ;TmaHfCxJ_o<%zU%0xZ(jq zrQ8U)YX)N82^NAb2*Xg2B$`??g1HkLl0w9+gSAws zXK}wgl^m)N3Pssm4m#|X78bb9p5jmE7Y3~A!G-?-KDi`gqnVZcP6U+8KqjIe4+{O? z0oQ_BmI4_tgmB}y5Q;&_E@MADj)f4uCDPX?$!|I+)C8?qnrs&mL3IX5@Q0KbvfZ*( z%TTd|%-|5?$*>+e%E%iUa4Ga(D~6s0FhZ=V0TuG|!tMZ&ggvKNd((x=2{Z^8nd{q& zP4JJ7E1Cd@s824QGHq9otx53P-z(6)h{C`I`>wF z3EyQ}&M$(1Aq9+NL+!1(;zSBKO)fvl%3Ud6ut@0syzx}b+TloMUB;fW;3t?ULBO^+ z*csUGe9bNblIQwH{5nCJ|9_Vb}<7 zL_8tF2oVjh9&_O$yAcwsp~s0dQ1t{VCo)%KNnpq7MCDn|WU!82MKm(BCF>tNV_G%L zf!NusyjW*1$J#X$}yI{(=>~wJc3q`fz5dGv~|}UM2c5MtcP#D^0nr!1?E)>3R(ai zt|4^|K{JphFlv;eoLiB^wmlT1bW5I34>gAsOnc;##kt#_RzRQ<4yWJ#@{W?@P>eIZ zdiuAE;tbdrxR@q7>D1z7!x)7!nbtjI?P+LGO++$@_|GFGW+Ta@A>Y;Y^zCt_ACgYen7!6x*LGbB7EUy0(gu2)#K_DvoTT zfPfKMq+H^OOy=23jg@IMcxQ!;W8m5SuOK`*opcpAPKL_3oBsfO!N8DXVnr7Ax9yIln^qZh>-^Afwm)6%ahl>Tf#6d@bSR1T$s=U`Z(bO68RARI7n&?1@5Lj zeKqd^weZ*dtN#Ew*zbV?n<;3yhqarI4NMSg1Ch{TNxor_5|bcS{5J7}r7%^pTwTq) zaR#PfGBeW**8c#BN(k)W6w~Uzi|3jojEGdx_WXM0a;#K6GpXbJ@xdV6S^-y-&+*0& z1L%GF;-w3-xaKUs{P4edT4D&U6<;79QCUEdha~cUrg%a?U@k(Lj;h~2b;q(1VNNZ| zA)eefh?t#;E@k|^eL@`c;{5BynxYgrqE61|e8p{oH5X;TpQufo29gGC3xKo-sfuM* zTBrp|%c2~O!l845@wkw#j_sP>&z=HC7CAsUB^ zb}(Ujq+22+fl9aJl<6eO04#+QU}6XKylrHq3hN!1W7n=ivnU-)FF@^ou3S~Nj+@?f z(Z!86?lSv({qY1{c$KjhFiPvFg251sf`h(A&siK=04@@Z0dWi9S>+&zkT3vTX9vwM zPZ~v$1~7v_&Q9E}K_x*#R!M4fa!m`&@XOglYY4J++6S?=1X2vtjF)+GD=Ps*1c6io zRf1pxcFiopD{asC*NZh&p&IQ>@0&h2nDMhF`2=w})>V~<#n7l>97{ca~D*+}iW{%(8X z3nv#;9i0z+8j{Sc2^T2}f}X(P$d;fSb4*$K#TDH`WlqSc)4@&nMI^PR;Z)3W?q>#q zbRrK2wkf{vMRWv*WEt&Gf6dh52rpf9Xuh4lWJTD31&fC?R_pCHSO{p!~(lpwM$^tB5-gp`f9a z%y5)WcbXc3CRpJx=F6@NKy^T}9R@g9M5y3E=0hQinE1v4^PU!?XiP;>MDX8^9);96 z?d5*`SA06WY;ekwUcVnaVyqdo68GwS`Ekl*FXR|(#lQaW1PK8FM9&YTzg*4InIO>S zN#XUJaa4kAr1vSNA5NfQ!4F}R8G;`Wviw&J)J!7PkryIlx@J%u016G+EHIAG2PEuJ z7+~f_8m-CKTyaAu1`e&)#LS$})l* zVA8ywF>pFL5MhE5l5t-1w_SGt(}2cPxk6FIcFqJ60u-VN5KFR@aI&B2n53e>)}<>` zkI(`#-+w6OvAde_VgR zBm6c%LNpkb8MDtEfWw9YEy@La{tt-QvuqyI?>8&M-7p-{kSAk)@y4bA#?+cql_?#- zl-u4`Js8u-f)i6YhyC%Z!!%DJ<}fcw-lnt&G%BYbYd}f}0g=3!Dwl~a6hM-#7-Cgy zj7~XJhZQiHUh9mQ_*4Og7pJlr4}I(b!6rvm%wy@^xCligP8J!@V~=h$@dgY^rNMLK zzWkaTr%OTr$1nFYJI?}wiim(1>hvCFuyDY(m8JFR$>qNVR)A<~l9?pMfy^8MVL~&( zbDiyD&71%cL>FXm`E*B=a6%hER7{rUD=@JsGb*wW+`uqbB?pGo4v~am{q4B$BPG!e z_%ewxzb`OQV%IdkIDf|i?IeE6tBd}}9w7*XJfHW4cqGs(UyAqN0vM(O08eSBt~&$k zdgC)=%f?j(xC#Uk{aDjy}zzrwG;+IAK~6-9BFr~;lI*w!vd8+h)No#XALR=jG&lJIeLElROwQMCBuBaCU7mHb{iDx#rs)@5Ag+m`X+ zgJhf%xzA4j0Q5s{xDt`{3mc-=pJa<)jq380Fe!5~EnF~N&WSySVh+8j#q-M z&vz#gDICcZ%5I6g&pczeo~a-z2SxYFH3H6^nMjzKf-VeaVWMK-M7;nmZ1IRiDgcy4 zMiycUgyY%GPe_M%q$yrPz-)yarL3+v72!@(MJVpe?tkajf&fVd?&s_G#soX#266_A z7fgYzI#A|GoCY9?g9pskuM(7Qh8RTsKhup!FR7#61MTCOyX3SLi>5r9CyLr7fVw+( z#llQf;!Ne3fDUcw{!TN18WN@m{Z1mM6exm^=Y%fc)zl}V%}s(nv1_*R+y=+MlN>|} zC?uFL1G@I%a&&? zhQ=~!xuUOo%7AoL69w5V368hIzzt}vm*sKpa-1s-C}`0l^Qtu#fID5sYi0K8(M|c2B!Wu$vVH#tx;5#?8hMo&Fd9%R( z04FF#-DmHFNq_{wo)T%EBmfQY^Tfn&jgUkl1IU!wk6(d~1w%&{zWzGJCm^L6?>|iW zI4GH5s>G74bDpulUk(nH1@svC*98ezbp&8s6F?rja>I$Zfjm)!8nAfH2;U#DQxFgv z8n72ZA>$E2$n8umiP_V8;+ok4QzN-2LVHsL8HEWHI6uzLJ3EP$p5t!&{p*O#L7>q= z^zv{+6taP$L!9gexGYry%!upHF1|;LrjUm~cJ=yjn!s+1LoE$6liwOz!bk(~5f=#L zd`(Qn@p~#pZq@@uWRy^4Mo^$Y+*3G0iKfjJqq-VpFbJ}tnV^*!(W9; z)4@bg%NQ&2kMQe!-ceZ4Co~w{4(|90nQ*~_KR;f=sR-oep(fKR`_~-kn9N}&21QTF z%yKHU>sj{DT|I*)K>(l=0PN5?gVVGDwTx{ zBN5kc6NnySl+QJZPx^46WL#Py?>Ob;)sGpijr-uV6-nL$S;PC_gCGZH63O%Yyk?ma zxHnwkwAbg2i#69b`tOPXO!Cp+2j$F1<-mAxY1alElDsdAif}EO7MCeApO5+D@R7kG z8@Y#X9AGme=|6yZZlGT-U*0~r4`OS;SM|!ZfJDZBkp5gkz`So}*W1G|=-(mC(#aPP zyA9$vivj~wFsadNHQzF{wzo>(RDEzprdn301p7NqY{38p!W|Vy^m^c%2{v!rA87}! ztxA9j0WCcL08c-I2`g(JSHmIrd}f*@0y?mzgug$>G8L*98rS@JNP!sjxv<|Xc$bce zNed@6{MU#oRv_ECpDUk@_CRZEi44(iOnC2=6-pKndcvQYdwEH*Qko9AEPG+cbL+Hq^JXLp1CAZE;MKc+}fJpat9_k&0UE|)ER(m5!Q+% z0SZ8qJ-k-x2pu)af|ORUj|O)%X>e{#Zp+!DjTzE;kwqb5q^MmS0IX{RG%JrsjK7)D zk%h1U>-_JA9Bpcb5WmZgQ5P89vWy> zq8(z>{{VWaa(h=O=WKFJ%-$Ek$b;LcAi!cliOd5_YC=fk1v98nIBTf1`Y2*I@%DwkW1dMjcW-aJ4B$=Fm=o@an(*#ovr-Uw4QibZBFPjjgacJ38B~`X$m&cO)L9a0Gtvk| z2r3uB*l;K$awM)&V!)UoW3Z7syjrQDxrv3}_jy%BwV7F*{Jc2Mxf3~uX~5%QQ$4wY(Qk32)4NCT9)&rBb9@0~)QMlEQOSCZmhz}tXW2qT2v)Y_6E%p@hYcM9GoNFkhR ziABu4#L7VninM6KG6RsP3QoHYpWxu1~i5`QmNH7*R$40Nwy&GO%Fm zas`Pq!4jWblD9YSmVT!RV|=fCHuJP-o^#DrJcf#yc)q*oU0482WZ%E%iyV?EHhTR2 z{c|g*tp5OmJV2Eb3baq(0AO_O7taAl2%yEGRlYrpDyLbF>{nCQR zAVD2$V>;gvX28oW&*1swuo+CIcIuh`0MS@<1o$@|0N7_Kg6b3{^;%^Nwbo6|F_f)*R1U`6yz3L+NtDBq?F3MG`ZNHYPKy zIW{u7(N;T?7Y=qLB#Nw)5lr=<-SDlHx>41}Mt$=IN6i~7*RR(Ho?+PD0Ze4Z+5Pg} zj|`OApgLdX(gQTM8!{eG$IluIK7=i|zvpwAWyFx7==tDE8yCrcd?sm=;517L2UyJB zRxrUB_aT)1chECEaNW`3rLdNKhakoRZ(MNOtO8jLhy@WH-5-7k-Tt#)b#-I%9 z46&H;`HfLtMFlsqlrptrM{^GnV#!L1|H0C!pBGkF8J1u0hot6lVOo#+JFc(2zivkU=b45&~1xE zh!P7DX|=#l2L#aowM3HwySV*zHzMI^ikR2?csu~Cz(D8W{dva%h$0m)v(JKUNktIj z)I^#RPJ$SjoDr(ZNdncq;@w*1MhM34n?8?yo91y@Bam7}Mfn>`LNtal;Wb2Mw;AE9 z4)EABRZAk)!UBw7uc5QZL=<+BN5nvBG@$XxXhjub6f-q3(OjJ$91`1Y9_J7F_`;aD zCls`?Lg=Pw-Cz@^3$#NWMb z+7d}qG8>twaOcnkfoZXnSK*ZW=3XS%NhpRooK}8$N%~k4RUAk5S6O6+6%^}_7zeOm zn^CS|F*Q&kZXlM>n?*5&pe~MxsZA8a`gxc$;lSS@EUGx|E5NowenE)B5aQNVB^gtB zDn0(WhKT~isgLWC&wjW?7DY6-K!wKM-Z+@iRkFtnAWgg6;ZPA|c4Ov*dV9waMgRy8 z=t19~fHS;_Q#yCne|!)e9nO+0YY*2}7=@t4OyWXje!JkVnLc=H>wWQ9&`KW@fi6jQ zZU>Jo7{G8rhM7j3PL8ZIn2J3Qh*Atx!`zwW6`+*RTphON9Yr7t6bS9>#ts}b;1eWF z+_Cw|TZw`M!-j4fnmXSUxi(#<_fO%(X-p66^JB0RpYfFK0JB6f2D(c=QNG*p0r!l!`7GQg;w zLtugv`Mx+3oND+#e)xtLFZyvvH7S!fAAD0FW-_Ah@xY?(vn+Uga*$y-V<_X^{{S3a zC<^!%fpfR>#2Ox3e;joVo>pWP!gCUOKjF^rd_Nq|o(1oKZN_iU5II6GMO?J_Hhl2l zo>2OCVde_}@n!|wJ$|?h?(=2<{2T+E6=$a6u9+HQ&3~)$$1-t2%}(58-#xs-7{@JY zN0tCdsqMbFREE!By29nXXU`DMaRC)iE8F{Tiio^}h>c*s4t|H76%}ZK*MSc&o@V?K zJliH$r^hOQT>!t(WINQ|;Y3XG5f)U9#~yXY2w_aLpnX3Bjq@Vc09BgueDQ!4Q@jg- zzcFfHco8JLsNhvWPU=HE8!EJ#i`q_c(3o7Ut?RoImz1|Aun@V6KdiIcr>ue{{Q zBsx>+=f^W0dr1=!-FDl2-Lf9|;EDhCOJbJh9N=NEVgG8q92k!F!jkz1F6QF}VOzHaz~ zh5%ngUq(Rb<9w_K8XGcWyR2f-U5CKPFkmhslE5f<-o!+LC^Q-7Y_K+Nk-S1pn5ZnJ zA$BagPcvR98020*xz zQP8pLJ`jq55f7&${Qg2p2#0HSw8bx8l5^m57|!Eg*VeeuK$4OztLTRC=c1A5@EZXU zl@7amz{on-zc#asV_z1y7@p z3J9^X77 zs^ol|{PNb|7$})L>~f@u63-}4e4`8zAe4^%`0>h5OShA>qj2$?GWOu%q`Ch9PrJqp zY`EvA9dhL0c;f!}M@I#0x@p1rKU}GeRx+=Gt*tMfIRe{S82nx-i={qO`{XeY8vw?7 zXBJwZ@%*SvI^Yal5ZEVc{{YVgVVr+lTH!>hjJKkG4h9}-Ae=Vg55G&`8WUgDhCO=a zPU)x$!7yTE^~}7I$p|>WW5NA$SXd2oV9$|$z0Ocj0AsM5@rOP(93V^#0LW}t1&Qyj z9aQc48LhNme$Eb76ocO0JqOwKQlu3~I4wSx&#J-60EHpCM(j$aj4Fcyg(M65!}#Gd z#L7AWd~_!OV4wxGb?E;9cTzAYpC7LeWP9eg{%-k>rh!TdTsX6?CUq4-gs)31{Zyn3 zlVLo42iFqLfk(3GbqD$2yhg`r{{ZLL7Gr6yjdlH>I|`&s{Ru?&V{m)~0FY9dMG&63 z#(P_4n&jxBgCl;JW2076p+4}+4%3+geGPlufqO-T_DqO-L~(?F~l6KDa*xZX1|<`$w7 zt%Gcb>)_$GS0)&Mf#hdj7mVo%RW05Jv;G|?gNB4vU=3n8==(*P(;=2KnArCHI3Uu+ zX;>h>Hzdo8V#6aI{tW^cgvNk0bt1GHDTRCes%_m14nP8m-3pHhoQ2b`Sa0C#zu1_iD`FQYt zx@k%@09*^-3{YAtAy(Zx{4>I!w{r6k#$T<;#blNksTVq(dp)IWs0suwHJ7-Vc={3S zg*YA0ZnNWpp<7ns{9_9Scb*gFN*@6A>wW!mU%p`LLIr$~%4O{+o=Gb!ODa=h;Zm{= z9x`13W)_h-^t#}cG0BL`81YXYGcy8~yFfU~-;H;^G$cR~Ay0$&*BQBx)!ayB*0uC; zol^ybU3CmtWmteL;ffHl3})kVM+BU-l|n+wPIdd`M8JvAfL6tj>%Js_0swHelbUIh zIPL^m!@mQE7|57$3gAtNZ6Kpa@}iV3p`xe&DrjV2L6Vao(80M)=#;r#XB7k$vJCut z^J|C*IoN+LE*Umw#(3f&fl3w~8t!4%c`y*|n~0OOLsKMp@QT2Dr}2~X%*Zw9VFtW) ziT3d}8Nverg8u*qDr^W=7Z>yT`DPF=FfIQ88}?{JkYJ`0ukrr?&I#X&>nAWr97h6& z(s5>k8A;iy6qPfQs?i+q1O!5LJp2^npx+rGi73T_=>bzxbGq5M>1msf&VJkUDr?t% z{{R@)k>)|b1@(^Y`_Fta9*vA{O#>;09}zhQ*q)khfg>)TbB)1Tz|{*>!uV$iLU5rJ zi6XDQ@wRtE6yQ1nnN)`Zl#x0u6WR#ewG((y!@I<)0x*MGPiHT-1OYU|Ghs6%D5MVF zMpmJsD8?@;tz7T#&(A2iP_6gK6u{`g&WV+Qz~lb&6p>AtQ_pWq8Wg7%RSOg<$c(jKnGT!6E09{{Yb#?Ee5fBL-9;EpWO&4k8C`xk=I3 zYp<>dm5fzWv&7;_Wj8j!zoUf&2(@tA^?KtqB0W#sGq3Y!5mv+wW_{}=Z>~wRth^Wz zMUZ0$fw4+g=vozG0u>gage>js;}Ah%itjy-o>PG}fT{`^t?vEcGmNyx790=iIH1Vw zD0J@c`uH&msVyu~4;a^*_juAMqome+cIi9P2+$pc4&OeX9AH`j*3>nRd?e1uEU~HO zet7#;p%F7dLryC&bcqQP2n|*7{CWI2^}$%%qdAlJym9O@sNRYvgYuY|B5}bq0tv4d zUGh2Qi`#%*K9YeLJF{G}z%v3PL5D5+q6fnOKqvro9srmnIaw^PkMEWPpGJv8@i-Xp z@Pa9Sf36DUz(QvvP}s&kcgh&jiQ%L(=k<*MSKnL}Y{v;KqDqR65TtN3z(^!GLnVp} z0z-)|=RhNJbK66+j^?l|B+`gjT~b~@#V|3Tal4&YkLtWBP@(obb&e7sV-%%Nz<=&| zsT0*Y4vp-zp>q-JV`d-~XcHD}5CKlJ%Iglo0tET@#rmG4NF=I^G{BApCU^+4DC8KY ziUtujiBKao1Ou}Xr5O$!5HgBoNCBv_25^)xffy4omGq=8I5WqWO4{!({psQ+MgtWN zzMXBy8<+~MxqA%n{h`3|d6RhdtYPH(bb97w#9@K0k}CM}JT2f$qJ4Wjc;v+f$fP;x z_>MQlPIIN(;WIbyT$P37AOHyJZFO$K+mu5EBDYu0W@duSiY)WV4nx>TSAmSZZ;h*P z6f{X%CmKwgh#%P)1^ilyhp_<5I;uDU(f`;fop|sCkPIfk80#b{n>#xrS z^a7cI51#$LR=i@cM58KD7*ifCE*MT%&QK1;Yxt1`x!9YLQSx`3jdqX~D!QhmeLS2F zD6BHI0F*xJRGbjG5@DOlCNy|NAl3OP4WOA+8l};qQca;qJRnu1)630bgji-_a)%4L zZxh&hMNJG8$=4AHl}KpZ>-4=0Wbh7564iO9V|Fp(R*)4&L%E-B@uPz1uStZ&^PAFWO~f`xGGjDr zJY_maR^gk6as8Zra-cyaa$%L&DG6y_2bVU(_~D*@7VAcb>oLlM1&}kSBA};KOv7Xr0Kif z+;MFNMA0G@x-ScB_b z;Qs)e23iQXk>lft@T_2}yH8HI2NanVz=?_4emdxZ)bVc+1(|oz#!{L)_sK&40O`Yw z^73PtYljkJp#J!ZLNqc16}9~F6djf&C(8VJ;XxM^JA&u@d4`xGcwGK?&$aV-4B6w! zz(&WZAY4g>{y2!-3w59PZ<(_gtLqBk&+^sgHH;C|+k&63u0;^s zC@euKGIz(i0LV1?os0LS1raW@$^w=TSRO6qmc@hUk=Ag#;o(Iyi6uaM=Klb>c#1+V zfay?td5i(rxbZ9fxthd8Py^7l%5>aOaZ!wdFhg1Be7(GefMx_t0nmBZzO&30o|Yjk zGj{pm?+7_Jr)O&a0Jae&DMZ3U5c7OzhFt5GcGX;b@HS9+@C{rGiGI0_E+Ay$U%NPmkv-(e8Cd`P?$whXwikCWfyP;Zh zvYC#TfYj|AXIwHxLb7HMM(}2_$pbM35WbyU4+gMM*|fj7KbZ%%RW{AcZ9uN`&7QB&~=<1gcS_!zVGma}m%0 z73ED}h&&=e32YOM77S7(I6%Q6z#NrYs--f{SOy~E6b7MEyn|L9IRVH}n|UFn&{QRF zgOksmU>eL?4Mr&@`goKmyuZqFOOnBi2u@_rx4K;4(xX zX3V7*LEH?QS=cEz*3qWN_4C&U0E7~invic1wtI#g)J9f`(p*vvo!1Ro}S!3jBx zTJyG$xVe+M&gD^v>k0feceTWUa?wd(JiqsR44@9C7PbCD^al-=0~rc4rI=fc(iPB* zX1VDR2L`Wl1`vhdVcbqTC$2fGs?3JUEfjm}d;#3BLIyKgGR8Uui zFYZk+XDQKw$|b3QX=y=KC~GNHslk@$#Ssvc#NvSxF=J#rAu_tboc#B~J`g~_MiUn+ z5Kh~@P8_qivadi~esdj|+Rp(l`h7Wgarp`ovh9A3xb3pKbw8Kye24&+NSjAnKJ;`> z5Y$qszSXlo1mR&t1yle}nf~l)wF-q`F0`}Vnd0WA`{r~P8>@39dY#JwAtGJ{nSr*E z2-svSobwuGCLHx+91&vDXMyEOw4Ahvih(LOfrq}jnan^dPz(HjrxdUX5F*}>ANzn7 zVWwIUc3UmB?KvgNS8xiM^z2tRl98K|5vo=K zff|5N!#E`w3tDDC5@`S|jWVf3XkHmpmF-0vDRgFzQEmYU+|VJDqta*rIZYuDK0oAs z9u;E1$YB1b*DF4Gqk;huN4GZk&y0{uZr&SRcnakyShMm7>7U0lPztmAxerBsO_ zeh&Kl$-v%@(8Np{T{G%Zqn1{Zo1_@+zt0LO!KDH&S6#RP$%-(mp#UNaCImPD1-g)e z#sOE}z_CXn^kZ49*yak30j0QG5j--3h4~?Upp5FJ0syT(_8=rljtpaK3hj2$M5iOL zLOoJPfuM?CTrw#HDMCx`r}xMMVlkp0@%!KglCR;wVM1mrU+ewmQLX1f!+UbQbawe>{4^%ti*TO~<|<)4B9A7_GuP>*I{F6GFNP6CP$}cr&F#w`Y!l z$48Li-62$x14Z71{{W|lIY60Y`#S8;0UXDmcYmz&DhOp|HAjEBJRsHaiKu{sHraoi zu&ojz!20<0ODaMgb$|-vg0R znX%DyR~gB|7;sEvz`6Tx-w-iv^ajshd7L8%u%uRJ_VeRMHyb{dSTFqXi-Cg*jNoRHehnEHwfPhBDml|5TX!;au+8T30R`A)Q~LQh49&@#1_WA*GhLEz&vnSK zhiU@m8z%8kEQSgJROs=XN5hCfwwtvy^kTfo*hVOvjS}u7C^#dei>etoNCXJr#vxdh zQ(GHdRpH%^ntR~%hD}H!DGZ!XQ4JiF7YJBdiZY52-+@FRM3~pZ`?G5eR3O>w{{Rhf zC3;RFffNeOYWV2#XS6NAgbsE?jH2W4Jxk~g7JnXic|Z*UIN_t47&LiEk+x1Dj1bYs z=ad=**0zUbMlrJ6z(xolwIC77GkxO|VF6GPlfPU(VEr-yN@*{7r5Zt_Ry8DYG=QKX z$%#%Uc&fv0X}*q0EIb2zi2NPC;KamPIvsi#@!gy`t*x{bA`Wo>05GtJC>bq1yx(}M8$1q|=Yi31I9a18Ohpgk{e1A`a!&RzhX|WEJH;_UlYUS0*9RJvCM7dp1N+ATfI=H2o9>_V z-zOsVsvB=zZ0qNl01P_gtL|m;nS#rfhYGZ4hzW2xNOMuDAYS78gu;$pYG%!C$dG^82bMJ zdkm~)fJ(4Kr}kjmHVv9wT{{a6!mxRCAu`3#} zBpA*5{dfR7f{s;R+VEAIc?I-N-dL1VU;ta!`>ryu2BZ>< zZh3h#XB+XpARocQU4UVPPL|y>_PtpHnZ{Y;@=ud?h;~DOfq>DiX!te41U@zlDeiGh zq(;+J6Gu0(LBY5x!~kD{V7tP^AqQCDD6&LXXO6bFB?i&aqBnJf-a)2bUQHhSZ$VgczwjE>2B zo;YvDGU%XV;^n!|2s&WiJa3C8cJZn~s+xz4W2@gBydr(0F}}Z!HK$^MG2g?O$XOvN z#2cG_crIC#AcXrH(~8UB96SLK8`lyBKyBj$xl{AR1n%+h{{Zpk_kqO*l_V=+!-zf) zGnnBqhMcIjc6j0v21xdZe`g5*gUaDw2NmFE#xP&&mPra1tnTra{{Z^t(EyaAiM+pi z<&>-Whw!FZ^hT;6s5&HgE0IiXtEcNsKi1-Z(C|$W#ED8lL@e z07ICh2RZ2Uo9^*I$5QF+sIG^g(~(n3TJom8XEU@M1_ZqHr@R54WY9!|3+nmPnFxk8 zxdHb$%KSVi>EHYK;5kB}P)1(i$a@2(Lf(y{nVRChuY`c*B*s|Row7#qrsrRQ=iTOe ztz+0P^ZMYcVL41B>($~~0t0fZFn@z4uoplS!p#JPw(Ie(PPyci*wy4xX<{r=O7Ofn zjwwLYz|yTE@i_BjftIOuD?{YwP|ye|$cDqbktIl_iBQ>TisydkbBMw$a0Oi5YdF@6 z!N7nP8;X6nY62FzJ3tRZj^=7zsGtfZh?m+EqVTZr}3fG*hkpVtGE9Ww_>SE~O2-X97I@-PBp z!};R|vqC_zPdNT~$?OXzOlibg{9}top0&z=BtA(<9^Jj;d=1=4s%d$<6C&*)riGAnoP3T67pjEpME>@4hHNumXu&?>gL5tZ}rFc+F!2 z+1JF|3Teef(BU2v(&=v_Gl5>GgKLk5v38Z=Pjq{&YM1yqw0YTP4<1>=A| zVP;15=Mg0Nga*a}B4gEW{m@eYfg-5Rf=2q_H~`STAN!4OZFo4E_qGISgfgbRI9hCF zj|9gL5Haj{dtktYwj`l>&m5AVk_zH-XPW-_GKdLaP*0Z=%8mwX^%7n}7)er%M+A)2 z5Ru-dw8GMyioif_Km*u=uR?)@ngb{>oh%fssJw7F6odsAdY6#N1;9e0W5Z4}1$WAl zf(V@#xp{i&oXo7DAOSEm7<3+ayBI8gMAO$?4oq7EU0H)>?fZ;a6#}jh?;GVUp+g+` z*?Q``9B~jXKn8ZdFUNY|omPmSTsfJ?1%dKs`#w%1W=FMH1w}?mF%)r$3b7p4DV%y8 zDyf%D%tA|wa7-hE8F*G>*<94FkN{)H$02DnEgiHWaaf6roMF6;%sm6%Yopf`rOXgQ z!{AqW-@DDmf&^Cuj3VPsT(!lxigak`Qd+i*Pm>?@;tp{iEQd#3yzx3+XNd~U1%Df%I>gNFj#~_v znN(i+aQeaNSx`Eb+k7R4Cl^c@p;abRD)`4Dj0z%U-Pi}$$;$w!j*t}8$%B4;@fa2? zj<$Y}`3aK5y3nr2p}u8$xg;1s7XD1H$En8(g(2vVhr7mAV1bvaJBQ-ll%%vJkOLW^ zoU4^@EKUoC?HJCm{{Y@3%+3YOJ^F9k)KFteL!qBD8u5>nQUn(U0%h^>;%FVRW0~CV zd=y!>nTRv)ah1so0xBU0O+6i2BiE9ALIW3m=aJH zBv#0z#+kB*g=fcZDTAs`%)KrUW(DEN3@f(&8{<^b9oQ&HkY?{V-gTCln86^4*>(jy z5t7Wi-VVWATb#TdMzCR!P9*?fpr8+f&EqnkI|w4zkTJKINKBp`fq`Nw)=q(E@;}UQ z&5ET-Rj==fQ1T}d!d{8LK3zI7*BiD-Q#}W9FMNL?D2S}Tw;;SPTVpPKnBg*3WQ$1N z=dHbQl1&G7i5<~}Uk*+P8o))aY~123>hC-vkX$;?hIJ^68ro7iUwF*p?AD|R0#zHM z&wl$f$;}>lxhM z&iI9Zz;GyAj4dErjBsJ-ijbsGW?sk$W`Pv1E(a_n8Cg>jajUST=@b}d^e$W}#Isby zAas_~Ds;)F=#O+|V~9{<@@j?x&ui2%VfaMchJw=1kN z3nmG3)GtPjaodwBFbnhi?=<49temQ6eos%w5c*1W4)UcLPkgY!N03-NseJvsx#t_; zS(cq&9`nNajN(&lajvzj7#A8^6@&BE9^EifHbO^-QxA{KyBL5$#axED>zc2J21<#7 zrg4Hitx}vcda@x&OoPg>TGd>PI4ExV!qyH(B#@rjRa~uB=iHDGsQck_4Zt^K z1s&hLGz-iLk!i65MBJwW!yqCCNG=eW%9ohe5mF=>cF|#i6tx>uKy{QtDq~z?uW=9t zgiB#s3tWss99=+439NzDpRPz|*lQ<=oX!L5g#A-=Hh%Si_9a-wH4Q{ASE55 zDv9qLQvulI2KH6HW~UK>Al3zl^kj1POT)l(3$@R8(|=@FTRKn>uZxy`)r_^87{XWI z@!*q^JE$gxVoja0+%E|117fWZ zrB(L{60ZnL6afmXfsAGhMrVXUazCD4hH~MM4C)hOXZi8TV`eNn@`q0)kx@`#gYDAz zU-uLvp;-dPqW6|l~;q~(~RKq8BPILAqg}G zqWo*h9s>yBB`W-n(UB;235t*8IhqszNuvI^3`ZL+qJFs4xmpuWb{7n zi=FR|3FD8JHoz#PQZpI#z5taVAe#$V;rVYNSOyr#^c73n-_I=yt0aST<{3VC&zLA! zi`PnT$J5ta1(tMX`TXO|3%WB3F1}yO$PF1(F~G(6f0vrdVK4wb;qh@pa0*<^d+c0WKevQ9(^3fLBLuwd`8n84H&YYc#nyw(13iN|MC9&lwNkMDqZC5H8v`#BNK z5=EuIvw{Bryw>ZDSgL>tHSr!+N55)71zMkxy`*W030X6F!smYvEp9ms`CMSHo8ZW5 zBo!a_6NvzfHA5U6h>W9ChAim2?)jR?Caj?I?ELzu!|UG)SfbUIW9}NqB)EypF+van zu#`(09xwO{D*$k>YJ2=}t^lC|YAeU~RFC6Ni2Z4J3 z05$7@gysyfh!wsLF);8|lSK*Dsi1}&GlaX!A*58YL~lPFauCn~5d_weaa;qygbfiv zku5{R7*RVwgrN-KWv8z}1t~oOaxIQtsSot-Qcp_o)1~EPR84h`Oh~iwf4Cx5I9i{x0A-orm|Ow z3bQw?2y7+*!m8vEou?&4fo)aYDYKODJo&szsS6D%>AC6s(FLH5;-f$({rARA#V+4z zOsDst<1}8DPg%wg!|z3S#4wo5*w6y{b#`7Ogexuv5cGsKfs7n2Gd61obUQ{n=-ydz z0SHYX+9IYyDkf6UG&!P>R$FokJQ5BBXqjBEwMyAIx@KGew&nr?k^q6ok)7Hk2nt38 zwS*ia6_!AmOkg}WgSAtDOxYtu!T!<2x_nY|W?TOF#hB($0qtM@JdYrPrF`QVHJdJQ z4GIB)sRPy=_4LOEHM&uob z{{SBHKBbY!8-Fgd!vT<@6!G-yeG1vDCk3%}s_ zCkWJ4)HK4^PbgE0RWMU&U<_rHw`9l_+ypg+BEgi*z!c_55ENAnFrp;cSG6IarG^zi z?SLvO8`|PmHuajraPAz03uO$sUq3HlCeo*_{a>XLZ~ZvCC5FtZ+4 zg~Fo=mZ1;NFJVBi+TF2apw_tYD?$x|2Sr=+peVQyacI~ey+<8qg~5VIH;T$%wa;tl zfW%EAPla)D>l}sv&LoW9J>Ktn;()H=g{$-Wr^yA*&Qikec^ur#)TbP11mvZFY%?8x z70w9^(ptI(eI|KFAfiDCs>Dxy?Kl|+)#wn0HcUV&IjR~I(N;nVLSZ;#@G_Q)!C;nA z5U`+OOu&JKfyh)I8=ftXVAK)encMTeWMz7LHP_S1P~2z9#+~PrX^$RxOoeD7;fb$a z@!thNh+qH}pKdzq9BAM!C9jBFkS#z*$OPi} zN^wrP@BaYDU#A+1v%?9n`s(`PG(5noHOY2%x#A^Bpnw8H_VLsq5=jj|H;xt2kW6tv)OSIrDVE|aJpF4}3Jk1M0w<&?9HemqMIDx=JRKn$Rv;P1&m}Q<+DObp* z5l6i5{xc>bPi^-*5)fgWnbn-(9sW5kq{)H!f1j5vjZ|ARP6~KSXoyBGq9`4b6C;xa z2-GfCT4e;tzMl9fPUr?2E@Jv(jkGc1|x+;#mPXS%A%;n*MTIGHEu(h{&r`9!GK5vLsReMIC6L#S(+ha2_@{@IanAKxDB4D{{Wm=Xw3viOo_Mk)!+;YVyF{c8fod@LNKP5 zste&>1Uk%|A+KI5ETCrBELU1NrTe zQ<4#x($-Fk9u{U;P{|!3v(uEp7-E6RwT_&G%JN7+)UIvl@b70Dxjh;|a3)a9OA<0M z&&HxCju=%(qLo+;kRiODWI>D*Dzqf-;OIC4Swgty^2&o&-7*550nx%m+if7^-EN&C zXIx)`jbOnIO}aicj(*&frYKpWI8wC#03i7&jI6L-RAuA`T2?kSxl>E)`J|zVk!l~gFQdua{(+&eDLu= zYC0X5PiiF!5HiG;V3iGkkZ7voPA}M$#JWzLXU_q+$xyTfRZA1i4XDBeRM#>fYoFdY zK_iXgt*hS6C0h#jsrkx`Qa1TY@*-;ZzC`srms~<;or*3+X^Zcbp(-Zp4UN zf|CXGJgio6E}A%JN=EPFi$}KJxgF~AWK&4V9G99L^m^h-KoXUV2ABAqaRtJJbPt#N z*C6Ox?DRAFGIzyBQ(>L7{`m+61OcJ`_)Ivl$}WY@O&(YME#$#gs2fi~#X^+88Lrdg zGnVKEmK6a|XnQPgE;25S#3+smbc2)zZA6GocKw6AIpF;>v8b_PwClWl0TPh69kyfd z!}ocY5YWquU-!=VtFab?J{fDMolZDev81lp4ro(--jGGX(+9RX%ys4pOymg_1Xp2> z_r_>ggOs#ZD!CAgo)Ef_El_2W^`TRO&S6AJLg5V#f>Vu|0fwj%4Rt{of+)eEi2&H3 zHIrQ<8yzAUj0XYh+lyX-EE-Pne&f&PPmW1K#KYyY**D(|p@Ik`F*Sz$@+^WwV&-mR z41MvT*dSTjoo`a-i2UF!w-X&#{G2X{F8NEE^&oMA%-;IoFtCf>2bV`VFXNY9Km2$L z@*Ey>Cm<|Vr{!}N<`*)#07?A6Tq1Bm;u${A6Bbmj{{TSo3?w(jPu1oHEN}qTTut+> z-ekc&$`-veet50pTw5Rrs+5zwekWW-bCEPbNy0!4xSDQIO^`>=>z?=)Nh+lUX<0L$ujk}&NErEbcd zIs9?lY8c7?0Mo`RiBXUf7n*a4c-tRb1Ar`II}EflGe9jnY~}Ddiqk4;swep5GDSgI zm6t#1_Bmu~+gJYp1A&%mabP>i;KLU51XZUBS`TTYfY84zxyKCP0?Mgs#5+%C9#~Rl z8Xb6Y3WNfTxzB!hj|}Juiy|~eYrZvzG7@1=vWuMSIIyDvy@Pd6`rm-J$af`NHpZa1 zBEG@m*cdT7$jqVv3RU4r6_{|2%@?HS8$eL70#O7nPEdr$OeA!&2x>=2{+W2GOdU@D z01W%&dn#BLJiF_J46ZQu7_ys=ji&*%gB24Z2(7m}M0!{f5X$4>AemVJ6w5Ba<(uG)*x(6JxnFp|!;l(h zgO%-Ej~FC$TDTR~>Gbnp#R$-^6Vn{T4c{8o%o$Rwz8u{2@v&syTdY1=-;BIb0Kn40 z{r>>-VoI9#2^QVu>#xeN>;plCFQ#X%ryRr}GB&JszcObaor8e|KxXe_ zX`#h#R#OX=){eUj)3~rS*S3#3*;G)CWbQ5+!TQbRE&|}BNM?l!(Jd2>+YmtUmk6OQ zHNG(zO<0ObW}b2Hf+qW9(*aO~2Q?fN0+0oxlMqj&D5_myf>eUoU}r2La5lKkj35eE zBt}Iuc|z(O3YpFtH@TH^E}Eelrn;!czNZ~1dsZ@kjPqshk?0i!QrxDq+F6ckQ!ot( zrC^MgJM(2w_0hofkK4dbZeq%W4y>Ew4vH^gU{9YPP{q%Iy8Ntis6m}=E@eIsQ$xt+ znhGEcol*O|LsmwKx5nscv@N;cP2xAW&czzAjnu@%y+mo*y>x@7+D8NN(2tBdt2Mg3hF*q2Z zYPek$ybywoHAKLZ(Y`hsoEvEGBBV>jQdcla2tp$S*Ee+N++a~d0EqY)Bm>JBNea{e zsSFHau$&@kf$8Wa#^)tSM>3drOV^j z2JX+an&l?VMiz$SSHcL z4Hkf8lwm3xe~B|&0}F6UV^b@5L(>u{j5rh<;VdD*j8PO*B$*6CI}Md2J|eKD)&R~b z9T4zaj9?^p6!6H%lM?+DDKMqe7{qW#f^e3Krj)i3eRs8lGJ9=CGw+G54mRfJlduSh z_N@IMt|es11chD${P^Vz0ItRiRdW3J;MghUF+<&$53T~XM^5Rp1+nz-EmqZi@vK1p zju{JV*AltC?daw+aH@T9Z6;FQ9J%=8#xTwBn;upqnp~Lg&os7oY%yq#@xVyNC_=bEvQ6>xvsQC*AuqhpR8dsJ-YgJELm)s3gxyZVvZrde z0x;cS-gZ-mO~`B}WZ{NfNxd%jB`P2p)|vRSk11=*BnZPXIt?3?rGf$*xyWuY2H3G= zQN^$<%uY$tBAf|BeUKYAH>`=IrC6m$*lj@!vXrh1hzjgbQVevNQv$i^iWfuh2;$=c zHw!EnB%lzerGo`vQt-rNLuzYkEIsjTGL%AV=NS6<;cSp`*a!ILjO93_Us`CwBGG|6 zz}^-q=!Tgw!ZKR#97D9oG>kgPKHfp3R5B6Zs%0;iq^LJtBN>Kj)&36!2c8v@B@v{q zYT&B1Fb2?yEtPz;d+no9cmm{1<6)Gzc%|2TQpBJmk!MCD5o^Nq6sjVm1onki+F0EM zQ&2}HG99|iUeQ!l5CW{hy_4T3RKSEBOv2;xeDE^TqJkn5%75w+C}&f0E$a=xen(ga zptjAx0{2E#T?K*`LpRj1a%ACD5O&EKjuJHWtZ@*87=RFf(v9XJ#5+|)1c8Gll}(zL zU3?H>N!}XwjCc-UkQkU`1O-)G2%`Onw9hl1Vi`&q2Z=y*MX9e}$M?t>0D=dD0j4xr z%Nh+|p9u$wV3JJ+T&iO`o@UU1p`odY@3(w>g*4|S>&pKC?;0%{VSr6(2>s`dnI$tL zF?(0_SEm%fhUR7nvY>sS8(H_0re_|v2Bq3?U*twdc~ zFTC$wKDfI>IC24WVOizIa->o0Y%M}up0b)P)BtMSFdJ}w`7F-`QdkZoN_7dtcY;V% zUZOoK>Avm#d~0oVeNktTivel^E{`v zb+?3tF2>v!9v}dYKuOwr+~d@XXn-9qB0zT&Lk!ep!Q{$UF^@vBn@p_~-Kn=3J5grh z2_#tcaNZrxDJoFIs_`)(nLKj@05TyN5@UV;0OtXu@>UV?5$IgyL84o;QDzEt$_s50ZAJ_i?gU|1ajPT%d<`Jf&Hw&+YgKjV3l zD^1-DWlw{1hn5M}N-6-O{{Y7MM98ZOFfON0?m!Y&07@q2jhQ;oR~8=hY3Xr{-26MR)zyU-vhAX17j2w8%Hn)s$Wc$gpMKvCJ(rJ zcnT^8!4WXhySdjd+x1JX4C&+BgO-Zqs!-8{xsLdtqhzY4>P3sL5oN6)KnS;Q9Y$^9 zIM5;ygXmkY!&n3#O%feO2^!r+BbFlk!YJib?yGMUpp~vDFrl+1vz#wCbjXXy!#Px= zoAMMGX)zM5RZyFVsyy!T!bJ<^W)GhZY$!s8UXI_>gkml9*zeEYPIUMahoVi+x96Dv z=NigAtJKM#9K3J9fij10-w5X@p&d;bI)uGBL~f9>D2046m*z3fRb;HRR$J{iI^dca zRW|}nbPtyJ@R7nw8q4XnC3srPQD~_ucO`n$%_220T4tOK003i9hBS%Ty83;7FEe8z z4J`(H(k)a%StC_N1&fZD&R0+ZAQo7|G1uBChG|m{3dLc;Jhv@uz`^nc#WM|au|i#9 ztwZGbtT`Ki$xM?~lnr+4gy7=kJ%BEa#%ndiufJhI+FIhKJ0fr~0*f&`TL3NtkHMj4 zn+*eq6ejAD#NQzB;Gz(UIL~vCE^g&^FgeCt?Rk-YE}1ZF8Zk+b;0Rm_o2(T; z3Y6j#BwH#%I9FlE=5PUk8u-fZ#rd@GkkG(S>y;owOUY+3KJJd}W3tmsaX9FxC@6zy zh9)vG!U>#107jf+@8=v(+Bh!}I#++kAkc?pZT|qAZkf_3DN0O}qc{!{jATYm>4-Zq z=8p;DV1Txdd>U_s=#^4$&lR7z_rItjp z93d&rVe!eSwCu~7k$_@10;-vK+~L6?f;&n>Ba1S2@DW4+=AZyn{cA=UaGPLBk=VQ- zE@W7@F(MNQj>vb2D-Z<=&2>KfxYmyxm_-&cBX55`vEz|^MxFv^@01xIryO8H`VXy- z4!6Y+qC|?SPuuH_M3W`x=Pyt3%&qKrkImjecq)`jCq`Ju z{cvc3wo0)vy^pSB{F-W|n-2Z_ywwd;8E^cxKdv_J5DHL$WGJ!!0O=qarx!WmIevdU zu`pE-fcXs^ZTcHzItswIcJE(QH}yxFlugtHe&48p>|cL5fsck{Rst!+zyJs%*-2-u0dpOJ?LSBp3PR z@08z#71&Vgy&{KPwFI(Q5|L=fO{c?}#_=l9qq%(0*7zX1Z3VY ze|knT0WPvEtcDd~mTDM7LW+%$oypwCNg+-H0Ky`5G5-Ln$xDSq#xH@%_VAPmc+HP7 z7;hh15*)8CM;G8`_3_MLIp{5)9-eVFafpH_nQE;Q^Z8v2UL&j!7Y9Vy*0`uY2tA_A z6StpPBts|wYc{RfJDu>%DZ&U#3%0)p&m4(`tT48k>!Jb3K@pUo5=%2buP+k~AcDAp z`?hW05FtUP1+c4Kn%PKIh{**Y)TgNHqg)K_w3QvOHmi@7-wL;6JGO?M%HmhJ@Ztbc z48^iOD(B2HnN79Io6`YaD}LQ%a3lVi3Nq`=Y7E><{ z!A_AH?D04_C8Z<>Id*io=YJ|7=10&v5gYV7-pe^ZDtix_te?|q-&42zyx&t~l7{{V>?5cWgm z#?9hIX@D6Kb5lo8Ubs;Nr3$n@m*bQuuA(Tjeo)(=JQyayg0GR)hk5Gbmlbq(^p!CB zJ~*L3s#|vR^4A?=B0Z@bo66oLLcz|Fmj3?$d{O`>1sL|ee_T_*#vwq2_k)ME%$AH8 z9pW5<3l`m#qHxGcB{>KOs|W{X#+K#v>iwG@X!N6I~N+toL`F!@xAOAi zp`H(sJKyJqaFD|YHu(WO0m#669ejL!b3G0#22UT;ezCmMEK@IhnIXC#l^KJ@y8;tW8Wr8YY#vmbo_n3^A&(mO14b^{Bq-!2W}jb50`z+BKKfz0D#(qBjlOPLNHr^ zJf?pj4iI3J0tYLz98Vkw%@n7xa#9?6JO?6sO=$)J>mTMhotY?81lt|A>#oGCBpL`e zPm#IzG2K|Q;yS{wm|?c_RjLg%nk>xh{{YrPb+2e$re}w$ddbKP(it$^_;=ou42YMR z%`fKV`Q+MpAwY6A7|Ga5@Q4Hk*b-@ToFd$EZq0BRF?#G~YTc(0Q41EWt*_+kL4pjb z7+>8=XC}DObA_atwC%mF4eymE6&EN@-zNKdrGdlxii|147@Cg-)CJjScVtCdO6-IFCL0mZ^c&411ivSEci=8uPdEY3r z;qc^%JQusIKs2p|z@w?L{{R7tOd))Ygc1g_<=zl%7&Z?FsJv8iaF;W1P>j%am5+Y` zj0eb;j%%rj5m#Y-N9jH}F(_`#6vCQ%=+=13 zz&<&Q1`J``{U#4;P6!c$a38DSET)xQu#Q#nPX7QbF@lK)u};?i0J9!zaL~yx%$@IU zrWyh2?LoN2#5}-4??Jeov||9^Ly2J{gA$(&G4f}}31E7_hXo<`_lj@~th5?XiO|G_ z?(qb<0+r?=u2a3eG;BKx#UglGt~JM&LqtYN0rCF;w4#~-xNsGuGIc!g7)J(#YWOuW z{bz^)aOzWCARq40Fs!KNcc=@G94XIGpjy3~y=zs65@1@wC)s{4CDGX8WaV&u8s$I& zn*@Oy`1F046(Q*0YJZP>1`$evPSBF)Ghaz}fq^0+)?o@zCNvLVh$w>y+fRs~z@y0TtVDJ4nuJHK0eEDaTZ8CrJjXxX?2$25x#hK?x zaC10CwBe5eJ#jR>;;$S-(Ehnp@OS#NTkEl+(j~PpHg3T}a%wDjcbN)F( zNPrTiGR^`kC^n9p^6qi_3dOlGUoXQPA`HmOqmy}4gi*;Ky8h=H<6y)FY9wcVd|p0T z7`6r6=3)HufC~XIFt`4*$smReYn>EnJ#Z(!kBmHYGyQnu8{xfhhWT(f43c+n z$V_oOJXOg!!b!tV4h0+k0OP(&bY5t9{{Z{0{{a5%<>Tv#gPZ>V@=a%tI=pV_ybs%g z1Va_M@&5pvg`i<)Tu=fpUnBi;Kx`fOPsX@)fIb{G@(&`F5*#aOAE)!i3Y1MjF};4C zxF`@&gKo23Kl{rc2@?t9-m~}Xg&|X+S^HhQa0;ma0H9~aPxx^%oS$Q<&a3xc2qQ=e zVbwo>nZbFK;Z3~~Gf<_16^B}nC%89jNt12Q(& zGcG(fzdC7jh6{}i8y>pN*~JBP3RbEoy$|n#!bG5kP~$k9_4~^tNP<8la1i_3$Trn8 zU0dK?_4siWaFD35%nm_iXR=p$lmhA_*N3M$q<2uTK1w$yON?JoLqUNm)N(JzGdO8! zzzCSJT%<17=IX$fP*sX|l0Vp#Saui4_iAg;(XmB9SqM0}BAYkb2r&c^dBO1-o)?Fy zA@6eZXD7`i+zg{MsK}x)+4Awg{DHhP7UNyB-kvhL2+Yz#HZ9+!LH7-VXOayT&~w|E z5M`UpA|qwpIxa!M7_h{-_TAFS(Z`<5MBI>OlPBeV5Z+p2k!_OA&Cd0}=gfi%LLU$5 zy4w3-+d*Dp(4{T`%w^>Qs#7H)l3q!M=7tW>5h~FJBCKZ++g8NhuPzw~}bPGcm3p4@5APFzi~+CSJLKSfdgc zV;Kbea9Bx|G~0{g7`yL;ZWOc=JFS$;c;So#G7^|*LSWDC69WPQx%^9SD@>3gHp~A2 zt^m@o@TNMO&*y#h(K zmQYcON}l6R7?`^nEo}AlXBbN>LG92UV*Zzo9bcXe5_SEYz8bmV1W3J3vHt+P zVgR<@`u_l&iU3u0!{A`g-zvi(>>~0N8HvIwL;yAL-@*CfHYRIRe0>QhJJ|U=oPbKO z90bQ^a&QRE5S`X!-e1=g*h%{--k0<9#!s?DL$zJ!^m1Sd7dg2feY`X?FPbRt^6&fQ zgU3#bq4a(@0i7@|3F3K%Zux|b5fYM|o0OI7Gafd#4d6r%UbEAb`26e!WQ7*YM%$|8B9rJhp0LG`sG5-MKPEH@s zCV&1WfBd{#um1p7IO1T}{{Vod&J;1%3RNHupYHfz;akEWVHU%G>BK5jjESAU+j$tK z)o2%Y(EkASTZ{&4vn%oM`0;|08b}un%nOw36_f%p8T0!+P!3c9Y!Wl!!8%|mVUTMJ z-=23FKxelK-5=gG6T=wi&^&mx-O6IhnXyH_*XMkRLMvtnGaE9G=R%Mgiy&PXqW<^Y zMVWR$A>CZ~-p+Y~s3B&{+uogj<>*^5Q}=;!*A*E% zCNN2#O>HNR1!*@#X1%5Sd+&%U;-P`Ww4il|#<GBJEnWKPK74c?yWYRiM@xPdL2LGS?=Si$*^Kgxhjd5K1=~m&30xT*Qe05Lv8= zjotD7NT?YQ%nX4S)+U7tDkjzZ7E9M&aIm##E%pwux~{o%)T9TJO_TkLYzYP`4%3`9 z-@I_A3JRDD=LtU_Y*w2XK)tPePvope1#;1e;Cb*ol6iO7No!9P#GVc<*zin>TOZ5% zpt4e!gb+qtKL^hUE*1p}n=|Ow22>Tr8?&Yo^}7?UIP6e+SCdXgj{UrpbFE;9OQFA1 ztl|tDG6Z?Qqu0_k6{G|KSq;Brvvn^2!Ty z%(r_4LYo`*c$~QcX*>wO^U=*A0|3YELr1Tmf>0ubdlyjglINJoi|7zl5+nYsAOuCk zJjBwkqmXog0=Q;8`1r{PO$p!xr$g_iX1RoTS%B=BnGAnCV2qLgKXB2nd}BfwmEco( z-0tVkE{uzLKtZ#)vCmusR~7(@bqufh%uWhTZ%)~?f7XZ$i4X>y<)ky(EJPPDzP5tuW%E-x@@$KR)g|Nv%pO=?{3i-v)+Tr=AqvuFhK@?m6-I{{ZfZ$wLC)lK%jF9DVb`{c|tJBy+&J z&pY7jjPgG`Yc9GxaXDNsj`;iI%Utp6{{Z2zQyhJAUH<_4A zJvnc*>ya#)myqL@JDtAtRW+i*CS&tEA2{H1FoZElsC5i=q0tP80$vs(ILFT)gd8$l zPjMK0=2wauVR9-3XP3SzPzrEG)?p=_wt47)1dfdz^6=VcM zs<6N}vNMsNOvkjhvZUS;RI~>`gw!13FOHnD@vWi;G9_!Oj=pg40j01A!<)5sW#Eo@ zOoZ!(>oxn@h0*RVGz$5d8gAxXa3diRo{hTM^~UR#XcV^@!xQ+aSu#SEP|I5epPpfi z>qQYW-Ve3&#N#p*L*voh{x}PbKVt&av!dprGyx+RM^rV9yZS-lBzzV20~qyTpJvGoITryqCeyQX)hW%-1*rQ!?~I z{lnxHS_z-m%FM&04n&BDXZX(~lu?0@%|yZT#mEvB0mxV5^gem1(_3l7D)-ZL)ySXP z#iTjLAs6G<42XqYMFAc991wv-90i76{(5NKFquxVHG5|IedmXB4KS|9d%d&5=RTYx zc$Q}lIy2IRnK_;JC3!j)2xZp;|Jc*_hm2%`aC55JCQ z%Oubnk@! z@(i(joOxpnxGb0P#9(sAcm@y05CJC1xcqtGKu{af_&>?WTc!)x)A%?GxadRmc&Fy&fl|u8AF>Hf$O-O1|UDaOd9$Axi5)x$^#^~ zwjYdfSL+Tw4sChgG|wL0{-S?erVr0F@g+Pnd0^v_@^c5P?~2>I!KOIoalrM*BInOC zXPzd_UIN!%Jn}#OPyYaK4z8X!SYBQ){{WN6{{W8(-QWu4{Bd=TPW8c!yhA5D&_ef!@@ef zz!l+j8}<7M3^ojzC67<#oJtW30kk*TkFH4YiZmEf2gCC79B?SaC3A;$e`h0X5b{yV z%z0-WXNYSevLG!zhkG}U9*SWqsg=~osQ2FwIAAqo6K;ro`iiAPQjn%&RY2D6tTb3f z2ZBZ!1|B}Rmr6k@rc2iW@=+~H$9nDc_rlx*I#ywzeo(%-3udeue$AFIkDeH?q=<$& zK9lxvnDBs1rg-m8IYeMkh*@G|2S;6{6ypH-7DO6)>*tE9k{*lP+c)QYkeB^9d-&qcl3?I2ZsPfM)!^g@b83#WAF6UEh9&`-j1rgm$p}QYQ-M|P*JXZt9|FV` zYAwf1{`j&bVz5UpE!)y$HG_#m0-{ESBp=(52^9_{AKGW;6{k@l$C7iJeY|k07c@Za zL%Mu+(5M*ID7pG>nB|zjg<2+OBwPbikf>5@&N}b(ts9GGgL6~#b?B4lF7r3rp zrzq;r9W4PsBwWi};r;LspnSp`V)_TJH}K>s5$R29NP6MOI0QhUQCyGD9{2)QO9*7{ z%)Y#L5tPs-g~JANZ+wKZB^{an0Nq|@TqNf%T>g0_toX1vz4gffj1?=ZJa7mDL69(F z-h=w$FzMzMnb7#+P0zRcyiRsLk6&W;-oYic>bJ$335%FW7*@sr53fZ^qApLA$#yY%Z-X6+nt;LJ7 zk)Iq^$P$Wz1>i^-ADF-Iu6Rd_&VyF<$1Rv6t|IXnd*_+;`*Kb&Cj;LY^T6`{L;dgp zh2jsl9*+kSn%{iCCox{QH7VEUhIQ93zCIi=#s<%>VcR&DUN0PRGyeeL{{Z8@S54P?zH$jvy$Ef(8TSzt?;*aw5#&!E>Sh z$bfLRt3#|%YpiEyaZ06pOw?r`nfm^MJPig#n-uSd5m7wnLD9Nx7^soK~L%iS}|kr z!OXxyBox`!?&0$9o8%;l$_Wz?d{-mQVoaq~TG#sHdgcAGK=Nd{?7!K;EmRQ{WPz&u ze5(pXqD&(>&Gq(y!N;EDGE5KPyX!n4Ft%$%(DL&q&nHGKgi^)HGqBD$Bx?*(;a}vFRX6 z0^v_r)?O=$#295Sd1QwjC|AKn8Ffp)*y6ZYga$72aXowFlp=rv!@YRJk{&Zkk8P1D zuh$_Mk|0BS#OogACcxJbZD~L28ujI>j?P`JfDi0}+x@ zy{~5X%1rQ?252@Piu44U4B@ALx;ivt&BBmUfQ)6U>s;N1SXc_@aJ2J>{{V7v%m9Zz zVC%N3XMmh5%ma<4-@k$^g^5TQT3ocwr??xm%qn9sGcY^gk%5yHB;x$Po-zuE3g9=t z^T=>lN{1lhTFd?MFBNi7Ff*I8{{Wm}0a{^592R1*b>2CotsUfw{@LYdrK2$p&!h11 zEpSG1<5R?r_3^~*g%pG%)Xuzh*CiL`=z%!zImTVNuKqt9(GCUur}f4;VjtVVC2n$F zWr4i#F}ddV#;k3RGl^=hPnVm1{{UR?IlLiPHxI`*Q~+ZEO#Zmi!Z&kC@8g!h2r?cg z{NQCWiGv_x@ceS})`)3i57#AGg?1_9{_q&XfCqKtVM1A$r~-O|KAZ_<>@PqozMY#KNH?S|`bc;08tk)u8?kA{4+b z%k$&p3MfX}{{YTL45u(QO-=xX-uU#7N#g5x<5}hZ0P%6pOb>pX2_5n(9r@&$XYZBs z$-o(g@q!fv%;vb;#m0K#UU+xskHZ|Q#?CfgSBVSfm0C%y&2o?`P{9~|p1 zCOJ9t&bg=0GUVXLGonw9374_g4#!#HA~B7ZzP}G6grh#FJ$&#G*#mAuehJK>yl7Nm z@o(pjVpmqRX^-vva>78EFdKhw8sa1r2?0h)-W_@6NhC^aIUHHz-v$aQBA^eikDdZ% z51i#6t)H9M5l{vYq`zu<{x}8IOIbkb{{ZOY04RJJ1hdClcAHGFe0a1e3 zwjI5z-zE&4nE?eH>28-jaWsMjpF?_I7nE$mwsk#4z50f-S38n^Ga?nnWjLu%3Ov%~L*=*5;KjK8TT;u>J7P;pSxKOdx+ z3Y8Yp#!uge}CX($o2!vv;346M#xWB@sRPL{fJiN#Yfv`~A?A4*f? z0wOrRXm>Gz;Q7-ZaeJfiQe4kN=rI8BWl2V-&;I~#3Is^)w!uFaSQ{0+)d4c2vb8Ro zn+S6$sELymr%|0{t`xychhvoo*WJf`jp9>Ou!d_LoXw#zVM7PY*M&JjQi>UO>}%h< z&|s033Fjnhzlz#&futd?CXc^|JQP7f!=V2F>B~T1Gi(U>O`Dx;Epwp&O?jahf5SjX z5HgM7ae;N;P!z3JFfN)Ijkxi_h!KF<131Q}eEsu(6V?Exn1)Q}7oa9aEGZ{uI2kFP z3jmsztv6;Fn%RyLfGt5Q6sGd8jB_yp14PqPB<%M$(icD|2t&aw;3;nR*yjV5?0lD+*zA_r3R7RNJ)O}>U16s^GcH;cLGTFofN(wTSU1u0) z#tzVs#sNiclquJvvE|C3o63#j`~Hv+RlwT0=P+b{47)HuG@10OnfEGk27pD898^B`TlPk12j>c#eFPO`Qi;XvdeaV_tzi+jmjyQ>L14;ShlclH30O06d0(7X#clP+eB95{?3V9)5VJ*)$K|LAJKP%Kmu(NFc(35A6JLCFMqQh4<@{2Z#r7nw~H|_+pHXWSE(<-nZWu zjGR~$OJ4H(ykRW?rb7lLPDh6&ad)`E@s@IAK__);TK0KfS*#Ht2DolNlg7>jEP`Zf z&YrvCwJIi7o+bykuKGzU1-3eVu1>kFY``h^bN>Lv!B|#Q#SoqPI_i*Gn5JAoK?_ES z*Uk;LVuT{o%74yDYEx?lJ?Jar@grP`y#jonueU&|F~Fx-m7M-^J7m~Ni>P)!Cyrvy zMzV!_-Ob<2%-x~@LM7HC9O`k#;zGiMUbxQR7m}JrvXp%2*K^MSCJL*et@G>W!NOR^ z2_^?D^OL}PrGY%NFR&*;W-Ac9jw z4rf_$J9pK*85ImJH-u``UW}Wb45(1agFgIyp=Rb-Vg_YVaZdO*M$07|*}s3o1+gem zOqFJlIW>&wH((To5V47WiSSsWOe=|_k-=E{d@xj$D#WnLWvqPnV23$DLm5X`&v>BJ ztx*E!?DLFxxB|;1xuSKUSB)?y2yuyy13TuKp0&$DfJkI$>3Ut`q@V~fB-{^v9=!FQ zA&HKH4o9o|@VG}QM5|@i_;{(%I%5W=pxici<_qk-DTN|IvBmeo%FYBH-Nu&OH{+7@ z19n=c=V!-xp$iED6oGD^o$&|~K?sD!J)aMbPYSOKlwsaH9=PHKbs}c;nIFe700M*n z@+@2WyT=eYgTxKV{+YZ&ASmYvgXi4;0K{qnz_|(C{QG@zcny=lALVd$aw@G5CIznr z^Igmij@2Xr?eHH*gexgsY)O%ozUw9{7yFfm}exoHNs&j#!yF6^gj({@lzeC=qDxeKpL+2t+9o`D4XE z%&P5&k8ga-vp<0U0K6brR{Z=tyr{&G^B^1b%NCIN#wNaau!+3>Ki_;A60%25zxRVu zKAZ8ty5#SVOp?piC;jGySsW|ZDA8CF{BT%#co7qA9?ky%-YV$Jc_}H_+j{+Z;I2ei zU@w2ZB_MOWdjA043P`NS&kA1De;@aRCb2vAfA1`Xq<)d{-|LB53QLua@4){6o+M1C zzeoE0@sI_Oz9;-}QQ!m@0|Vy|-yy_c1{wbV9wWL&yA}TcyaYxwcmOsX@&W`*WOt{U zM`kDc+6su z*NlLL_*{dTBq3K{{qFb1XsVm(5T#(J_rz|c0Z=b^G3kd2N?RyW>vFo;-vF&vD9O|{ zZZ#-yN2BQh4rQyp@dHE*IJr2f3FoPna}l*I*qC)y@r&L?D?HT>I($Fn;~+J26h)uA zmj&SpwOWVuN2_lr5XK~V;(GnMJL!ssI2Ay0W2_zp&)bm zth$m{bGsa2^4`;OLSRq=#)05q3)XP%e4aJb!;`M~OETmcTmW&V6JDIAg>0~4hMs$Q z_<5AFLKQ$BbK=v&Bn{LPJNMG->wt@l21AvIMh40%AD_kb$!AN2CPSvc>-*&ekOV&mDKF^n9C>b{QG+(PzpnUT z2p&cbb2DRoYi9wNDLe(K@nUf!rK-pwz{!3+UU%ZNMdu8$9^B+;UKE0 z!5;A=?|h(ltgQ=xoer_obyl_FoaBve|;5IgWK@82j0K(4|fcY~S2U#ePQ z?k;-uj`?#*&uA@sq~DLFmP$Q`4UIT`-njV#6>?Bp^xv&$;N&1DV#R0EJxj=WKdNST zG+cQ6)L{azJY727kFW*Mi=3#|gz)QJj`CF^q{npkeYN4Pt#Gzm_#XJ6!Z8lsGn^^& zu0$B94WOnUpU4G{p95%Zlo&UogqVijB;j^FeOL0&Pb zlFaq|$9C(D2Vtidj1NbZ$=BB<2%>NZ{d5l?MNrMhd;%*Br;PXbyfgtsV2=1JPysGY z@BP;yr+26Q>w_WLvDbg|jZGzY@bL*2Nn9J@5-Dx<{thXG`h4&t2qF1yzkG>CU1J#; zeP@lbs^CN5eY^%tiAp%b8yL{qaGdf@Pt~41tVC zf9b%gYF9kdvG>f$6fS;e9fq0d;JboK>pa8^7Y-y#*m;BNo8WUtz8-@Yo93q+&MDsv zM(lrFNW2W;&9Lj5--BLJt^}*m&4GwK$pqpsV(9Qt7@a{)ZS~>;bjk-|qXO(MTp? zx8pkX!3c^1n!m{>21c3;E!8a2 ze?4)?ggTHse{bu-#W+S8HccO%TCr}p5w_RfSCiLM>M;{N~v1+IaoCC~X@ zXFCQsVzu?iL;x`}Y3;-Zd2l z54Gi=g#LMvK6yw(dww2nJXRk(!1IIejuy&et?(OWhwVS_Egj$c!ItR3_x}J~!UVKa zy}zz4AOu^X;&JlCw>jwl0GvRO2GZc~>x!FE0zXgu-~%hw{(AlM(}GOEF8=__!V?0P z7Yucc=;eJ?u6MpBkUQU>`^L!wqe`B5*}+Q>X!6jo&-NUu=ui%&KREnx2&ZHD, C4<0>, C4<0>; +v0x55a33c667000_0 .net "b1", 3 0, L_0x55a33c67aa10; 1 drivers +v0x55a33c6670e0_0 .var "clk", 0 0; +v0x55a33c667180_0 .net "data_ready", 0 0, L_0x55a33c679960; 1 drivers +v0x55a33c667280_0 .net "display_valid", 0 0, L_0x55a33c618fe0; 1 drivers +v0x55a33c667350_0 .net "g1", 3 0, L_0x55a33c67a850; 1 drivers +v0x55a33c667440_0 .net "hpos", 9 0, v0x55a33c666b70_0; 1 drivers +v0x55a33c667530_0 .net "hsync", 0 0, L_0x55a33c61b7e0; 1 drivers +v0x55a33c6675d0_0 .var/i "jj", 31 0; +v0x55a33c667670_0 .net "r1", 3 0, L_0x55a33c67a760; 1 drivers +v0x55a33c667740_0 .var "resetn", 0 0; +v0x55a33c6677e0_0 .net "vpos", 9 0, v0x55a33c666dc0_0; 1 drivers +v0x55a33c667880_0 .net "vsync", 0 0, L_0x55a33c6190f0; 1 drivers +v0x55a33c667920_0 .net "w_resetn", 0 0, L_0x55a33c607000; 1 drivers +E_0x55a33c6121f0 .event edge, v0x55a33c661160_0; +S_0x55a33c6340b0 .scope module, "sprio" "spram_io" 2 55, 3 11 0, S_0x55a33c631fe0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk" + .port_info 1 /INPUT 1 "resetn" + .port_info 2 /INPUT 10 "hpos" + .port_info 3 /INPUT 10 "vpos" + .port_info 4 /OUTPUT 4 "r" + .port_info 5 /OUTPUT 4 "g" + .port_info 6 /OUTPUT 4 "b" + .port_info 7 /OUTPUT 1 "data_ready" +P_0x55a33c628ba0 .param/l "ADDR_MAX" 0 3 108, C4<00000000000100000>; +P_0x55a33c628be0 .param/l "sLOAD" 0 3 85, C4<001>; +P_0x55a33c628c20 .param/l "sREADY" 0 3 87, C4<011>; +P_0x55a33c628c60 .param/l "sSTART" 0 3 84, C4<000>; +P_0x55a33c628ca0 .param/l "sWAIT" 0 3 86, C4<010>; +L_0x55a33c679570 .functor BUFZ 17, v0x55a33c662b10_0, C4<00000000000000000>, C4<00000000000000000>, C4<00000000000000000>; +L_0x55a33c679630 .functor BUFZ 1, v0x55a33c663cc0_0, C4<0>, C4<0>, C4<0>; +L_0x55a33c6796f0 .functor BUFZ 16, v0x55a33c662ff0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>; +L_0x7f6164a754e0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; +v0x55a33c6618d0_0 .net/2u *"_s10", 0 0, L_0x7f6164a754e0; 1 drivers +L_0x7f6164a75528 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x55a33c6619d0_0 .net/2u *"_s12", 0 0, L_0x7f6164a75528; 1 drivers +v0x55a33c661ab0_0 .net *"_s16", 16 0, L_0x55a33c679b40; 1 drivers +L_0x7f6164a75570 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c661b70_0 .net *"_s19", 6 0, L_0x7f6164a75570; 1 drivers +L_0x7f6164a755b8 .functor BUFT 1, C4<00000000101000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c661c50_0 .net/2u *"_s20", 16 0, L_0x7f6164a755b8; 1 drivers +v0x55a33c661d30_0 .net *"_s23", 16 0, L_0x55a33c679c30; 1 drivers +v0x55a33c661e10_0 .net *"_s24", 16 0, L_0x55a33c679d70; 1 drivers +L_0x7f6164a75600 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c661ef0_0 .net *"_s27", 6 0, L_0x7f6164a75600; 1 drivers +v0x55a33c661fd0_0 .net *"_s32", 14 0, L_0x55a33c67a050; 1 drivers +L_0x7f6164a75648 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x55a33c6620b0_0 .net *"_s34", 1 0, L_0x7f6164a75648; 1 drivers +v0x55a33c662190_0 .net *"_s37", 1 0, L_0x55a33c67a2d0; 1 drivers +v0x55a33c662270_0 .net *"_s38", 31 0, L_0x55a33c67a370; 1 drivers +L_0x7f6164a75690 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c662350_0 .net *"_s41", 29 0, L_0x7f6164a75690; 1 drivers +L_0x7f6164a756d8 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x55a33c662430_0 .net/2u *"_s42", 31 0, L_0x7f6164a756d8; 1 drivers +v0x55a33c662510_0 .net *"_s45", 31 0, L_0x55a33c67a4c0; 1 drivers +v0x55a33c6625f0_0 .net *"_s53", 3 0, L_0x55a33c67ab00; 1 drivers +v0x55a33c6626d0_0 .net *"_s55", 3 0, L_0x55a33c67ac30; 1 drivers +v0x55a33c6627b0_0 .net *"_s57", 3 0, L_0x55a33c67ad20; 1 drivers +v0x55a33c662890_0 .net *"_s58", 11 0, L_0x55a33c67ae60; 1 drivers +L_0x7f6164a75498 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x55a33c662970_0 .net/2u *"_s6", 2 0, L_0x7f6164a75498; 1 drivers +v0x55a33c662a50_0 .net *"_s8", 0 0, L_0x55a33c679870; 1 drivers +v0x55a33c662b10_0 .var "addr", 16 0; +v0x55a33c662bf0_0 .net "b", 3 0, L_0x55a33c67aa10; alias, 1 drivers +v0x55a33c662cd0_0 .net "cin", 3 0, L_0x55a33c67a600; 1 drivers +v0x55a33c662db0_0 .var "cindex", 3 0; +v0x55a33c662e90_0 .net "clk", 0 0, v0x55a33c6670e0_0; 1 drivers +v0x55a33c662f30_0 .var "cout", 11 0; +v0x55a33c662ff0_0 .var "data_in", 15 0; +v0x55a33c6630d0_0 .net "data_out", 15 0, v0x55a33c6612e0_0; 1 drivers +v0x55a33c663190_0 .net "data_ready", 0 0, L_0x55a33c679960; alias, 1 drivers +v0x55a33c663230_0 .net "g", 3 0, L_0x55a33c67a850; alias, 1 drivers +v0x55a33c663310_0 .net "hpos", 9 0, v0x55a33c666b70_0; alias, 1 drivers +v0x55a33c6633f0_0 .net "index", 16 0, L_0x55a33c67a140; 1 drivers +v0x55a33c6634d0_0 .var "nwait", 10 0; +v0x55a33c6635b0_0 .net "pixel_index", 16 0, L_0x55a33c679e60; 1 drivers +v0x55a33c663690_0 .net "r", 3 0, L_0x55a33c67a760; alias, 1 drivers +v0x55a33c663770_0 .net "resetn", 0 0, v0x55a33c667740_0; 1 drivers +v0x55a33c663810_0 .var "state", 2 0; +v0x55a33c6638d0_0 .var "tmp", 2 0; +v0x55a33c6639b0_0 .net "vpos", 9 0, v0x55a33c666dc0_0; alias, 1 drivers +v0x55a33c663a90_0 .net "w_addr", 16 0, L_0x55a33c679570; 1 drivers +v0x55a33c663b50_0 .net "w_data_in", 15 0, L_0x55a33c6796f0; 1 drivers +v0x55a33c663bf0_0 .net "w_wren", 0 0, L_0x55a33c679630; 1 drivers +v0x55a33c663cc0_0 .var "wren", 0 0; +E_0x55a33c612ef0 .event edge, v0x55a33c662cd0_0; +L_0x55a33c679870 .cmp/eq 3, v0x55a33c663810_0, L_0x7f6164a75498; +L_0x55a33c679960 .functor MUXZ 1, L_0x7f6164a75528, L_0x7f6164a754e0, L_0x55a33c679870, C4<>; +L_0x55a33c679b40 .concat [ 10 7 0 0], v0x55a33c666dc0_0, L_0x7f6164a75570; +L_0x55a33c679c30 .arith/mult 17, L_0x55a33c679b40, L_0x7f6164a755b8; +L_0x55a33c679d70 .concat [ 10 7 0 0], v0x55a33c666b70_0, L_0x7f6164a75600; +L_0x55a33c679e60 .arith/sum 17, L_0x55a33c679c30, L_0x55a33c679d70; +L_0x55a33c67a050 .part L_0x55a33c679e60, 2, 15; +L_0x55a33c67a140 .concat [ 15 2 0 0], L_0x55a33c67a050, L_0x7f6164a75648; +L_0x55a33c67a2d0 .part L_0x55a33c679e60, 0, 2; +L_0x55a33c67a370 .concat [ 2 30 0 0], L_0x55a33c67a2d0, L_0x7f6164a75690; +L_0x55a33c67a4c0 .arith/mult 32, L_0x55a33c67a370, L_0x7f6164a756d8; +L_0x55a33c67a600 .part/v v0x55a33c6612e0_0, L_0x55a33c67a4c0, 4; +L_0x55a33c67a760 .part L_0x55a33c67ae60, 8, 4; +L_0x55a33c67a850 .part L_0x55a33c67ae60, 4, 4; +L_0x55a33c67aa10 .part L_0x55a33c67ae60, 0, 4; +L_0x55a33c67ab00 .part v0x55a33c662f30_0, 8, 4; +L_0x55a33c67ac30 .part v0x55a33c662f30_0, 4, 4; +L_0x55a33c67ad20 .part v0x55a33c662f30_0, 0, 4; +L_0x55a33c67ae60 .concat [ 4 4 4 0], L_0x55a33c67ad20, L_0x55a33c67ac30, L_0x55a33c67ab00; +S_0x55a33c62ae80 .scope module, "spr" "spram_sim" 3 34, 4 11 0, S_0x55a33c6340b0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk" + .port_info 1 /INPUT 1 "resetn" + .port_info 2 /INPUT 17 "addr" + .port_info 3 /INPUT 1 "wren" + .port_info 4 /INPUT 16 "data_in" + .port_info 5 /OUTPUT 16 "w_data_out" +v0x55a33c6405b0_0 .net "addr", 16 0, L_0x55a33c679570; alias, 1 drivers +v0x55a33c661160_0 .net "clk", 0 0, v0x55a33c6670e0_0; alias, 1 drivers +v0x55a33c661220_0 .net "data_in", 15 0, L_0x55a33c6796f0; alias, 1 drivers +v0x55a33c6612e0_0 .var "data_out", 15 0; +v0x55a33c6613c0_0 .var/i "ii", 31 0; +v0x55a33c6614f0 .array "mem", 15 0, 15 0; +v0x55a33c6615b0_0 .net "resetn", 0 0, v0x55a33c667740_0; alias, 1 drivers +v0x55a33c661670_0 .net "w_data_out", 15 0, v0x55a33c6612e0_0; alias, 1 drivers +v0x55a33c661750_0 .net "wren", 0 0, L_0x55a33c679630; alias, 1 drivers +E_0x55a33c612680 .event posedge, v0x55a33c661160_0; +S_0x55a33c663e40 .scope module, "vga" "vga_640x480" 2 41, 5 11 0, S_0x55a33c631fe0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk_25mhz" + .port_info 1 /INPUT 1 "resetn" + .port_info 2 /OUTPUT 10 "hpos" + .port_info 3 /OUTPUT 10 "vpos" + .port_info 4 /OUTPUT 1 "hsync" + .port_info 5 /OUTPUT 1 "vsync" + .port_info 6 /OUTPUT 1 "display_valid" +P_0x55a33c663fe0 .param/l "HEIGHT" 0 5 25, +C4<00000000000000000000000111100000>; +P_0x55a33c664020 .param/l "HSYNC_END" 0 5 36, +C4<0000000000000000000000001011110000>; +P_0x55a33c664060 .param/l "HSYNC_START" 0 5 35, +C4<000000000000000000000001010010000>; +P_0x55a33c6640a0 .param/l "H_BP" 0 5 28, +C4<00000000000000000000000000110000>; +P_0x55a33c6640e0 .param/l "H_FP" 0 5 27, +C4<00000000000000000000000000010000>; +P_0x55a33c664120 .param/l "H_PW" 0 5 29, +C4<00000000000000000000000001100000>; +P_0x55a33c664160 .param/l "VSYNC_END" 0 5 39, +C4<0000000000000000000000000111101100>; +P_0x55a33c6641a0 .param/l "VSYNC_START" 0 5 38, +C4<000000000000000000000000111101010>; +P_0x55a33c6641e0 .param/l "V_BP" 0 5 32, +C4<00000000000000000000000000100001>; +P_0x55a33c664220 .param/l "V_FP" 0 5 31, +C4<00000000000000000000000000001010>; +P_0x55a33c664260 .param/l "V_PW" 0 5 33, +C4<00000000000000000000000000000010>; +P_0x55a33c6642a0 .param/l "WIDTH" 0 5 24, +C4<00000000000000000000001010000000>; +L_0x55a33c606800 .functor AND 1, L_0x55a33c677c00, L_0x55a33c677ed0, C4<1>, C4<1>; +L_0x55a33c61b7e0 .functor NOT 1, L_0x55a33c606800, C4<0>, C4<0>, C4<0>; +L_0x55a33c618ed0 .functor AND 1, L_0x55a33c6782f0, L_0x55a33c6785a0, C4<1>, C4<1>; +L_0x55a33c6190f0 .functor NOT 1, L_0x55a33c618ed0, C4<0>, C4<0>, C4<0>; +L_0x55a33c618dc0 .functor AND 1, L_0x55a33c6789f0, L_0x55a33c678be0, C4<1>, C4<1>; +L_0x55a33c678d20 .functor AND 1, L_0x55a33c678f20, L_0x55a33c6791d0, C4<1>, C4<1>; +L_0x55a33c618fe0 .functor AND 1, L_0x55a33c618dc0, L_0x55a33c678d20, C4<1>, C4<1>; +v0x55a33c6649a0_0 .net *"_s0", 32 0, L_0x55a33c667a80; 1 drivers +L_0x7f6164a750a8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c664a80_0 .net *"_s11", 23 0, L_0x7f6164a750a8; 1 drivers +L_0x7f6164a750f0 .functor BUFT 1, C4<0000000000000000000000001011110000>, C4<0>, C4<0>, C4<0>; +v0x55a33c664b60_0 .net/2u *"_s12", 33 0, L_0x7f6164a750f0; 1 drivers +v0x55a33c664c50_0 .net *"_s14", 0 0, L_0x55a33c677ed0; 1 drivers +v0x55a33c664d10_0 .net *"_s16", 0 0, L_0x55a33c606800; 1 drivers +v0x55a33c664e20_0 .net *"_s20", 32 0, L_0x55a33c6781b0; 1 drivers +L_0x7f6164a75138 .functor BUFT 1, C4<00000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c664f00_0 .net *"_s23", 22 0, L_0x7f6164a75138; 1 drivers +L_0x7f6164a75180 .functor BUFT 1, C4<000000000000000000000000111101010>, C4<0>, C4<0>, C4<0>; +v0x55a33c664fe0_0 .net/2u *"_s24", 32 0, L_0x7f6164a75180; 1 drivers +v0x55a33c6650c0_0 .net *"_s26", 0 0, L_0x55a33c6782f0; 1 drivers +v0x55a33c665180_0 .net *"_s28", 33 0, L_0x55a33c678470; 1 drivers +L_0x7f6164a75018 .functor BUFT 1, C4<00000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665260_0 .net *"_s3", 22 0, L_0x7f6164a75018; 1 drivers +L_0x7f6164a751c8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665340_0 .net *"_s31", 23 0, L_0x7f6164a751c8; 1 drivers +L_0x7f6164a75210 .functor BUFT 1, C4<0000000000000000000000000111101100>, C4<0>, C4<0>, C4<0>; +v0x55a33c665420_0 .net/2u *"_s32", 33 0, L_0x7f6164a75210; 1 drivers +v0x55a33c665500_0 .net *"_s34", 0 0, L_0x55a33c6785a0; 1 drivers +v0x55a33c6655c0_0 .net *"_s36", 0 0, L_0x55a33c618ed0; 1 drivers +L_0x7f6164a75060 .functor BUFT 1, C4<000000000000000000000001010010000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665680_0 .net/2u *"_s4", 32 0, L_0x7f6164a75060; 1 drivers +v0x55a33c665760_0 .net *"_s40", 31 0, L_0x55a33c678870; 1 drivers +L_0x7f6164a75258 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665840_0 .net *"_s43", 21 0, L_0x7f6164a75258; 1 drivers +L_0x7f6164a752a0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665920_0 .net/2u *"_s44", 31 0, L_0x7f6164a752a0; 1 drivers +v0x55a33c665a00_0 .net *"_s46", 0 0, L_0x55a33c6789f0; 1 drivers +v0x55a33c665ac0_0 .net *"_s48", 31 0, L_0x55a33c678b40; 1 drivers +L_0x7f6164a752e8 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665ba0_0 .net *"_s51", 21 0, L_0x7f6164a752e8; 1 drivers +L_0x7f6164a75330 .functor BUFT 1, C4<00000000000000000000001010000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c665c80_0 .net/2u *"_s52", 31 0, L_0x7f6164a75330; 1 drivers +v0x55a33c665d60_0 .net *"_s54", 0 0, L_0x55a33c678be0; 1 drivers +v0x55a33c665e20_0 .net *"_s56", 0 0, L_0x55a33c618dc0; 1 drivers +v0x55a33c665ee0_0 .net *"_s58", 31 0, L_0x55a33c678e30; 1 drivers +v0x55a33c665fc0_0 .net *"_s6", 0 0, L_0x55a33c677c00; 1 drivers +L_0x7f6164a75378 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c666080_0 .net *"_s61", 21 0, L_0x7f6164a75378; 1 drivers +L_0x7f6164a753c0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c666160_0 .net/2u *"_s62", 31 0, L_0x7f6164a753c0; 1 drivers +v0x55a33c666240_0 .net *"_s64", 0 0, L_0x55a33c678f20; 1 drivers +v0x55a33c666300_0 .net *"_s66", 31 0, L_0x55a33c6790e0; 1 drivers +L_0x7f6164a75408 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a33c6663e0_0 .net *"_s69", 21 0, L_0x7f6164a75408; 1 drivers +L_0x7f6164a75450 .functor BUFT 1, C4<00000000000000000000000111100000>, C4<0>, C4<0>, C4<0>; +v0x55a33c6664c0_0 .net/2u *"_s70", 31 0, L_0x7f6164a75450; 1 drivers +v0x55a33c6667b0_0 .net *"_s72", 0 0, L_0x55a33c6791d0; 1 drivers +v0x55a33c666870_0 .net *"_s74", 0 0, L_0x55a33c678d20; 1 drivers +v0x55a33c666930_0 .net *"_s8", 33 0, L_0x55a33c677d70; 1 drivers +v0x55a33c666a10_0 .net "clk_25mhz", 0 0, v0x55a33c6670e0_0; alias, 1 drivers +v0x55a33c666ab0_0 .net "display_valid", 0 0, L_0x55a33c618fe0; alias, 1 drivers +v0x55a33c666b70_0 .var "hpos", 9 0; +v0x55a33c666c30_0 .net "hsync", 0 0, L_0x55a33c61b7e0; alias, 1 drivers +v0x55a33c666cd0_0 .net "resetn", 0 0, v0x55a33c667740_0; alias, 1 drivers +v0x55a33c666dc0_0 .var "vpos", 9 0; +v0x55a33c666e80_0 .net "vsync", 0 0, L_0x55a33c6190f0; alias, 1 drivers +L_0x55a33c667a80 .concat [ 10 23 0 0], v0x55a33c666b70_0, L_0x7f6164a75018; +L_0x55a33c677c00 .cmp/ge 33, L_0x55a33c667a80, L_0x7f6164a75060; +L_0x55a33c677d70 .concat [ 10 24 0 0], v0x55a33c666b70_0, L_0x7f6164a750a8; +L_0x55a33c677ed0 .cmp/ge 34, L_0x7f6164a750f0, L_0x55a33c677d70; +L_0x55a33c6781b0 .concat [ 10 23 0 0], v0x55a33c666dc0_0, L_0x7f6164a75138; +L_0x55a33c6782f0 .cmp/ge 33, L_0x55a33c6781b0, L_0x7f6164a75180; +L_0x55a33c678470 .concat [ 10 24 0 0], v0x55a33c666dc0_0, L_0x7f6164a751c8; +L_0x55a33c6785a0 .cmp/gt 34, L_0x7f6164a75210, L_0x55a33c678470; +L_0x55a33c678870 .concat [ 10 22 0 0], v0x55a33c666b70_0, L_0x7f6164a75258; +L_0x55a33c6789f0 .cmp/ge 32, L_0x55a33c678870, L_0x7f6164a752a0; +L_0x55a33c678b40 .concat [ 10 22 0 0], v0x55a33c666b70_0, L_0x7f6164a752e8; +L_0x55a33c678be0 .cmp/gt 32, L_0x7f6164a75330, L_0x55a33c678b40; +L_0x55a33c678e30 .concat [ 10 22 0 0], v0x55a33c666dc0_0, L_0x7f6164a75378; +L_0x55a33c678f20 .cmp/ge 32, L_0x55a33c678e30, L_0x7f6164a753c0; +L_0x55a33c6790e0 .concat [ 10 22 0 0], v0x55a33c666dc0_0, L_0x7f6164a75408; +L_0x55a33c6791d0 .cmp/gt 32, L_0x7f6164a75450, L_0x55a33c6790e0; + .scope S_0x55a33c663e40; +T_0 ; + %wait E_0x55a33c612680; + %load/vec4 v0x55a33c666cd0_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_0.0, 8; + %pushi/vec4 0, 0, 10; + %assign/vec4 v0x55a33c666b70_0, 0; + %pushi/vec4 0, 0, 10; + %assign/vec4 v0x55a33c666dc0_0, 0; + %jmp T_0.1; +T_0.0 ; + %load/vec4 v0x55a33c666b70_0; + %addi 1, 0, 10; + %assign/vec4 v0x55a33c666b70_0, 0; + %load/vec4 v0x55a33c666b70_0; + %pad/u 32; + %cmpi/e 799, 0, 32; + %jmp/0xz T_0.2, 4; + %pushi/vec4 0, 0, 10; + %assign/vec4 v0x55a33c666b70_0, 0; + %load/vec4 v0x55a33c666dc0_0; + %addi 1, 0, 10; + %assign/vec4 v0x55a33c666dc0_0, 0; +T_0.2 ; + %load/vec4 v0x55a33c666dc0_0; + %pad/u 32; + %cmpi/e 524, 0, 32; + %jmp/0xz T_0.4, 4; + %pushi/vec4 0, 0, 10; + %assign/vec4 v0x55a33c666dc0_0, 0; +T_0.4 ; +T_0.1 ; + %jmp T_0; + .thread T_0; + .scope S_0x55a33c62ae80; +T_1 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x55a33c6613c0_0, 0, 32; +T_1.0 ; + %load/vec4 v0x55a33c6613c0_0; + %cmpi/s 16, 0, 32; + %jmp/0xz T_1.1, 5; + %pushi/vec4 10, 0, 16; + %ix/getv/s 4, v0x55a33c6613c0_0; + %store/vec4a v0x55a33c6614f0, 4, 0; + %load/vec4 v0x55a33c6613c0_0; + %addi 1, 0, 32; + %store/vec4 v0x55a33c6613c0_0, 0, 32; + %jmp T_1.0; +T_1.1 ; + %end; + .thread T_1; + .scope S_0x55a33c62ae80; +T_2 ; + %wait E_0x55a33c612680; + %load/vec4 v0x55a33c6615b0_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_2.0, 8; + %pushi/vec4 0, 0, 16; + %assign/vec4 v0x55a33c6612e0_0, 0; + %jmp T_2.1; +T_2.0 ; + %load/vec4 v0x55a33c661750_0; + %flag_set/vec4 8; + %jmp/0xz T_2.2, 8; + %load/vec4 v0x55a33c661220_0; + %load/vec4 v0x55a33c6405b0_0; + %parti/s 4, 0, 2; + %pad/u 6; + %ix/vec4 3; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0x55a33c6614f0, 0, 4; +T_2.2 ; + %load/vec4 v0x55a33c6405b0_0; + %parti/s 4, 0, 2; + %pad/u 6; + %ix/vec4 4; + %load/vec4a v0x55a33c6614f0, 4; + %assign/vec4 v0x55a33c6612e0_0, 0; +T_2.1 ; + %jmp T_2; + .thread T_2; + .scope S_0x55a33c6340b0; +T_3 ; + %wait E_0x55a33c612ef0; + %load/vec4 v0x55a33c662cd0_0; + %dup/vec4; + %pushi/vec4 0, 0, 4; + %cmp/u; + %jmp/1 T_3.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 4; + %cmp/u; + %jmp/1 T_3.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 4; + %cmp/u; + %jmp/1 T_3.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 4; + %cmp/u; + %jmp/1 T_3.3, 6; + %dup/vec4; + %pushi/vec4 4, 0, 4; + %cmp/u; + %jmp/1 T_3.4, 6; + %dup/vec4; + %pushi/vec4 5, 0, 4; + %cmp/u; + %jmp/1 T_3.5, 6; + %dup/vec4; + %pushi/vec4 6, 0, 4; + %cmp/u; + %jmp/1 T_3.6, 6; + %dup/vec4; + %pushi/vec4 7, 0, 4; + %cmp/u; + %jmp/1 T_3.7, 6; + %dup/vec4; + %pushi/vec4 8, 0, 4; + %cmp/u; + %jmp/1 T_3.8, 6; + %dup/vec4; + %pushi/vec4 9, 0, 4; + %cmp/u; + %jmp/1 T_3.9, 6; + %dup/vec4; + %pushi/vec4 10, 0, 4; + %cmp/u; + %jmp/1 T_3.10, 6; + %dup/vec4; + %pushi/vec4 11, 0, 4; + %cmp/u; + %jmp/1 T_3.11, 6; + %dup/vec4; + %pushi/vec4 12, 0, 4; + %cmp/u; + %jmp/1 T_3.12, 6; + %dup/vec4; + %pushi/vec4 13, 0, 4; + %cmp/u; + %jmp/1 T_3.13, 6; + %dup/vec4; + %pushi/vec4 14, 0, 4; + %cmp/u; + %jmp/1 T_3.14, 6; + %dup/vec4; + %pushi/vec4 15, 0, 4; + %cmp/u; + %jmp/1 T_3.15, 6; + %pushi/vec4 192, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.0 ; + %pushi/vec4 3840, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.1 ; + %pushi/vec4 240, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.2 ; + %pushi/vec4 15, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.3 ; + %pushi/vec4 4095, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.4 ; + %pushi/vec4 0, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.5 ; + %pushi/vec4 2184, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.6 ; + %pushi/vec4 3855, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.7 ; + %pushi/vec4 4080, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.8 ; + %pushi/vec4 255, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.9 ; + %pushi/vec4 3276, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.10 ; + %pushi/vec4 2048, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.11 ; + %pushi/vec4 2176, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.12 ; + %pushi/vec4 128, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.13 ; + %pushi/vec4 136, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.14 ; + %pushi/vec4 8, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.15 ; + %pushi/vec4 1799, 0, 12; + %store/vec4 v0x55a33c662f30_0, 0, 12; + %jmp T_3.17; +T_3.17 ; + %pop/vec4 1; + %jmp T_3; + .thread T_3, $push; + .scope S_0x55a33c6340b0; +T_4 ; + %wait E_0x55a33c612680; + %load/vec4 v0x55a33c663770_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_4.0, 8; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0x55a33c663cc0_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v0x55a33c662db0_0, 0; + %pushi/vec4 0, 0, 3; + %assign/vec4 v0x55a33c663810_0, 0; + %pushi/vec4 0, 0, 17; + %assign/vec4 v0x55a33c662b10_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v0x55a33c662ff0_0, 0; + %pushi/vec4 0, 0, 11; + %assign/vec4 v0x55a33c6634d0_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v0x55a33c662db0_0, 0; + %pushi/vec4 0, 0, 3; + %assign/vec4 v0x55a33c6638d0_0, 0; + %jmp T_4.1; +T_4.0 ; + %load/vec4 v0x55a33c663810_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_4.2, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_4.3, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_4.4, 6; + %dup/vec4; + %pushi/vec4 3, 0, 3; + %cmp/u; + %jmp/1 T_4.5, 6; + %pushi/vec4 1, 0, 3; + %assign/vec4 v0x55a33c663810_0, 0; + %jmp T_4.7; +T_4.2 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0x55a33c663cc0_0, 0; + %pushi/vec4 1, 0, 3; + %assign/vec4 v0x55a33c663810_0, 0; + %jmp T_4.7; +T_4.3 ; + %load/vec4 v0x55a33c662b10_0; + %pad/u 32; + %cmpi/e 31, 0, 32; + %jmp/0xz T_4.8, 4; + %pushi/vec4 0, 0, 1; + %assign/vec4 v0x55a33c663cc0_0, 0; + %load/vec4 v0x55a33c6633f0_0; + %assign/vec4 v0x55a33c662b10_0, 0; + %pushi/vec4 2, 0, 3; + %assign/vec4 v0x55a33c663810_0, 0; + %jmp T_4.9; +T_4.8 ; + %load/vec4 v0x55a33c662b10_0; + %addi 1, 0, 17; + %assign/vec4 v0x55a33c662b10_0, 0; + %load/vec4 v0x55a33c662db0_0; + %addi 1, 0, 4; + %replicate 4; + %assign/vec4 v0x55a33c662ff0_0, 0; + %load/vec4 v0x55a33c662db0_0; + %addi 1, 0, 4; + %assign/vec4 v0x55a33c662db0_0, 0; +T_4.9 ; + %jmp T_4.7; +T_4.4 ; + %load/vec4 v0x55a33c6634d0_0; + %pad/u 32; + %cmpi/e 8, 0, 32; + %jmp/0xz T_4.10, 4; + %pushi/vec4 3, 0, 3; + %assign/vec4 v0x55a33c663810_0, 0; + %jmp T_4.11; +T_4.10 ; + %load/vec4 v0x55a33c6634d0_0; + %addi 1, 0, 11; + %assign/vec4 v0x55a33c6634d0_0, 0; +T_4.11 ; + %jmp T_4.7; +T_4.5 ; + %load/vec4 v0x55a33c6633f0_0; + %assign/vec4 v0x55a33c662b10_0, 0; + %jmp T_4.7; +T_4.7 ; + %pop/vec4 1; +T_4.1 ; + %jmp T_4; + .thread T_4; + .scope S_0x55a33c631fe0; +T_5 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x55a33c6670e0_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x55a33c667740_0, 0, 1; + %delay 5, 0; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x55a33c667740_0, 0, 1; + %delay 5, 0; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x55a33c667740_0, 0, 1; + %end; + .thread T_5; + .scope S_0x55a33c631fe0; +T_6 ; + %wait E_0x55a33c6121f0; + %delay 1, 0; + %load/vec4 v0x55a33c6670e0_0; + %inv; + %assign/vec4 v0x55a33c6670e0_0, 0; + %jmp T_6; + .thread T_6, $push; + .scope S_0x55a33c631fe0; +T_7 ; + %vpi_call 2 76 "$dumpfile", "testbench.vcd" {0 0 0}; + %vpi_call 2 77 "$dumpvars" {0 0 0}; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x55a33c6675d0_0, 0, 32; +T_7.0 ; + %load/vec4 v0x55a33c6675d0_0; + %cmpi/s 16, 0, 32; + %jmp/0xz T_7.1, 5; + %vpi_call 2 80 "$dumpvars", 32'sb00000000000000000000000000000000, &A {0 0 0}; + %load/vec4 v0x55a33c6675d0_0; + %addi 1, 0, 32; + %store/vec4 v0x55a33c6675d0_0, 0, 32; + %jmp T_7.0; +T_7.1 ; + %delay 10000, 0; + %vpi_call 2 84 "$finish" {0 0 0}; + %end; + .thread T_7; +# The file index is used to find the file name in the following table. +:file_names 6; + "N/A"; + ""; + "testbench.v"; + "spram_io.v"; + "spram_sim.v"; + "vga_640x480.v"; diff --git a/ice40up5k/spram_test/testbench.v b/ice40up5k/spram_test/testbench.v new file mode 100644 index 0000000..ea5adb4 --- /dev/null +++ b/ice40up5k/spram_test/testbench.v @@ -0,0 +1,88 @@ +/* + + Simulation: + + iverilog -o tb.out -s tb testbench.v simple_uart.v + vvp tb.out + Then open with GTKWave +*/ + +// Never forget this! +`default_nettype none + +module tb (); + + reg clk; + reg resetn; + wire w_resetn = resetn; + + + initial begin + + // initialise values + clk = 1'b0; + + // reset + resetn = 1'b1; + #5 + resetn = 1'b0; + #5 + resetn = 1'b1; + end + + wire [9:0] hpos; + wire [9:0] vpos; + wire hsync; + wire vsync; + + wire display_valid; + + // instantiate VGA module + vga_640x480 vga ( + .clk_25mhz(clk), + .resetn(resetn), + .hpos(hpos), + .vpos(vpos), + .hsync(hsync), + .vsync(vsync), + .display_valid(display_valid) + ); + + wire data_ready; + wire [3:0] r1; + wire [3:0] g1; + wire [3:0] b1; + spram_io sprio( + .clk(clk), + .resetn(resetn), + .hpos(hpos), + .vpos(vpos), + .r(r1), + .g(g1), + .b(b1), + .data_ready(data_ready) // high when ram is ready to be read + ); + + + // generate clk + always @ ( * ) begin + #1 + clk <= ~clk; + end + + integer jj; + + initial begin + $dumpfile("testbench.vcd"); + $dumpvars; + + for( jj = 0; jj < 16; jj = jj + 1) begin + $dumpvars(0, sprio.spr.mem[jj]); + end + + #10000 + $finish; + end + + +endmodule diff --git a/ice40up5k/spram_test/testbench.vcd b/ice40up5k/spram_test/testbench.vcd new file mode 100644 index 0000000..1686e5b --- /dev/null +++ b/ice40up5k/spram_test/testbench.vcd @@ -0,0 +1,57044 @@ +$date + Sat Jun 13 21:56:28 2020 +$end +$version + Icarus Verilog +$end +$timescale + 1s +$end +$scope module tb $end +$var wire 1 ! w_resetn $end +$var wire 1 " vsync $end +$var wire 10 # vpos [9:0] $end +$var wire 4 $ r1 [3:0] $end +$var wire 1 % hsync $end +$var wire 10 & hpos [9:0] $end +$var wire 4 ' g1 [3:0] $end +$var wire 1 ( display_valid $end +$var wire 1 ) data_ready $end +$var wire 4 * b1 [3:0] $end +$var reg 1 + clk $end +$var reg 1 , resetn $end +$var integer 32 - jj [31:0] $end +$scope module sprio $end +$var wire 1 + clk $end +$var wire 1 , resetn $end +$var wire 17 . w_addr [16:0] $end +$var wire 16 / w_data_in [15:0] $end +$var wire 1 0 w_wren $end +$var wire 10 1 vpos [9:0] $end +$var wire 4 2 r [3:0] $end +$var wire 17 3 pixel_index [16:0] $end +$var wire 17 4 index [16:0] $end +$var wire 10 5 hpos [9:0] $end +$var wire 4 6 g [3:0] $end +$var wire 1 ) data_ready $end +$var wire 16 7 data_out [15:0] $end +$var wire 4 8 cin [3:0] $end +$var wire 4 9 b [3:0] $end +$var reg 17 : addr [16:0] $end +$var reg 4 ; cindex [3:0] $end +$var reg 12 < cout [11:0] $end +$var reg 16 = data_in [15:0] $end +$var reg 11 > nwait [10:0] $end +$var reg 3 ? state [2:0] $end +$var reg 3 @ tmp [2:0] $end +$var reg 1 A wren $end +$scope module spr $end +$var wire 17 B addr [16:0] $end +$var wire 1 + clk $end +$var wire 16 C data_in [15:0] $end +$var wire 1 , resetn $end +$var wire 16 D w_data_out [15:0] $end +$var wire 1 0 wren $end +$var reg 16 E data_out [15:0] $end +$var integer 32 F ii [31:0] $end +$upscope $end +$upscope $end +$scope module vga $end +$var wire 1 + clk_25mhz $end +$var wire 1 ( display_valid $end +$var wire 1 % hsync $end +$var wire 1 , resetn $end +$var wire 1 " vsync $end +$var reg 10 G hpos [9:0] $end +$var reg 10 H vpos [9:0] $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 I \mem[0] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 J \mem[1] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 K \mem[2] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 L \mem[3] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 M \mem[4] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 N \mem[5] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 O \mem[6] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 P \mem[7] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 Q \mem[8] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 R \mem[9] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 S \mem[10] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 T \mem[11] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 U \mem[12] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 V \mem[13] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 W \mem[14] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$scope module tb $end +$scope module sprio $end +$scope module spr $end +$var reg 16 X \mem[15] [15:0] $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +b1010 X +b1010 W +b1010 V +b1010 U +b1010 T +b1010 S +b1010 R +b1010 Q +b1010 P +b1010 O +b1010 N +b1010 M +b1010 L +b1010 K +b1010 J +b1010 I +bx H +bx G +b10000 F +bx E +bx D +bx C +bx B +xA +bx @ +bx ? +bx > +bx = +bx < +bx ; +bx : +bx 9 +bx 8 +bx 7 +bx 6 +bx 5 +b0xxxxxxxxxxxxxxx 4 +bx 3 +bx 2 +bx 1 +x0 +bx / +bx . +b10000 - +1, +0+ +bx * +x) +x( +bx ' +bx & +x% +bx $ +bx # +x" +1! +$end +#1 +0) +b1 ? +1+ +#2 +0+ +#3 +1+ +#4 +0+ +#5 +b1111 $ +b1111 2 +b0 ' +b0 6 +b0 * +b0 9 +1" +b111100000000 < +1% +1( +b0 4 +b0 8 +b0 3 +b0 # +b0 1 +b0 H +b0 & +b0 5 +b0 G +b0 7 +b0 D +b0 E +b0 @ +b0 > +b0 / +b0 C +b0 = +b0 . +b0 B +b0 : +b0 ? +b0 ; +10 +1A +1+ +0! +0, +#6 +0+ +#7 +1+ +#8 +0+ +#9 +1+ +#10 +0+ +1! +1, +#11 +b1 ? +b0 8 +b1010 7 +b1010 D +b1010 E +b0 I +b1 3 +b1 & +b1 5 +b1 G +1+ +#12 +0+ +#13 +b10 3 +b10 & +b10 5 +b10 G +b0 7 +b0 D +b0 E +b0 I +b1 ; +b1000100010001 / +b1000100010001 C +b1000100010001 = +b1 . +b1 B +b1 : +1+ +#14 +0+ +#15 +b10 ; +b10001000100010 / +b10001000100010 C +b10001000100010 = +b10 . +b10 B +b10 : +b1010 7 +b1010 D +b1010 E +b1000100010001 J +b11 3 +b11 & +b11 5 +b11 G +1+ +#16 +0+ +#17 +b1000 $ +b1000 2 +b100000000000 < +b1 4 +b1010 8 +b100 3 +b100 & +b100 5 +b100 G +b10001000100010 K +b11 ; +b11001100110011 / +b11001100110011 C +b11001100110011 = +b11 . +b11 B +b11 : +1+ +#18 +0+ +#19 +b1111 $ +b1111 2 +b111100000000 < +b0 8 +b100 ; +b100010001000100 / +b100010001000100 C +b100010001000100 = +b100 . +b100 B +b100 : +b11001100110011 L +b101 3 +b101 & +b101 5 +b101 G +1+ +#20 +0+ +#21 +b110 3 +b110 & +b110 5 +b110 G +b100010001000100 M +b101 ; +b101010101010101 / +b101010101010101 C +b101010101010101 = +b101 . +b101 B +b101 : +1+ +#22 +0+ +#23 +b110 ; +b110011001100110 / +b110011001100110 C +b110011001100110 = +b110 . +b110 B +b110 : +b101010101010101 N +b111 3 +b111 & +b111 5 +b111 G +1+ +#24 +0+ +#25 +b1000 $ +b1000 2 +b100000000000 < +b10 4 +b1010 8 +b1000 3 +b1000 & +b1000 5 +b1000 G +b110011001100110 O +b111 ; +b111011101110111 / +b111011101110111 C +b111011101110111 = +b111 . +b111 B +b111 : +1+ +#26 +0+ +#27 +b1111 $ +b1111 2 +b111100000000 < +b0 8 +b1000 ; +b1000100010001000 / +b1000100010001000 C +b1000100010001000 = +b1000 . +b1000 B +b1000 : +b111011101110111 P +b1001 3 +b1001 & +b1001 5 +b1001 G +1+ +#28 +0+ +#29 +b1010 3 +b1010 & +b1010 5 +b1010 G +b1000100010001000 Q +b1001 ; +b1001100110011001 / +b1001100110011001 C +b1001100110011001 = +b1001 . +b1001 B +b1001 : +1+ +#30 +0+ +#31 +b1010 ; +b1010101010101010 / +b1010101010101010 C +b1010101010101010 = +b1010 . +b1010 B +b1010 : +b1001100110011001 R +b1011 3 +b1011 & +b1011 5 +b1011 G +1+ +#32 +0+ +#33 +b1000 $ +b1000 2 +b100000000000 < +b11 4 +b1010 8 +b1100 3 +b1100 & +b1100 5 +b1100 G +b1010101010101010 S +b1011 ; +b1011101110111011 / +b1011101110111011 C +b1011101110111011 = +b1011 . +b1011 B +b1011 : +1+ +#34 +0+ +#35 +b1111 $ +b1111 2 +b111100000000 < +b0 8 +b1100 ; +b1100110011001100 / +b1100110011001100 C +b1100110011001100 = +b1100 . +b1100 B +b1100 : +b1011101110111011 T +b1101 3 +b1101 & +b1101 5 +b1101 G +1+ +#36 +0+ +#37 +b1110 3 +b1110 & +b1110 5 +b1110 G +b1100110011001100 U +b1101 ; +b1101110111011101 / +b1101110111011101 C +b1101110111011101 = +b1101 . +b1101 B +b1101 : +1+ +#38 +0+ +#39 +b1110 ; +b1110111011101110 / +b1110111011101110 C +b1110111011101110 = +b1110 . +b1110 B +b1110 : +b1101110111011101 V +b1111 3 +b1111 & +b1111 5 +b1111 G +1+ +#40 +0+ +#41 +b1000 $ +b1000 2 +b100000000000 < +b100 4 +b1010 8 +b10000 3 +b10000 & +b10000 5 +b10000 G +b1110111011101110 W +b1111 ; +b1111111111111111 / +b1111111111111111 C +b1111111111111111 = +b1111 . +b1111 B +b1111 : +1+ +#42 +0+ +#43 +b1111 $ +b1111 2 +b111100000000 < +b0 8 +b0 ; +b0 / +b0 C +b0 = +b10000 . +b10000 B +b10000 : +b1111111111111111 X +b10001 3 +b10001 & +b10001 5 +b10001 G +1+ +#44 +0+ +#45 +b10010 3 +b10010 & +b10010 5 +b10010 G +b0 7 +b0 D +b0 E +b0 I +b1 ; +b1000100010001 / +b1000100010001 C +b1000100010001 = +b10001 . +b10001 B +b10001 : +1+ +#46 +0+ +#47 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10 ; +b10001000100010 / +b10001000100010 C +b10001000100010 = +b10010 . +b10010 B +b10010 : +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +b1000100010001 J +b10011 3 +b10011 & +b10011 5 +b10011 G +1+ +#48 +0+ +#49 +b0 ' +b0 6 +b1111 * +b1111 9 +b101 4 +b1111 < +b10100 3 +b10100 & +b10100 5 +b10100 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +b10001000100010 K +b11 ; +b11001100110011 / +b11001100110011 C +b11001100110011 = +b10011 . +b10011 B +b10011 : +1+ +#50 +0+ +#51 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100 ; +b100010001000100 / +b100010001000100 C +b100010001000100 = +b10100 . +b10100 B +b10100 : +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +b11001100110011 L +b10101 3 +b10101 & +b10101 5 +b10101 G +1+ +#52 +0+ +#53 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10110 3 +b10110 & +b10110 5 +b10110 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +b100010001000100 M +b101 ; +b101010101010101 / +b101010101010101 C +b101010101010101 = +b10101 . +b10101 B +b10101 : +1+ +#54 +0+ +#55 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b110 ; +b110011001100110 / +b110011001100110 C +b110011001100110 = +b10110 . +b10110 B +b10110 : +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +b101010101010101 N +b10111 3 +b10111 & +b10111 5 +b10111 G +1+ +#56 +0+ +#57 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b110 4 +b111100001111 < +b11000 3 +b11000 & +b11000 5 +b11000 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +b110011001100110 O +b111 ; +b111011101110111 / +b111011101110111 C +b111011101110111 = +b10111 . +b10111 B +b10111 : +1+ +#58 +0+ +#59 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1000 ; +b1000100010001000 / +b1000100010001000 C +b1000100010001000 = +b11000 . +b11000 B +b11000 : +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +b111011101110111 P +b11001 3 +b11001 & +b11001 5 +b11001 G +1+ +#60 +0+ +#61 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11010 3 +b11010 & +b11010 5 +b11010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +b1000100010001000 Q +b1001 ; +b1001100110011001 / +b1001100110011001 C +b1001100110011001 = +b11001 . +b11001 B +b11001 : +1+ +#62 +0+ +#63 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1010 ; +b1010101010101010 / +b1010101010101010 C +b1010101010101010 = +b11010 . +b11010 B +b11010 : +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +b1001100110011001 R +b11011 3 +b11011 & +b11011 5 +b11011 G +1+ +#64 +0+ +#65 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b111 4 +b100000000000 < +b11100 3 +b11100 & +b11100 5 +b11100 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +b1010101010101010 S +b1011 ; +b1011101110111011 / +b1011101110111011 C +b1011101110111011 = +b11011 . +b11011 B +b11011 : +1+ +#66 +0+ +#67 +b1000 ' +b1000 6 +b100010000000 < +b1100 ; +b1100110011001100 / +b1100110011001100 C +b1100110011001100 = +b11100 . +b11100 B +b11100 : +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +b1011101110111011 T +b11101 3 +b11101 & +b11101 5 +b11101 G +1+ +#68 +0+ +#69 +b0 $ +b0 2 +b10000000 < +b11110 3 +b11110 & +b11110 5 +b11110 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +b1100110011001100 U +b1101 ; +b1101110111011101 / +b1101110111011101 C +b1101110111011101 = +b11101 . +b11101 B +b11101 : +1+ +#70 +0+ +#71 +b1000 * +b1000 9 +b10001000 < +b1110 ; +b1110111011101110 / +b1110111011101110 C +b1110111011101110 = +b11110 . +b11110 B +b11110 : +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +b1101110111011101 V +b11111 3 +b11111 & +b11111 5 +b11111 G +1+ +#72 +0+ +#73 +b0 ' +b0 6 +b1000 4 +b1000 < +b100000 3 +b100000 & +b100000 5 +b100000 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +b1110111011101110 W +b1111 ; +b1111111111111111 / +b1111111111111111 C +b1111111111111111 = +b11111 . +b11111 B +b11111 : +1+ +#74 +0+ +#75 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10 ? +b1000 . +b1000 B +b1000 : +00 +0A +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +b1111111111111111 X +b100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#76 +0+ +#77 +b0 $ +b0 2 +b1111 ' +b1111 6 +b1111 * +b1111 9 +b11111111 < +b100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +b1 > +1+ +#78 +0+ +#79 +b10 > +b100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#80 +0+ +#81 +b1001 4 +b100100 3 +b100100 & +b100100 5 +b100100 G +b11 > +1+ +#82 +0+ +#83 +b100 > +b100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#84 +0+ +#85 +b100110 3 +b100110 & +b100110 5 +b100110 G +b101 > +1+ +#86 +0+ +#87 +b110 > +b100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#88 +0+ +#89 +b1010 4 +b101000 3 +b101000 & +b101000 5 +b101000 G +b111 > +1+ +#90 +0+ +#91 +b1000 > +b101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#92 +0+ +#93 +1) +b101010 3 +b101010 & +b101010 5 +b101010 G +b11 ? +1+ +#94 +0+ +#95 +b1010 . +b1010 B +b1010 : +b101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#96 +0+ +#97 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b1011 4 +b100000000000 < +b101100 3 +b101100 & +b101100 5 +b101100 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#98 +0+ +#99 +b1011 . +b1011 B +b1011 : +b101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#100 +0+ +#101 +b1000 ' +b1000 6 +b100010000000 < +b101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#102 +0+ +#103 +b101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#104 +0+ +#105 +b1100 4 +b110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#106 +0+ +#107 +b1100 . +b1100 B +b1100 : +b110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#108 +0+ +#109 +b0 $ +b0 2 +b10000000 < +b110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#110 +0+ +#111 +b110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#112 +0+ +#113 +b1101 4 +b110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#114 +0+ +#115 +b1101 . +b1101 B +b1101 : +b110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#116 +0+ +#117 +b1000 * +b1000 9 +b10001000 < +b110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#118 +0+ +#119 +b110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#120 +0+ +#121 +b1110 4 +b111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#122 +0+ +#123 +b1110 . +b1110 B +b1110 : +b111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#124 +0+ +#125 +b0 ' +b0 6 +b1000 < +b111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#126 +0+ +#127 +b111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#128 +0+ +#129 +b1111 4 +b111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#130 +0+ +#131 +b1111 . +b1111 B +b1111 : +b111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#132 +0+ +#133 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#134 +0+ +#135 +b111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#136 +0+ +#137 +b10000 4 +b1000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#138 +0+ +#139 +b10000 . +b10000 B +b10000 : +b1000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#140 +0+ +#141 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#142 +0+ +#143 +b1000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#144 +0+ +#145 +b10001 4 +b1000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#146 +0+ +#147 +b10001 . +b10001 B +b10001 : +b1000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#148 +0+ +#149 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#150 +0+ +#151 +b1000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#152 +0+ +#153 +b10010 4 +b1001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#154 +0+ +#155 +b10010 . +b10010 B +b10010 : +b1001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#156 +0+ +#157 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#158 +0+ +#159 +b1001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#160 +0+ +#161 +b10011 4 +b1001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#162 +0+ +#163 +b10011 . +b10011 B +b10011 : +b1001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#164 +0+ +#165 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#166 +0+ +#167 +b1001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#168 +0+ +#169 +b10100 4 +b1010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#170 +0+ +#171 +b10100 . +b10100 B +b10100 : +b1010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#172 +0+ +#173 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#174 +0+ +#175 +b1010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#176 +0+ +#177 +b10101 4 +b1010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#178 +0+ +#179 +b10101 . +b10101 B +b10101 : +b1010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#180 +0+ +#181 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#182 +0+ +#183 +b1010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#184 +0+ +#185 +b10110 4 +b1011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#186 +0+ +#187 +b10110 . +b10110 B +b10110 : +b1011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#188 +0+ +#189 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#190 +0+ +#191 +b1011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#192 +0+ +#193 +b10111 4 +b1011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#194 +0+ +#195 +b10111 . +b10111 B +b10111 : +b1011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#196 +0+ +#197 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#198 +0+ +#199 +b1011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#200 +0+ +#201 +b11000 4 +b1100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#202 +0+ +#203 +b11000 . +b11000 B +b11000 : +b1100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#204 +0+ +#205 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#206 +0+ +#207 +b1100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#208 +0+ +#209 +b11001 4 +b1100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#210 +0+ +#211 +b11001 . +b11001 B +b11001 : +b1100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#212 +0+ +#213 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#214 +0+ +#215 +b1100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#216 +0+ +#217 +b11010 4 +b1101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#218 +0+ +#219 +b11010 . +b11010 B +b11010 : +b1101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#220 +0+ +#221 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#222 +0+ +#223 +b1101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#224 +0+ +#225 +b11011 4 +b1101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#226 +0+ +#227 +b11011 . +b11011 B +b11011 : +b1101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#228 +0+ +#229 +b1000 ' +b1000 6 +b100010000000 < +b1101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#230 +0+ +#231 +b1101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#232 +0+ +#233 +b11100 4 +b1110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#234 +0+ +#235 +b11100 . +b11100 B +b11100 : +b1110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#236 +0+ +#237 +b0 $ +b0 2 +b10000000 < +b1110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#238 +0+ +#239 +b1110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#240 +0+ +#241 +b11101 4 +b1110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#242 +0+ +#243 +b11101 . +b11101 B +b11101 : +b1110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#244 +0+ +#245 +b1000 * +b1000 9 +b10001000 < +b1110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#246 +0+ +#247 +b1110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#248 +0+ +#249 +b11110 4 +b1111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#250 +0+ +#251 +b11110 . +b11110 B +b11110 : +b1111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#252 +0+ +#253 +b0 ' +b0 6 +b1000 < +b1111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#254 +0+ +#255 +b1111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#256 +0+ +#257 +b11111 4 +b1111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#258 +0+ +#259 +b11111 . +b11111 B +b11111 : +b1111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#260 +0+ +#261 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#262 +0+ +#263 +b1111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#264 +0+ +#265 +b100000 4 +b10000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#266 +0+ +#267 +b100000 . +b100000 B +b100000 : +b10000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#268 +0+ +#269 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#270 +0+ +#271 +b10000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#272 +0+ +#273 +b100001 4 +b10000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#274 +0+ +#275 +b100001 . +b100001 B +b100001 : +b10000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#276 +0+ +#277 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#278 +0+ +#279 +b10000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#280 +0+ +#281 +b100010 4 +b10001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#282 +0+ +#283 +b100010 . +b100010 B +b100010 : +b10001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#284 +0+ +#285 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#286 +0+ +#287 +b10001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#288 +0+ +#289 +b100011 4 +b10001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#290 +0+ +#291 +b100011 . +b100011 B +b100011 : +b10001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#292 +0+ +#293 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#294 +0+ +#295 +b10001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#296 +0+ +#297 +b100100 4 +b10010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#298 +0+ +#299 +b100100 . +b100100 B +b100100 : +b10010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#300 +0+ +#301 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#302 +0+ +#303 +b10010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#304 +0+ +#305 +b100101 4 +b10010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#306 +0+ +#307 +b100101 . +b100101 B +b100101 : +b10010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#308 +0+ +#309 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#310 +0+ +#311 +b10010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#312 +0+ +#313 +b100110 4 +b10011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#314 +0+ +#315 +b100110 . +b100110 B +b100110 : +b10011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#316 +0+ +#317 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#318 +0+ +#319 +b10011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#320 +0+ +#321 +b100111 4 +b10011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#322 +0+ +#323 +b100111 . +b100111 B +b100111 : +b10011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#324 +0+ +#325 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#326 +0+ +#327 +b10011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#328 +0+ +#329 +b101000 4 +b10100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#330 +0+ +#331 +b101000 . +b101000 B +b101000 : +b10100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#332 +0+ +#333 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#334 +0+ +#335 +b10100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#336 +0+ +#337 +b101001 4 +b10100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#338 +0+ +#339 +b101001 . +b101001 B +b101001 : +b10100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#340 +0+ +#341 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#342 +0+ +#343 +b10100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#344 +0+ +#345 +b101010 4 +b10101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#346 +0+ +#347 +b101010 . +b101010 B +b101010 : +b10101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#348 +0+ +#349 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#350 +0+ +#351 +b10101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#352 +0+ +#353 +b101011 4 +b10101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#354 +0+ +#355 +b101011 . +b101011 B +b101011 : +b10101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#356 +0+ +#357 +b1000 ' +b1000 6 +b100010000000 < +b10101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#358 +0+ +#359 +b10101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#360 +0+ +#361 +b101100 4 +b10110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#362 +0+ +#363 +b101100 . +b101100 B +b101100 : +b10110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#364 +0+ +#365 +b0 $ +b0 2 +b10000000 < +b10110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#366 +0+ +#367 +b10110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#368 +0+ +#369 +b101101 4 +b10110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#370 +0+ +#371 +b101101 . +b101101 B +b101101 : +b10110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#372 +0+ +#373 +b1000 * +b1000 9 +b10001000 < +b10110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#374 +0+ +#375 +b10110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#376 +0+ +#377 +b101110 4 +b10111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#378 +0+ +#379 +b101110 . +b101110 B +b101110 : +b10111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#380 +0+ +#381 +b0 ' +b0 6 +b1000 < +b10111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#382 +0+ +#383 +b10111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#384 +0+ +#385 +b101111 4 +b10111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#386 +0+ +#387 +b101111 . +b101111 B +b101111 : +b10111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#388 +0+ +#389 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#390 +0+ +#391 +b10111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#392 +0+ +#393 +b110000 4 +b11000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#394 +0+ +#395 +b110000 . +b110000 B +b110000 : +b11000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#396 +0+ +#397 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#398 +0+ +#399 +b11000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#400 +0+ +#401 +b110001 4 +b11000100 3 +b11000100 & +b11000100 5 +b11000100 G +1+ +#402 +0+ +#403 +b110001 . +b110001 B +b110001 : +b11000101 3 +b11000101 & +b11000101 5 +b11000101 G +1+ +#404 +0+ +#405 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11000110 3 +b11000110 & +b11000110 5 +b11000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#406 +0+ +#407 +b11000111 3 +b11000111 & +b11000111 5 +b11000111 G +1+ +#408 +0+ +#409 +b110010 4 +b11001000 3 +b11001000 & +b11001000 5 +b11001000 G +1+ +#410 +0+ +#411 +b110010 . +b110010 B +b110010 : +b11001001 3 +b11001001 & +b11001001 5 +b11001001 G +1+ +#412 +0+ +#413 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11001010 3 +b11001010 & +b11001010 5 +b11001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#414 +0+ +#415 +b11001011 3 +b11001011 & +b11001011 5 +b11001011 G +1+ +#416 +0+ +#417 +b110011 4 +b11001100 3 +b11001100 & +b11001100 5 +b11001100 G +1+ +#418 +0+ +#419 +b110011 . +b110011 B +b110011 : +b11001101 3 +b11001101 & +b11001101 5 +b11001101 G +1+ +#420 +0+ +#421 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11001110 3 +b11001110 & +b11001110 5 +b11001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#422 +0+ +#423 +b11001111 3 +b11001111 & +b11001111 5 +b11001111 G +1+ +#424 +0+ +#425 +b110100 4 +b11010000 3 +b11010000 & +b11010000 5 +b11010000 G +1+ +#426 +0+ +#427 +b110100 . +b110100 B +b110100 : +b11010001 3 +b11010001 & +b11010001 5 +b11010001 G +1+ +#428 +0+ +#429 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11010010 3 +b11010010 & +b11010010 5 +b11010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#430 +0+ +#431 +b11010011 3 +b11010011 & +b11010011 5 +b11010011 G +1+ +#432 +0+ +#433 +b110101 4 +b11010100 3 +b11010100 & +b11010100 5 +b11010100 G +1+ +#434 +0+ +#435 +b110101 . +b110101 B +b110101 : +b11010101 3 +b11010101 & +b11010101 5 +b11010101 G +1+ +#436 +0+ +#437 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11010110 3 +b11010110 & +b11010110 5 +b11010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#438 +0+ +#439 +b11010111 3 +b11010111 & +b11010111 5 +b11010111 G +1+ +#440 +0+ +#441 +b110110 4 +b11011000 3 +b11011000 & +b11011000 5 +b11011000 G +1+ +#442 +0+ +#443 +b110110 . +b110110 B +b110110 : +b11011001 3 +b11011001 & +b11011001 5 +b11011001 G +1+ +#444 +0+ +#445 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11011010 3 +b11011010 & +b11011010 5 +b11011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#446 +0+ +#447 +b11011011 3 +b11011011 & +b11011011 5 +b11011011 G +1+ +#448 +0+ +#449 +b110111 4 +b11011100 3 +b11011100 & +b11011100 5 +b11011100 G +1+ +#450 +0+ +#451 +b110111 . +b110111 B +b110111 : +b11011101 3 +b11011101 & +b11011101 5 +b11011101 G +1+ +#452 +0+ +#453 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11011110 3 +b11011110 & +b11011110 5 +b11011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#454 +0+ +#455 +b11011111 3 +b11011111 & +b11011111 5 +b11011111 G +1+ +#456 +0+ +#457 +b111000 4 +b11100000 3 +b11100000 & +b11100000 5 +b11100000 G +1+ +#458 +0+ +#459 +b111000 . +b111000 B +b111000 : +b11100001 3 +b11100001 & +b11100001 5 +b11100001 G +1+ +#460 +0+ +#461 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11100010 3 +b11100010 & +b11100010 5 +b11100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#462 +0+ +#463 +b11100011 3 +b11100011 & +b11100011 5 +b11100011 G +1+ +#464 +0+ +#465 +b111001 4 +b11100100 3 +b11100100 & +b11100100 5 +b11100100 G +1+ +#466 +0+ +#467 +b111001 . +b111001 B +b111001 : +b11100101 3 +b11100101 & +b11100101 5 +b11100101 G +1+ +#468 +0+ +#469 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11100110 3 +b11100110 & +b11100110 5 +b11100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#470 +0+ +#471 +b11100111 3 +b11100111 & +b11100111 5 +b11100111 G +1+ +#472 +0+ +#473 +b111010 4 +b11101000 3 +b11101000 & +b11101000 5 +b11101000 G +1+ +#474 +0+ +#475 +b111010 . +b111010 B +b111010 : +b11101001 3 +b11101001 & +b11101001 5 +b11101001 G +1+ +#476 +0+ +#477 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11101010 3 +b11101010 & +b11101010 5 +b11101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#478 +0+ +#479 +b11101011 3 +b11101011 & +b11101011 5 +b11101011 G +1+ +#480 +0+ +#481 +b111011 4 +b11101100 3 +b11101100 & +b11101100 5 +b11101100 G +1+ +#482 +0+ +#483 +b111011 . +b111011 B +b111011 : +b11101101 3 +b11101101 & +b11101101 5 +b11101101 G +1+ +#484 +0+ +#485 +b1000 ' +b1000 6 +b100010000000 < +b11101110 3 +b11101110 & +b11101110 5 +b11101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#486 +0+ +#487 +b11101111 3 +b11101111 & +b11101111 5 +b11101111 G +1+ +#488 +0+ +#489 +b111100 4 +b11110000 3 +b11110000 & +b11110000 5 +b11110000 G +1+ +#490 +0+ +#491 +b111100 . +b111100 B +b111100 : +b11110001 3 +b11110001 & +b11110001 5 +b11110001 G +1+ +#492 +0+ +#493 +b0 $ +b0 2 +b10000000 < +b11110010 3 +b11110010 & +b11110010 5 +b11110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#494 +0+ +#495 +b11110011 3 +b11110011 & +b11110011 5 +b11110011 G +1+ +#496 +0+ +#497 +b111101 4 +b11110100 3 +b11110100 & +b11110100 5 +b11110100 G +1+ +#498 +0+ +#499 +b111101 . +b111101 B +b111101 : +b11110101 3 +b11110101 & +b11110101 5 +b11110101 G +1+ +#500 +0+ +#501 +b1000 * +b1000 9 +b10001000 < +b11110110 3 +b11110110 & +b11110110 5 +b11110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#502 +0+ +#503 +b11110111 3 +b11110111 & +b11110111 5 +b11110111 G +1+ +#504 +0+ +#505 +b111110 4 +b11111000 3 +b11111000 & +b11111000 5 +b11111000 G +1+ +#506 +0+ +#507 +b111110 . +b111110 B +b111110 : +b11111001 3 +b11111001 & +b11111001 5 +b11111001 G +1+ +#508 +0+ +#509 +b0 ' +b0 6 +b1000 < +b11111010 3 +b11111010 & +b11111010 5 +b11111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#510 +0+ +#511 +b11111011 3 +b11111011 & +b11111011 5 +b11111011 G +1+ +#512 +0+ +#513 +b111111 4 +b11111100 3 +b11111100 & +b11111100 5 +b11111100 G +1+ +#514 +0+ +#515 +b111111 . +b111111 B +b111111 : +b11111101 3 +b11111101 & +b11111101 5 +b11111101 G +1+ +#516 +0+ +#517 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11111110 3 +b11111110 & +b11111110 5 +b11111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#518 +0+ +#519 +b11111111 3 +b11111111 & +b11111111 5 +b11111111 G +1+ +#520 +0+ +#521 +b1000000 4 +b100000000 3 +b100000000 & +b100000000 5 +b100000000 G +1+ +#522 +0+ +#523 +b1000000 . +b1000000 B +b1000000 : +b100000001 3 +b100000001 & +b100000001 5 +b100000001 G +1+ +#524 +0+ +#525 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100000010 3 +b100000010 & +b100000010 5 +b100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#526 +0+ +#527 +b100000011 3 +b100000011 & +b100000011 5 +b100000011 G +1+ +#528 +0+ +#529 +b1000001 4 +b100000100 3 +b100000100 & +b100000100 5 +b100000100 G +1+ +#530 +0+ +#531 +b1000001 . +b1000001 B +b1000001 : +b100000101 3 +b100000101 & +b100000101 5 +b100000101 G +1+ +#532 +0+ +#533 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100000110 3 +b100000110 & +b100000110 5 +b100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#534 +0+ +#535 +b100000111 3 +b100000111 & +b100000111 5 +b100000111 G +1+ +#536 +0+ +#537 +b1000010 4 +b100001000 3 +b100001000 & +b100001000 5 +b100001000 G +1+ +#538 +0+ +#539 +b1000010 . +b1000010 B +b1000010 : +b100001001 3 +b100001001 & +b100001001 5 +b100001001 G +1+ +#540 +0+ +#541 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100001010 3 +b100001010 & +b100001010 5 +b100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#542 +0+ +#543 +b100001011 3 +b100001011 & +b100001011 5 +b100001011 G +1+ +#544 +0+ +#545 +b1000011 4 +b100001100 3 +b100001100 & +b100001100 5 +b100001100 G +1+ +#546 +0+ +#547 +b1000011 . +b1000011 B +b1000011 : +b100001101 3 +b100001101 & +b100001101 5 +b100001101 G +1+ +#548 +0+ +#549 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100001110 3 +b100001110 & +b100001110 5 +b100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#550 +0+ +#551 +b100001111 3 +b100001111 & +b100001111 5 +b100001111 G +1+ +#552 +0+ +#553 +b1000100 4 +b100010000 3 +b100010000 & +b100010000 5 +b100010000 G +1+ +#554 +0+ +#555 +b1000100 . +b1000100 B +b1000100 : +b100010001 3 +b100010001 & +b100010001 5 +b100010001 G +1+ +#556 +0+ +#557 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100010010 3 +b100010010 & +b100010010 5 +b100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#558 +0+ +#559 +b100010011 3 +b100010011 & +b100010011 5 +b100010011 G +1+ +#560 +0+ +#561 +b1000101 4 +b100010100 3 +b100010100 & +b100010100 5 +b100010100 G +1+ +#562 +0+ +#563 +b1000101 . +b1000101 B +b1000101 : +b100010101 3 +b100010101 & +b100010101 5 +b100010101 G +1+ +#564 +0+ +#565 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100010110 3 +b100010110 & +b100010110 5 +b100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#566 +0+ +#567 +b100010111 3 +b100010111 & +b100010111 5 +b100010111 G +1+ +#568 +0+ +#569 +b1000110 4 +b100011000 3 +b100011000 & +b100011000 5 +b100011000 G +1+ +#570 +0+ +#571 +b1000110 . +b1000110 B +b1000110 : +b100011001 3 +b100011001 & +b100011001 5 +b100011001 G +1+ +#572 +0+ +#573 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100011010 3 +b100011010 & +b100011010 5 +b100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#574 +0+ +#575 +b100011011 3 +b100011011 & +b100011011 5 +b100011011 G +1+ +#576 +0+ +#577 +b1000111 4 +b100011100 3 +b100011100 & +b100011100 5 +b100011100 G +1+ +#578 +0+ +#579 +b1000111 . +b1000111 B +b1000111 : +b100011101 3 +b100011101 & +b100011101 5 +b100011101 G +1+ +#580 +0+ +#581 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100011110 3 +b100011110 & +b100011110 5 +b100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#582 +0+ +#583 +b100011111 3 +b100011111 & +b100011111 5 +b100011111 G +1+ +#584 +0+ +#585 +b1001000 4 +b100100000 3 +b100100000 & +b100100000 5 +b100100000 G +1+ +#586 +0+ +#587 +b1001000 . +b1001000 B +b1001000 : +b100100001 3 +b100100001 & +b100100001 5 +b100100001 G +1+ +#588 +0+ +#589 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100100010 3 +b100100010 & +b100100010 5 +b100100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#590 +0+ +#591 +b100100011 3 +b100100011 & +b100100011 5 +b100100011 G +1+ +#592 +0+ +#593 +b1001001 4 +b100100100 3 +b100100100 & +b100100100 5 +b100100100 G +1+ +#594 +0+ +#595 +b1001001 . +b1001001 B +b1001001 : +b100100101 3 +b100100101 & +b100100101 5 +b100100101 G +1+ +#596 +0+ +#597 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100100110 3 +b100100110 & +b100100110 5 +b100100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#598 +0+ +#599 +b100100111 3 +b100100111 & +b100100111 5 +b100100111 G +1+ +#600 +0+ +#601 +b1001010 4 +b100101000 3 +b100101000 & +b100101000 5 +b100101000 G +1+ +#602 +0+ +#603 +b1001010 . +b1001010 B +b1001010 : +b100101001 3 +b100101001 & +b100101001 5 +b100101001 G +1+ +#604 +0+ +#605 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100101010 3 +b100101010 & +b100101010 5 +b100101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#606 +0+ +#607 +b100101011 3 +b100101011 & +b100101011 5 +b100101011 G +1+ +#608 +0+ +#609 +b1001011 4 +b100101100 3 +b100101100 & +b100101100 5 +b100101100 G +1+ +#610 +0+ +#611 +b1001011 . +b1001011 B +b1001011 : +b100101101 3 +b100101101 & +b100101101 5 +b100101101 G +1+ +#612 +0+ +#613 +b1000 ' +b1000 6 +b100010000000 < +b100101110 3 +b100101110 & +b100101110 5 +b100101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#614 +0+ +#615 +b100101111 3 +b100101111 & +b100101111 5 +b100101111 G +1+ +#616 +0+ +#617 +b1001100 4 +b100110000 3 +b100110000 & +b100110000 5 +b100110000 G +1+ +#618 +0+ +#619 +b1001100 . +b1001100 B +b1001100 : +b100110001 3 +b100110001 & +b100110001 5 +b100110001 G +1+ +#620 +0+ +#621 +b0 $ +b0 2 +b10000000 < +b100110010 3 +b100110010 & +b100110010 5 +b100110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#622 +0+ +#623 +b100110011 3 +b100110011 & +b100110011 5 +b100110011 G +1+ +#624 +0+ +#625 +b1001101 4 +b100110100 3 +b100110100 & +b100110100 5 +b100110100 G +1+ +#626 +0+ +#627 +b1001101 . +b1001101 B +b1001101 : +b100110101 3 +b100110101 & +b100110101 5 +b100110101 G +1+ +#628 +0+ +#629 +b1000 * +b1000 9 +b10001000 < +b100110110 3 +b100110110 & +b100110110 5 +b100110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#630 +0+ +#631 +b100110111 3 +b100110111 & +b100110111 5 +b100110111 G +1+ +#632 +0+ +#633 +b1001110 4 +b100111000 3 +b100111000 & +b100111000 5 +b100111000 G +1+ +#634 +0+ +#635 +b1001110 . +b1001110 B +b1001110 : +b100111001 3 +b100111001 & +b100111001 5 +b100111001 G +1+ +#636 +0+ +#637 +b0 ' +b0 6 +b1000 < +b100111010 3 +b100111010 & +b100111010 5 +b100111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#638 +0+ +#639 +b100111011 3 +b100111011 & +b100111011 5 +b100111011 G +1+ +#640 +0+ +#641 +b1001111 4 +b100111100 3 +b100111100 & +b100111100 5 +b100111100 G +1+ +#642 +0+ +#643 +b1001111 . +b1001111 B +b1001111 : +b100111101 3 +b100111101 & +b100111101 5 +b100111101 G +1+ +#644 +0+ +#645 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100111110 3 +b100111110 & +b100111110 5 +b100111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#646 +0+ +#647 +b100111111 3 +b100111111 & +b100111111 5 +b100111111 G +1+ +#648 +0+ +#649 +b1010000 4 +b101000000 3 +b101000000 & +b101000000 5 +b101000000 G +1+ +#650 +0+ +#651 +b1010000 . +b1010000 B +b1010000 : +b101000001 3 +b101000001 & +b101000001 5 +b101000001 G +1+ +#652 +0+ +#653 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b101000010 3 +b101000010 & +b101000010 5 +b101000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#654 +0+ +#655 +b101000011 3 +b101000011 & +b101000011 5 +b101000011 G +1+ +#656 +0+ +#657 +b1010001 4 +b101000100 3 +b101000100 & +b101000100 5 +b101000100 G +1+ +#658 +0+ +#659 +b1010001 . +b1010001 B +b1010001 : +b101000101 3 +b101000101 & +b101000101 5 +b101000101 G +1+ +#660 +0+ +#661 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b101000110 3 +b101000110 & +b101000110 5 +b101000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#662 +0+ +#663 +b101000111 3 +b101000111 & +b101000111 5 +b101000111 G +1+ +#664 +0+ +#665 +b1010010 4 +b101001000 3 +b101001000 & +b101001000 5 +b101001000 G +1+ +#666 +0+ +#667 +b1010010 . +b1010010 B +b1010010 : +b101001001 3 +b101001001 & +b101001001 5 +b101001001 G +1+ +#668 +0+ +#669 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b101001010 3 +b101001010 & +b101001010 5 +b101001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#670 +0+ +#671 +b101001011 3 +b101001011 & +b101001011 5 +b101001011 G +1+ +#672 +0+ +#673 +b1010011 4 +b101001100 3 +b101001100 & +b101001100 5 +b101001100 G +1+ +#674 +0+ +#675 +b1010011 . +b1010011 B +b1010011 : +b101001101 3 +b101001101 & +b101001101 5 +b101001101 G +1+ +#676 +0+ +#677 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b101001110 3 +b101001110 & +b101001110 5 +b101001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#678 +0+ +#679 +b101001111 3 +b101001111 & +b101001111 5 +b101001111 G +1+ +#680 +0+ +#681 +b1010100 4 +b101010000 3 +b101010000 & +b101010000 5 +b101010000 G +1+ +#682 +0+ +#683 +b1010100 . +b1010100 B +b1010100 : +b101010001 3 +b101010001 & +b101010001 5 +b101010001 G +1+ +#684 +0+ +#685 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b101010010 3 +b101010010 & +b101010010 5 +b101010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#686 +0+ +#687 +b101010011 3 +b101010011 & +b101010011 5 +b101010011 G +1+ +#688 +0+ +#689 +b1010101 4 +b101010100 3 +b101010100 & +b101010100 5 +b101010100 G +1+ +#690 +0+ +#691 +b1010101 . +b1010101 B +b1010101 : +b101010101 3 +b101010101 & +b101010101 5 +b101010101 G +1+ +#692 +0+ +#693 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b101010110 3 +b101010110 & +b101010110 5 +b101010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#694 +0+ +#695 +b101010111 3 +b101010111 & +b101010111 5 +b101010111 G +1+ +#696 +0+ +#697 +b1010110 4 +b101011000 3 +b101011000 & +b101011000 5 +b101011000 G +1+ +#698 +0+ +#699 +b1010110 . +b1010110 B +b1010110 : +b101011001 3 +b101011001 & +b101011001 5 +b101011001 G +1+ +#700 +0+ +#701 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b101011010 3 +b101011010 & +b101011010 5 +b101011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#702 +0+ +#703 +b101011011 3 +b101011011 & +b101011011 5 +b101011011 G +1+ +#704 +0+ +#705 +b1010111 4 +b101011100 3 +b101011100 & +b101011100 5 +b101011100 G +1+ +#706 +0+ +#707 +b1010111 . +b1010111 B +b1010111 : +b101011101 3 +b101011101 & +b101011101 5 +b101011101 G +1+ +#708 +0+ +#709 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b101011110 3 +b101011110 & +b101011110 5 +b101011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#710 +0+ +#711 +b101011111 3 +b101011111 & +b101011111 5 +b101011111 G +1+ +#712 +0+ +#713 +b1011000 4 +b101100000 3 +b101100000 & +b101100000 5 +b101100000 G +1+ +#714 +0+ +#715 +b1011000 . +b1011000 B +b1011000 : +b101100001 3 +b101100001 & +b101100001 5 +b101100001 G +1+ +#716 +0+ +#717 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b101100010 3 +b101100010 & +b101100010 5 +b101100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#718 +0+ +#719 +b101100011 3 +b101100011 & +b101100011 5 +b101100011 G +1+ +#720 +0+ +#721 +b1011001 4 +b101100100 3 +b101100100 & +b101100100 5 +b101100100 G +1+ +#722 +0+ +#723 +b1011001 . +b1011001 B +b1011001 : +b101100101 3 +b101100101 & +b101100101 5 +b101100101 G +1+ +#724 +0+ +#725 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b101100110 3 +b101100110 & +b101100110 5 +b101100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#726 +0+ +#727 +b101100111 3 +b101100111 & +b101100111 5 +b101100111 G +1+ +#728 +0+ +#729 +b1011010 4 +b101101000 3 +b101101000 & +b101101000 5 +b101101000 G +1+ +#730 +0+ +#731 +b1011010 . +b1011010 B +b1011010 : +b101101001 3 +b101101001 & +b101101001 5 +b101101001 G +1+ +#732 +0+ +#733 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b101101010 3 +b101101010 & +b101101010 5 +b101101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#734 +0+ +#735 +b101101011 3 +b101101011 & +b101101011 5 +b101101011 G +1+ +#736 +0+ +#737 +b1011011 4 +b101101100 3 +b101101100 & +b101101100 5 +b101101100 G +1+ +#738 +0+ +#739 +b1011011 . +b1011011 B +b1011011 : +b101101101 3 +b101101101 & +b101101101 5 +b101101101 G +1+ +#740 +0+ +#741 +b1000 ' +b1000 6 +b100010000000 < +b101101110 3 +b101101110 & +b101101110 5 +b101101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#742 +0+ +#743 +b101101111 3 +b101101111 & +b101101111 5 +b101101111 G +1+ +#744 +0+ +#745 +b1011100 4 +b101110000 3 +b101110000 & +b101110000 5 +b101110000 G +1+ +#746 +0+ +#747 +b1011100 . +b1011100 B +b1011100 : +b101110001 3 +b101110001 & +b101110001 5 +b101110001 G +1+ +#748 +0+ +#749 +b0 $ +b0 2 +b10000000 < +b101110010 3 +b101110010 & +b101110010 5 +b101110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#750 +0+ +#751 +b101110011 3 +b101110011 & +b101110011 5 +b101110011 G +1+ +#752 +0+ +#753 +b1011101 4 +b101110100 3 +b101110100 & +b101110100 5 +b101110100 G +1+ +#754 +0+ +#755 +b1011101 . +b1011101 B +b1011101 : +b101110101 3 +b101110101 & +b101110101 5 +b101110101 G +1+ +#756 +0+ +#757 +b1000 * +b1000 9 +b10001000 < +b101110110 3 +b101110110 & +b101110110 5 +b101110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#758 +0+ +#759 +b101110111 3 +b101110111 & +b101110111 5 +b101110111 G +1+ +#760 +0+ +#761 +b1011110 4 +b101111000 3 +b101111000 & +b101111000 5 +b101111000 G +1+ +#762 +0+ +#763 +b1011110 . +b1011110 B +b1011110 : +b101111001 3 +b101111001 & +b101111001 5 +b101111001 G +1+ +#764 +0+ +#765 +b0 ' +b0 6 +b1000 < +b101111010 3 +b101111010 & +b101111010 5 +b101111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#766 +0+ +#767 +b101111011 3 +b101111011 & +b101111011 5 +b101111011 G +1+ +#768 +0+ +#769 +b1011111 4 +b101111100 3 +b101111100 & +b101111100 5 +b101111100 G +1+ +#770 +0+ +#771 +b1011111 . +b1011111 B +b1011111 : +b101111101 3 +b101111101 & +b101111101 5 +b101111101 G +1+ +#772 +0+ +#773 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b101111110 3 +b101111110 & +b101111110 5 +b101111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#774 +0+ +#775 +b101111111 3 +b101111111 & +b101111111 5 +b101111111 G +1+ +#776 +0+ +#777 +b1100000 4 +b110000000 3 +b110000000 & +b110000000 5 +b110000000 G +1+ +#778 +0+ +#779 +b1100000 . +b1100000 B +b1100000 : +b110000001 3 +b110000001 & +b110000001 5 +b110000001 G +1+ +#780 +0+ +#781 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b110000010 3 +b110000010 & +b110000010 5 +b110000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#782 +0+ +#783 +b110000011 3 +b110000011 & +b110000011 5 +b110000011 G +1+ +#784 +0+ +#785 +b1100001 4 +b110000100 3 +b110000100 & +b110000100 5 +b110000100 G +1+ +#786 +0+ +#787 +b1100001 . +b1100001 B +b1100001 : +b110000101 3 +b110000101 & +b110000101 5 +b110000101 G +1+ +#788 +0+ +#789 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b110000110 3 +b110000110 & +b110000110 5 +b110000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#790 +0+ +#791 +b110000111 3 +b110000111 & +b110000111 5 +b110000111 G +1+ +#792 +0+ +#793 +b1100010 4 +b110001000 3 +b110001000 & +b110001000 5 +b110001000 G +1+ +#794 +0+ +#795 +b1100010 . +b1100010 B +b1100010 : +b110001001 3 +b110001001 & +b110001001 5 +b110001001 G +1+ +#796 +0+ +#797 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b110001010 3 +b110001010 & +b110001010 5 +b110001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#798 +0+ +#799 +b110001011 3 +b110001011 & +b110001011 5 +b110001011 G +1+ +#800 +0+ +#801 +b1100011 4 +b110001100 3 +b110001100 & +b110001100 5 +b110001100 G +1+ +#802 +0+ +#803 +b1100011 . +b1100011 B +b1100011 : +b110001101 3 +b110001101 & +b110001101 5 +b110001101 G +1+ +#804 +0+ +#805 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b110001110 3 +b110001110 & +b110001110 5 +b110001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#806 +0+ +#807 +b110001111 3 +b110001111 & +b110001111 5 +b110001111 G +1+ +#808 +0+ +#809 +b1100100 4 +b110010000 3 +b110010000 & +b110010000 5 +b110010000 G +1+ +#810 +0+ +#811 +b1100100 . +b1100100 B +b1100100 : +b110010001 3 +b110010001 & +b110010001 5 +b110010001 G +1+ +#812 +0+ +#813 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b110010010 3 +b110010010 & +b110010010 5 +b110010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#814 +0+ +#815 +b110010011 3 +b110010011 & +b110010011 5 +b110010011 G +1+ +#816 +0+ +#817 +b1100101 4 +b110010100 3 +b110010100 & +b110010100 5 +b110010100 G +1+ +#818 +0+ +#819 +b1100101 . +b1100101 B +b1100101 : +b110010101 3 +b110010101 & +b110010101 5 +b110010101 G +1+ +#820 +0+ +#821 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b110010110 3 +b110010110 & +b110010110 5 +b110010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#822 +0+ +#823 +b110010111 3 +b110010111 & +b110010111 5 +b110010111 G +1+ +#824 +0+ +#825 +b1100110 4 +b110011000 3 +b110011000 & +b110011000 5 +b110011000 G +1+ +#826 +0+ +#827 +b1100110 . +b1100110 B +b1100110 : +b110011001 3 +b110011001 & +b110011001 5 +b110011001 G +1+ +#828 +0+ +#829 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b110011010 3 +b110011010 & +b110011010 5 +b110011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#830 +0+ +#831 +b110011011 3 +b110011011 & +b110011011 5 +b110011011 G +1+ +#832 +0+ +#833 +b1100111 4 +b110011100 3 +b110011100 & +b110011100 5 +b110011100 G +1+ +#834 +0+ +#835 +b1100111 . +b1100111 B +b1100111 : +b110011101 3 +b110011101 & +b110011101 5 +b110011101 G +1+ +#836 +0+ +#837 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b110011110 3 +b110011110 & +b110011110 5 +b110011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#838 +0+ +#839 +b110011111 3 +b110011111 & +b110011111 5 +b110011111 G +1+ +#840 +0+ +#841 +b1101000 4 +b110100000 3 +b110100000 & +b110100000 5 +b110100000 G +1+ +#842 +0+ +#843 +b1101000 . +b1101000 B +b1101000 : +b110100001 3 +b110100001 & +b110100001 5 +b110100001 G +1+ +#844 +0+ +#845 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b110100010 3 +b110100010 & +b110100010 5 +b110100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#846 +0+ +#847 +b110100011 3 +b110100011 & +b110100011 5 +b110100011 G +1+ +#848 +0+ +#849 +b1101001 4 +b110100100 3 +b110100100 & +b110100100 5 +b110100100 G +1+ +#850 +0+ +#851 +b1101001 . +b1101001 B +b1101001 : +b110100101 3 +b110100101 & +b110100101 5 +b110100101 G +1+ +#852 +0+ +#853 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b110100110 3 +b110100110 & +b110100110 5 +b110100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#854 +0+ +#855 +b110100111 3 +b110100111 & +b110100111 5 +b110100111 G +1+ +#856 +0+ +#857 +b1101010 4 +b110101000 3 +b110101000 & +b110101000 5 +b110101000 G +1+ +#858 +0+ +#859 +b1101010 . +b1101010 B +b1101010 : +b110101001 3 +b110101001 & +b110101001 5 +b110101001 G +1+ +#860 +0+ +#861 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b110101010 3 +b110101010 & +b110101010 5 +b110101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#862 +0+ +#863 +b110101011 3 +b110101011 & +b110101011 5 +b110101011 G +1+ +#864 +0+ +#865 +b1101011 4 +b110101100 3 +b110101100 & +b110101100 5 +b110101100 G +1+ +#866 +0+ +#867 +b1101011 . +b1101011 B +b1101011 : +b110101101 3 +b110101101 & +b110101101 5 +b110101101 G +1+ +#868 +0+ +#869 +b1000 ' +b1000 6 +b100010000000 < +b110101110 3 +b110101110 & +b110101110 5 +b110101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#870 +0+ +#871 +b110101111 3 +b110101111 & +b110101111 5 +b110101111 G +1+ +#872 +0+ +#873 +b1101100 4 +b110110000 3 +b110110000 & +b110110000 5 +b110110000 G +1+ +#874 +0+ +#875 +b1101100 . +b1101100 B +b1101100 : +b110110001 3 +b110110001 & +b110110001 5 +b110110001 G +1+ +#876 +0+ +#877 +b0 $ +b0 2 +b10000000 < +b110110010 3 +b110110010 & +b110110010 5 +b110110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#878 +0+ +#879 +b110110011 3 +b110110011 & +b110110011 5 +b110110011 G +1+ +#880 +0+ +#881 +b1101101 4 +b110110100 3 +b110110100 & +b110110100 5 +b110110100 G +1+ +#882 +0+ +#883 +b1101101 . +b1101101 B +b1101101 : +b110110101 3 +b110110101 & +b110110101 5 +b110110101 G +1+ +#884 +0+ +#885 +b1000 * +b1000 9 +b10001000 < +b110110110 3 +b110110110 & +b110110110 5 +b110110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#886 +0+ +#887 +b110110111 3 +b110110111 & +b110110111 5 +b110110111 G +1+ +#888 +0+ +#889 +b1101110 4 +b110111000 3 +b110111000 & +b110111000 5 +b110111000 G +1+ +#890 +0+ +#891 +b1101110 . +b1101110 B +b1101110 : +b110111001 3 +b110111001 & +b110111001 5 +b110111001 G +1+ +#892 +0+ +#893 +b0 ' +b0 6 +b1000 < +b110111010 3 +b110111010 & +b110111010 5 +b110111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#894 +0+ +#895 +b110111011 3 +b110111011 & +b110111011 5 +b110111011 G +1+ +#896 +0+ +#897 +b1101111 4 +b110111100 3 +b110111100 & +b110111100 5 +b110111100 G +1+ +#898 +0+ +#899 +b1101111 . +b1101111 B +b1101111 : +b110111101 3 +b110111101 & +b110111101 5 +b110111101 G +1+ +#900 +0+ +#901 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b110111110 3 +b110111110 & +b110111110 5 +b110111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#902 +0+ +#903 +b110111111 3 +b110111111 & +b110111111 5 +b110111111 G +1+ +#904 +0+ +#905 +b1110000 4 +b111000000 3 +b111000000 & +b111000000 5 +b111000000 G +1+ +#906 +0+ +#907 +b1110000 . +b1110000 B +b1110000 : +b111000001 3 +b111000001 & +b111000001 5 +b111000001 G +1+ +#908 +0+ +#909 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b111000010 3 +b111000010 & +b111000010 5 +b111000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#910 +0+ +#911 +b111000011 3 +b111000011 & +b111000011 5 +b111000011 G +1+ +#912 +0+ +#913 +b1110001 4 +b111000100 3 +b111000100 & +b111000100 5 +b111000100 G +1+ +#914 +0+ +#915 +b1110001 . +b1110001 B +b1110001 : +b111000101 3 +b111000101 & +b111000101 5 +b111000101 G +1+ +#916 +0+ +#917 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b111000110 3 +b111000110 & +b111000110 5 +b111000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#918 +0+ +#919 +b111000111 3 +b111000111 & +b111000111 5 +b111000111 G +1+ +#920 +0+ +#921 +b1110010 4 +b111001000 3 +b111001000 & +b111001000 5 +b111001000 G +1+ +#922 +0+ +#923 +b1110010 . +b1110010 B +b1110010 : +b111001001 3 +b111001001 & +b111001001 5 +b111001001 G +1+ +#924 +0+ +#925 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b111001010 3 +b111001010 & +b111001010 5 +b111001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#926 +0+ +#927 +b111001011 3 +b111001011 & +b111001011 5 +b111001011 G +1+ +#928 +0+ +#929 +b1110011 4 +b111001100 3 +b111001100 & +b111001100 5 +b111001100 G +1+ +#930 +0+ +#931 +b1110011 . +b1110011 B +b1110011 : +b111001101 3 +b111001101 & +b111001101 5 +b111001101 G +1+ +#932 +0+ +#933 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b111001110 3 +b111001110 & +b111001110 5 +b111001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#934 +0+ +#935 +b111001111 3 +b111001111 & +b111001111 5 +b111001111 G +1+ +#936 +0+ +#937 +b1110100 4 +b111010000 3 +b111010000 & +b111010000 5 +b111010000 G +1+ +#938 +0+ +#939 +b1110100 . +b1110100 B +b1110100 : +b111010001 3 +b111010001 & +b111010001 5 +b111010001 G +1+ +#940 +0+ +#941 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b111010010 3 +b111010010 & +b111010010 5 +b111010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#942 +0+ +#943 +b111010011 3 +b111010011 & +b111010011 5 +b111010011 G +1+ +#944 +0+ +#945 +b1110101 4 +b111010100 3 +b111010100 & +b111010100 5 +b111010100 G +1+ +#946 +0+ +#947 +b1110101 . +b1110101 B +b1110101 : +b111010101 3 +b111010101 & +b111010101 5 +b111010101 G +1+ +#948 +0+ +#949 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b111010110 3 +b111010110 & +b111010110 5 +b111010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#950 +0+ +#951 +b111010111 3 +b111010111 & +b111010111 5 +b111010111 G +1+ +#952 +0+ +#953 +b1110110 4 +b111011000 3 +b111011000 & +b111011000 5 +b111011000 G +1+ +#954 +0+ +#955 +b1110110 . +b1110110 B +b1110110 : +b111011001 3 +b111011001 & +b111011001 5 +b111011001 G +1+ +#956 +0+ +#957 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b111011010 3 +b111011010 & +b111011010 5 +b111011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#958 +0+ +#959 +b111011011 3 +b111011011 & +b111011011 5 +b111011011 G +1+ +#960 +0+ +#961 +b1110111 4 +b111011100 3 +b111011100 & +b111011100 5 +b111011100 G +1+ +#962 +0+ +#963 +b1110111 . +b1110111 B +b1110111 : +b111011101 3 +b111011101 & +b111011101 5 +b111011101 G +1+ +#964 +0+ +#965 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b111011110 3 +b111011110 & +b111011110 5 +b111011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#966 +0+ +#967 +b111011111 3 +b111011111 & +b111011111 5 +b111011111 G +1+ +#968 +0+ +#969 +b1111000 4 +b111100000 3 +b111100000 & +b111100000 5 +b111100000 G +1+ +#970 +0+ +#971 +b1111000 . +b1111000 B +b1111000 : +b111100001 3 +b111100001 & +b111100001 5 +b111100001 G +1+ +#972 +0+ +#973 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b111100010 3 +b111100010 & +b111100010 5 +b111100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#974 +0+ +#975 +b111100011 3 +b111100011 & +b111100011 5 +b111100011 G +1+ +#976 +0+ +#977 +b1111001 4 +b111100100 3 +b111100100 & +b111100100 5 +b111100100 G +1+ +#978 +0+ +#979 +b1111001 . +b1111001 B +b1111001 : +b111100101 3 +b111100101 & +b111100101 5 +b111100101 G +1+ +#980 +0+ +#981 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b111100110 3 +b111100110 & +b111100110 5 +b111100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#982 +0+ +#983 +b111100111 3 +b111100111 & +b111100111 5 +b111100111 G +1+ +#984 +0+ +#985 +b1111010 4 +b111101000 3 +b111101000 & +b111101000 5 +b111101000 G +1+ +#986 +0+ +#987 +b1111010 . +b1111010 B +b1111010 : +b111101001 3 +b111101001 & +b111101001 5 +b111101001 G +1+ +#988 +0+ +#989 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b111101010 3 +b111101010 & +b111101010 5 +b111101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#990 +0+ +#991 +b111101011 3 +b111101011 & +b111101011 5 +b111101011 G +1+ +#992 +0+ +#993 +b1111011 4 +b111101100 3 +b111101100 & +b111101100 5 +b111101100 G +1+ +#994 +0+ +#995 +b1111011 . +b1111011 B +b1111011 : +b111101101 3 +b111101101 & +b111101101 5 +b111101101 G +1+ +#996 +0+ +#997 +b1000 ' +b1000 6 +b100010000000 < +b111101110 3 +b111101110 & +b111101110 5 +b111101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#998 +0+ +#999 +b111101111 3 +b111101111 & +b111101111 5 +b111101111 G +1+ +#1000 +0+ +#1001 +b1111100 4 +b111110000 3 +b111110000 & +b111110000 5 +b111110000 G +1+ +#1002 +0+ +#1003 +b1111100 . +b1111100 B +b1111100 : +b111110001 3 +b111110001 & +b111110001 5 +b111110001 G +1+ +#1004 +0+ +#1005 +b0 $ +b0 2 +b10000000 < +b111110010 3 +b111110010 & +b111110010 5 +b111110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1006 +0+ +#1007 +b111110011 3 +b111110011 & +b111110011 5 +b111110011 G +1+ +#1008 +0+ +#1009 +b1111101 4 +b111110100 3 +b111110100 & +b111110100 5 +b111110100 G +1+ +#1010 +0+ +#1011 +b1111101 . +b1111101 B +b1111101 : +b111110101 3 +b111110101 & +b111110101 5 +b111110101 G +1+ +#1012 +0+ +#1013 +b1000 * +b1000 9 +b10001000 < +b111110110 3 +b111110110 & +b111110110 5 +b111110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1014 +0+ +#1015 +b111110111 3 +b111110111 & +b111110111 5 +b111110111 G +1+ +#1016 +0+ +#1017 +b1111110 4 +b111111000 3 +b111111000 & +b111111000 5 +b111111000 G +1+ +#1018 +0+ +#1019 +b1111110 . +b1111110 B +b1111110 : +b111111001 3 +b111111001 & +b111111001 5 +b111111001 G +1+ +#1020 +0+ +#1021 +b0 ' +b0 6 +b1000 < +b111111010 3 +b111111010 & +b111111010 5 +b111111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1022 +0+ +#1023 +b111111011 3 +b111111011 & +b111111011 5 +b111111011 G +1+ +#1024 +0+ +#1025 +b1111111 4 +b111111100 3 +b111111100 & +b111111100 5 +b111111100 G +1+ +#1026 +0+ +#1027 +b1111111 . +b1111111 B +b1111111 : +b111111101 3 +b111111101 & +b111111101 5 +b111111101 G +1+ +#1028 +0+ +#1029 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b111111110 3 +b111111110 & +b111111110 5 +b111111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1030 +0+ +#1031 +b111111111 3 +b111111111 & +b111111111 5 +b111111111 G +1+ +#1032 +0+ +#1033 +b10000000 4 +b1000000000 3 +b1000000000 & +b1000000000 5 +b1000000000 G +1+ +#1034 +0+ +#1035 +b10000000 . +b10000000 B +b10000000 : +b1000000001 3 +b1000000001 & +b1000000001 5 +b1000000001 G +1+ +#1036 +0+ +#1037 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1000000010 3 +b1000000010 & +b1000000010 5 +b1000000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1038 +0+ +#1039 +b1000000011 3 +b1000000011 & +b1000000011 5 +b1000000011 G +1+ +#1040 +0+ +#1041 +b10000001 4 +b1000000100 3 +b1000000100 & +b1000000100 5 +b1000000100 G +1+ +#1042 +0+ +#1043 +b10000001 . +b10000001 B +b10000001 : +b1000000101 3 +b1000000101 & +b1000000101 5 +b1000000101 G +1+ +#1044 +0+ +#1045 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1000000110 3 +b1000000110 & +b1000000110 5 +b1000000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1046 +0+ +#1047 +b1000000111 3 +b1000000111 & +b1000000111 5 +b1000000111 G +1+ +#1048 +0+ +#1049 +b10000010 4 +b1000001000 3 +b1000001000 & +b1000001000 5 +b1000001000 G +1+ +#1050 +0+ +#1051 +b10000010 . +b10000010 B +b10000010 : +b1000001001 3 +b1000001001 & +b1000001001 5 +b1000001001 G +1+ +#1052 +0+ +#1053 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1000001010 3 +b1000001010 & +b1000001010 5 +b1000001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1054 +0+ +#1055 +b1000001011 3 +b1000001011 & +b1000001011 5 +b1000001011 G +1+ +#1056 +0+ +#1057 +b10000011 4 +b1000001100 3 +b1000001100 & +b1000001100 5 +b1000001100 G +1+ +#1058 +0+ +#1059 +b10000011 . +b10000011 B +b10000011 : +b1000001101 3 +b1000001101 & +b1000001101 5 +b1000001101 G +1+ +#1060 +0+ +#1061 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1000001110 3 +b1000001110 & +b1000001110 5 +b1000001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1062 +0+ +#1063 +b1000001111 3 +b1000001111 & +b1000001111 5 +b1000001111 G +1+ +#1064 +0+ +#1065 +b10000100 4 +b1000010000 3 +b1000010000 & +b1000010000 5 +b1000010000 G +1+ +#1066 +0+ +#1067 +b10000100 . +b10000100 B +b10000100 : +b1000010001 3 +b1000010001 & +b1000010001 5 +b1000010001 G +1+ +#1068 +0+ +#1069 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1000010010 3 +b1000010010 & +b1000010010 5 +b1000010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1070 +0+ +#1071 +b1000010011 3 +b1000010011 & +b1000010011 5 +b1000010011 G +1+ +#1072 +0+ +#1073 +b10000101 4 +b1000010100 3 +b1000010100 & +b1000010100 5 +b1000010100 G +1+ +#1074 +0+ +#1075 +b10000101 . +b10000101 B +b10000101 : +b1000010101 3 +b1000010101 & +b1000010101 5 +b1000010101 G +1+ +#1076 +0+ +#1077 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1000010110 3 +b1000010110 & +b1000010110 5 +b1000010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1078 +0+ +#1079 +b1000010111 3 +b1000010111 & +b1000010111 5 +b1000010111 G +1+ +#1080 +0+ +#1081 +b10000110 4 +b1000011000 3 +b1000011000 & +b1000011000 5 +b1000011000 G +1+ +#1082 +0+ +#1083 +b10000110 . +b10000110 B +b10000110 : +b1000011001 3 +b1000011001 & +b1000011001 5 +b1000011001 G +1+ +#1084 +0+ +#1085 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1000011010 3 +b1000011010 & +b1000011010 5 +b1000011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1086 +0+ +#1087 +b1000011011 3 +b1000011011 & +b1000011011 5 +b1000011011 G +1+ +#1088 +0+ +#1089 +b10000111 4 +b1000011100 3 +b1000011100 & +b1000011100 5 +b1000011100 G +1+ +#1090 +0+ +#1091 +b10000111 . +b10000111 B +b10000111 : +b1000011101 3 +b1000011101 & +b1000011101 5 +b1000011101 G +1+ +#1092 +0+ +#1093 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1000011110 3 +b1000011110 & +b1000011110 5 +b1000011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1094 +0+ +#1095 +b1000011111 3 +b1000011111 & +b1000011111 5 +b1000011111 G +1+ +#1096 +0+ +#1097 +b10001000 4 +b1000100000 3 +b1000100000 & +b1000100000 5 +b1000100000 G +1+ +#1098 +0+ +#1099 +b10001000 . +b10001000 B +b10001000 : +b1000100001 3 +b1000100001 & +b1000100001 5 +b1000100001 G +1+ +#1100 +0+ +#1101 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1000100010 3 +b1000100010 & +b1000100010 5 +b1000100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1102 +0+ +#1103 +b1000100011 3 +b1000100011 & +b1000100011 5 +b1000100011 G +1+ +#1104 +0+ +#1105 +b10001001 4 +b1000100100 3 +b1000100100 & +b1000100100 5 +b1000100100 G +1+ +#1106 +0+ +#1107 +b10001001 . +b10001001 B +b10001001 : +b1000100101 3 +b1000100101 & +b1000100101 5 +b1000100101 G +1+ +#1108 +0+ +#1109 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1000100110 3 +b1000100110 & +b1000100110 5 +b1000100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1110 +0+ +#1111 +b1000100111 3 +b1000100111 & +b1000100111 5 +b1000100111 G +1+ +#1112 +0+ +#1113 +b10001010 4 +b1000101000 3 +b1000101000 & +b1000101000 5 +b1000101000 G +1+ +#1114 +0+ +#1115 +b10001010 . +b10001010 B +b10001010 : +b1000101001 3 +b1000101001 & +b1000101001 5 +b1000101001 G +1+ +#1116 +0+ +#1117 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1000101010 3 +b1000101010 & +b1000101010 5 +b1000101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1118 +0+ +#1119 +b1000101011 3 +b1000101011 & +b1000101011 5 +b1000101011 G +1+ +#1120 +0+ +#1121 +b10001011 4 +b1000101100 3 +b1000101100 & +b1000101100 5 +b1000101100 G +1+ +#1122 +0+ +#1123 +b10001011 . +b10001011 B +b10001011 : +b1000101101 3 +b1000101101 & +b1000101101 5 +b1000101101 G +1+ +#1124 +0+ +#1125 +b1000 ' +b1000 6 +b100010000000 < +b1000101110 3 +b1000101110 & +b1000101110 5 +b1000101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1126 +0+ +#1127 +b1000101111 3 +b1000101111 & +b1000101111 5 +b1000101111 G +1+ +#1128 +0+ +#1129 +b10001100 4 +b1000110000 3 +b1000110000 & +b1000110000 5 +b1000110000 G +1+ +#1130 +0+ +#1131 +b10001100 . +b10001100 B +b10001100 : +b1000110001 3 +b1000110001 & +b1000110001 5 +b1000110001 G +1+ +#1132 +0+ +#1133 +b0 $ +b0 2 +b10000000 < +b1000110010 3 +b1000110010 & +b1000110010 5 +b1000110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1134 +0+ +#1135 +b1000110011 3 +b1000110011 & +b1000110011 5 +b1000110011 G +1+ +#1136 +0+ +#1137 +b10001101 4 +b1000110100 3 +b1000110100 & +b1000110100 5 +b1000110100 G +1+ +#1138 +0+ +#1139 +b10001101 . +b10001101 B +b10001101 : +b1000110101 3 +b1000110101 & +b1000110101 5 +b1000110101 G +1+ +#1140 +0+ +#1141 +b1000 * +b1000 9 +b10001000 < +b1000110110 3 +b1000110110 & +b1000110110 5 +b1000110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1142 +0+ +#1143 +b1000110111 3 +b1000110111 & +b1000110111 5 +b1000110111 G +1+ +#1144 +0+ +#1145 +b10001110 4 +b1000111000 3 +b1000111000 & +b1000111000 5 +b1000111000 G +1+ +#1146 +0+ +#1147 +b10001110 . +b10001110 B +b10001110 : +b1000111001 3 +b1000111001 & +b1000111001 5 +b1000111001 G +1+ +#1148 +0+ +#1149 +b0 ' +b0 6 +b1000 < +b1000111010 3 +b1000111010 & +b1000111010 5 +b1000111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1150 +0+ +#1151 +b1000111011 3 +b1000111011 & +b1000111011 5 +b1000111011 G +1+ +#1152 +0+ +#1153 +b10001111 4 +b1000111100 3 +b1000111100 & +b1000111100 5 +b1000111100 G +1+ +#1154 +0+ +#1155 +b10001111 . +b10001111 B +b10001111 : +b1000111101 3 +b1000111101 & +b1000111101 5 +b1000111101 G +1+ +#1156 +0+ +#1157 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1000111110 3 +b1000111110 & +b1000111110 5 +b1000111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1158 +0+ +#1159 +b1000111111 3 +b1000111111 & +b1000111111 5 +b1000111111 G +1+ +#1160 +0+ +#1161 +b10010000 4 +b1001000000 3 +b1001000000 & +b1001000000 5 +b1001000000 G +1+ +#1162 +0+ +#1163 +b10010000 . +b10010000 B +b10010000 : +b1001000001 3 +b1001000001 & +b1001000001 5 +b1001000001 G +1+ +#1164 +0+ +#1165 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1001000010 3 +b1001000010 & +b1001000010 5 +b1001000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1166 +0+ +#1167 +b1001000011 3 +b1001000011 & +b1001000011 5 +b1001000011 G +1+ +#1168 +0+ +#1169 +b10010001 4 +b1001000100 3 +b1001000100 & +b1001000100 5 +b1001000100 G +1+ +#1170 +0+ +#1171 +b10010001 . +b10010001 B +b10010001 : +b1001000101 3 +b1001000101 & +b1001000101 5 +b1001000101 G +1+ +#1172 +0+ +#1173 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1001000110 3 +b1001000110 & +b1001000110 5 +b1001000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1174 +0+ +#1175 +b1001000111 3 +b1001000111 & +b1001000111 5 +b1001000111 G +1+ +#1176 +0+ +#1177 +b10010010 4 +b1001001000 3 +b1001001000 & +b1001001000 5 +b1001001000 G +1+ +#1178 +0+ +#1179 +b10010010 . +b10010010 B +b10010010 : +b1001001001 3 +b1001001001 & +b1001001001 5 +b1001001001 G +1+ +#1180 +0+ +#1181 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1001001010 3 +b1001001010 & +b1001001010 5 +b1001001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1182 +0+ +#1183 +b1001001011 3 +b1001001011 & +b1001001011 5 +b1001001011 G +1+ +#1184 +0+ +#1185 +b10010011 4 +b1001001100 3 +b1001001100 & +b1001001100 5 +b1001001100 G +1+ +#1186 +0+ +#1187 +b10010011 . +b10010011 B +b10010011 : +b1001001101 3 +b1001001101 & +b1001001101 5 +b1001001101 G +1+ +#1188 +0+ +#1189 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1001001110 3 +b1001001110 & +b1001001110 5 +b1001001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1190 +0+ +#1191 +b1001001111 3 +b1001001111 & +b1001001111 5 +b1001001111 G +1+ +#1192 +0+ +#1193 +b10010100 4 +b1001010000 3 +b1001010000 & +b1001010000 5 +b1001010000 G +1+ +#1194 +0+ +#1195 +b10010100 . +b10010100 B +b10010100 : +b1001010001 3 +b1001010001 & +b1001010001 5 +b1001010001 G +1+ +#1196 +0+ +#1197 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1001010010 3 +b1001010010 & +b1001010010 5 +b1001010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1198 +0+ +#1199 +b1001010011 3 +b1001010011 & +b1001010011 5 +b1001010011 G +1+ +#1200 +0+ +#1201 +b10010101 4 +b1001010100 3 +b1001010100 & +b1001010100 5 +b1001010100 G +1+ +#1202 +0+ +#1203 +b10010101 . +b10010101 B +b10010101 : +b1001010101 3 +b1001010101 & +b1001010101 5 +b1001010101 G +1+ +#1204 +0+ +#1205 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1001010110 3 +b1001010110 & +b1001010110 5 +b1001010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1206 +0+ +#1207 +b1001010111 3 +b1001010111 & +b1001010111 5 +b1001010111 G +1+ +#1208 +0+ +#1209 +b10010110 4 +b1001011000 3 +b1001011000 & +b1001011000 5 +b1001011000 G +1+ +#1210 +0+ +#1211 +b10010110 . +b10010110 B +b10010110 : +b1001011001 3 +b1001011001 & +b1001011001 5 +b1001011001 G +1+ +#1212 +0+ +#1213 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1001011010 3 +b1001011010 & +b1001011010 5 +b1001011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1214 +0+ +#1215 +b1001011011 3 +b1001011011 & +b1001011011 5 +b1001011011 G +1+ +#1216 +0+ +#1217 +b10010111 4 +b1001011100 3 +b1001011100 & +b1001011100 5 +b1001011100 G +1+ +#1218 +0+ +#1219 +b10010111 . +b10010111 B +b10010111 : +b1001011101 3 +b1001011101 & +b1001011101 5 +b1001011101 G +1+ +#1220 +0+ +#1221 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1001011110 3 +b1001011110 & +b1001011110 5 +b1001011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1222 +0+ +#1223 +b1001011111 3 +b1001011111 & +b1001011111 5 +b1001011111 G +1+ +#1224 +0+ +#1225 +b10011000 4 +b1001100000 3 +b1001100000 & +b1001100000 5 +b1001100000 G +1+ +#1226 +0+ +#1227 +b10011000 . +b10011000 B +b10011000 : +b1001100001 3 +b1001100001 & +b1001100001 5 +b1001100001 G +1+ +#1228 +0+ +#1229 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1001100010 3 +b1001100010 & +b1001100010 5 +b1001100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1230 +0+ +#1231 +b1001100011 3 +b1001100011 & +b1001100011 5 +b1001100011 G +1+ +#1232 +0+ +#1233 +b10011001 4 +b1001100100 3 +b1001100100 & +b1001100100 5 +b1001100100 G +1+ +#1234 +0+ +#1235 +b10011001 . +b10011001 B +b10011001 : +b1001100101 3 +b1001100101 & +b1001100101 5 +b1001100101 G +1+ +#1236 +0+ +#1237 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1001100110 3 +b1001100110 & +b1001100110 5 +b1001100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1238 +0+ +#1239 +b1001100111 3 +b1001100111 & +b1001100111 5 +b1001100111 G +1+ +#1240 +0+ +#1241 +b10011010 4 +b1001101000 3 +b1001101000 & +b1001101000 5 +b1001101000 G +1+ +#1242 +0+ +#1243 +b10011010 . +b10011010 B +b10011010 : +b1001101001 3 +b1001101001 & +b1001101001 5 +b1001101001 G +1+ +#1244 +0+ +#1245 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1001101010 3 +b1001101010 & +b1001101010 5 +b1001101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1246 +0+ +#1247 +b1001101011 3 +b1001101011 & +b1001101011 5 +b1001101011 G +1+ +#1248 +0+ +#1249 +b10011011 4 +b1001101100 3 +b1001101100 & +b1001101100 5 +b1001101100 G +1+ +#1250 +0+ +#1251 +b10011011 . +b10011011 B +b10011011 : +b1001101101 3 +b1001101101 & +b1001101101 5 +b1001101101 G +1+ +#1252 +0+ +#1253 +b1000 ' +b1000 6 +b100010000000 < +b1001101110 3 +b1001101110 & +b1001101110 5 +b1001101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1254 +0+ +#1255 +b1001101111 3 +b1001101111 & +b1001101111 5 +b1001101111 G +1+ +#1256 +0+ +#1257 +b10011100 4 +b1001110000 3 +b1001110000 & +b1001110000 5 +b1001110000 G +1+ +#1258 +0+ +#1259 +b10011100 . +b10011100 B +b10011100 : +b1001110001 3 +b1001110001 & +b1001110001 5 +b1001110001 G +1+ +#1260 +0+ +#1261 +b0 $ +b0 2 +b10000000 < +b1001110010 3 +b1001110010 & +b1001110010 5 +b1001110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1262 +0+ +#1263 +b1001110011 3 +b1001110011 & +b1001110011 5 +b1001110011 G +1+ +#1264 +0+ +#1265 +b10011101 4 +b1001110100 3 +b1001110100 & +b1001110100 5 +b1001110100 G +1+ +#1266 +0+ +#1267 +b10011101 . +b10011101 B +b10011101 : +b1001110101 3 +b1001110101 & +b1001110101 5 +b1001110101 G +1+ +#1268 +0+ +#1269 +b1000 * +b1000 9 +b10001000 < +b1001110110 3 +b1001110110 & +b1001110110 5 +b1001110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1270 +0+ +#1271 +b1001110111 3 +b1001110111 & +b1001110111 5 +b1001110111 G +1+ +#1272 +0+ +#1273 +b10011110 4 +b1001111000 3 +b1001111000 & +b1001111000 5 +b1001111000 G +1+ +#1274 +0+ +#1275 +b10011110 . +b10011110 B +b10011110 : +b1001111001 3 +b1001111001 & +b1001111001 5 +b1001111001 G +1+ +#1276 +0+ +#1277 +b0 ' +b0 6 +b1000 < +b1001111010 3 +b1001111010 & +b1001111010 5 +b1001111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1278 +0+ +#1279 +b1001111011 3 +b1001111011 & +b1001111011 5 +b1001111011 G +1+ +#1280 +0+ +#1281 +b10011111 4 +b1001111100 3 +b1001111100 & +b1001111100 5 +b1001111100 G +1+ +#1282 +0+ +#1283 +b10011111 . +b10011111 B +b10011111 : +b1001111101 3 +b1001111101 & +b1001111101 5 +b1001111101 G +1+ +#1284 +0+ +#1285 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1001111110 3 +b1001111110 & +b1001111110 5 +b1001111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1286 +0+ +#1287 +b1001111111 3 +b1001111111 & +b1001111111 5 +b1001111111 G +1+ +#1288 +0+ +#1289 +0( +b10100000 4 +b1010000000 3 +b1010000000 & +b1010000000 5 +b1010000000 G +1+ +#1290 +0+ +#1291 +b10100000 . +b10100000 B +b10100000 : +b1010000001 3 +b1010000001 & +b1010000001 5 +b1010000001 G +1+ +#1292 +0+ +#1293 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1010000010 3 +b1010000010 & +b1010000010 5 +b1010000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1294 +0+ +#1295 +b1010000011 3 +b1010000011 & +b1010000011 5 +b1010000011 G +1+ +#1296 +0+ +#1297 +b10100001 4 +b1010000100 3 +b1010000100 & +b1010000100 5 +b1010000100 G +1+ +#1298 +0+ +#1299 +b10100001 . +b10100001 B +b10100001 : +b1010000101 3 +b1010000101 & +b1010000101 5 +b1010000101 G +1+ +#1300 +0+ +#1301 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1010000110 3 +b1010000110 & +b1010000110 5 +b1010000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1302 +0+ +#1303 +b1010000111 3 +b1010000111 & +b1010000111 5 +b1010000111 G +1+ +#1304 +0+ +#1305 +b10100010 4 +b1010001000 3 +b1010001000 & +b1010001000 5 +b1010001000 G +1+ +#1306 +0+ +#1307 +b10100010 . +b10100010 B +b10100010 : +b1010001001 3 +b1010001001 & +b1010001001 5 +b1010001001 G +1+ +#1308 +0+ +#1309 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1010001010 3 +b1010001010 & +b1010001010 5 +b1010001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1310 +0+ +#1311 +b1010001011 3 +b1010001011 & +b1010001011 5 +b1010001011 G +1+ +#1312 +0+ +#1313 +b10100011 4 +b1010001100 3 +b1010001100 & +b1010001100 5 +b1010001100 G +1+ +#1314 +0+ +#1315 +b10100011 . +b10100011 B +b10100011 : +b1010001101 3 +b1010001101 & +b1010001101 5 +b1010001101 G +1+ +#1316 +0+ +#1317 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1010001110 3 +b1010001110 & +b1010001110 5 +b1010001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1318 +0+ +#1319 +b1010001111 3 +b1010001111 & +b1010001111 5 +b1010001111 G +1+ +#1320 +0+ +#1321 +0% +b10100100 4 +b1010010000 3 +b1010010000 & +b1010010000 5 +b1010010000 G +1+ +#1322 +0+ +#1323 +b10100100 . +b10100100 B +b10100100 : +b1010010001 3 +b1010010001 & +b1010010001 5 +b1010010001 G +1+ +#1324 +0+ +#1325 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1010010010 3 +b1010010010 & +b1010010010 5 +b1010010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1326 +0+ +#1327 +b1010010011 3 +b1010010011 & +b1010010011 5 +b1010010011 G +1+ +#1328 +0+ +#1329 +b10100101 4 +b1010010100 3 +b1010010100 & +b1010010100 5 +b1010010100 G +1+ +#1330 +0+ +#1331 +b10100101 . +b10100101 B +b10100101 : +b1010010101 3 +b1010010101 & +b1010010101 5 +b1010010101 G +1+ +#1332 +0+ +#1333 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1010010110 3 +b1010010110 & +b1010010110 5 +b1010010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1334 +0+ +#1335 +b1010010111 3 +b1010010111 & +b1010010111 5 +b1010010111 G +1+ +#1336 +0+ +#1337 +b10100110 4 +b1010011000 3 +b1010011000 & +b1010011000 5 +b1010011000 G +1+ +#1338 +0+ +#1339 +b10100110 . +b10100110 B +b10100110 : +b1010011001 3 +b1010011001 & +b1010011001 5 +b1010011001 G +1+ +#1340 +0+ +#1341 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1010011010 3 +b1010011010 & +b1010011010 5 +b1010011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1342 +0+ +#1343 +b1010011011 3 +b1010011011 & +b1010011011 5 +b1010011011 G +1+ +#1344 +0+ +#1345 +b10100111 4 +b1010011100 3 +b1010011100 & +b1010011100 5 +b1010011100 G +1+ +#1346 +0+ +#1347 +b10100111 . +b10100111 B +b10100111 : +b1010011101 3 +b1010011101 & +b1010011101 5 +b1010011101 G +1+ +#1348 +0+ +#1349 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1010011110 3 +b1010011110 & +b1010011110 5 +b1010011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1350 +0+ +#1351 +b1010011111 3 +b1010011111 & +b1010011111 5 +b1010011111 G +1+ +#1352 +0+ +#1353 +b10101000 4 +b1010100000 3 +b1010100000 & +b1010100000 5 +b1010100000 G +1+ +#1354 +0+ +#1355 +b10101000 . +b10101000 B +b10101000 : +b1010100001 3 +b1010100001 & +b1010100001 5 +b1010100001 G +1+ +#1356 +0+ +#1357 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1010100010 3 +b1010100010 & +b1010100010 5 +b1010100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1358 +0+ +#1359 +b1010100011 3 +b1010100011 & +b1010100011 5 +b1010100011 G +1+ +#1360 +0+ +#1361 +b10101001 4 +b1010100100 3 +b1010100100 & +b1010100100 5 +b1010100100 G +1+ +#1362 +0+ +#1363 +b10101001 . +b10101001 B +b10101001 : +b1010100101 3 +b1010100101 & +b1010100101 5 +b1010100101 G +1+ +#1364 +0+ +#1365 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1010100110 3 +b1010100110 & +b1010100110 5 +b1010100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1366 +0+ +#1367 +b1010100111 3 +b1010100111 & +b1010100111 5 +b1010100111 G +1+ +#1368 +0+ +#1369 +b10101010 4 +b1010101000 3 +b1010101000 & +b1010101000 5 +b1010101000 G +1+ +#1370 +0+ +#1371 +b10101010 . +b10101010 B +b10101010 : +b1010101001 3 +b1010101001 & +b1010101001 5 +b1010101001 G +1+ +#1372 +0+ +#1373 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1010101010 3 +b1010101010 & +b1010101010 5 +b1010101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1374 +0+ +#1375 +b1010101011 3 +b1010101011 & +b1010101011 5 +b1010101011 G +1+ +#1376 +0+ +#1377 +b10101011 4 +b1010101100 3 +b1010101100 & +b1010101100 5 +b1010101100 G +1+ +#1378 +0+ +#1379 +b10101011 . +b10101011 B +b10101011 : +b1010101101 3 +b1010101101 & +b1010101101 5 +b1010101101 G +1+ +#1380 +0+ +#1381 +b1000 ' +b1000 6 +b100010000000 < +b1010101110 3 +b1010101110 & +b1010101110 5 +b1010101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1382 +0+ +#1383 +b1010101111 3 +b1010101111 & +b1010101111 5 +b1010101111 G +1+ +#1384 +0+ +#1385 +b10101100 4 +b1010110000 3 +b1010110000 & +b1010110000 5 +b1010110000 G +1+ +#1386 +0+ +#1387 +b10101100 . +b10101100 B +b10101100 : +b1010110001 3 +b1010110001 & +b1010110001 5 +b1010110001 G +1+ +#1388 +0+ +#1389 +b0 $ +b0 2 +b10000000 < +b1010110010 3 +b1010110010 & +b1010110010 5 +b1010110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1390 +0+ +#1391 +b1010110011 3 +b1010110011 & +b1010110011 5 +b1010110011 G +1+ +#1392 +0+ +#1393 +b10101101 4 +b1010110100 3 +b1010110100 & +b1010110100 5 +b1010110100 G +1+ +#1394 +0+ +#1395 +b10101101 . +b10101101 B +b10101101 : +b1010110101 3 +b1010110101 & +b1010110101 5 +b1010110101 G +1+ +#1396 +0+ +#1397 +b1000 * +b1000 9 +b10001000 < +b1010110110 3 +b1010110110 & +b1010110110 5 +b1010110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1398 +0+ +#1399 +b1010110111 3 +b1010110111 & +b1010110111 5 +b1010110111 G +1+ +#1400 +0+ +#1401 +b10101110 4 +b1010111000 3 +b1010111000 & +b1010111000 5 +b1010111000 G +1+ +#1402 +0+ +#1403 +b10101110 . +b10101110 B +b10101110 : +b1010111001 3 +b1010111001 & +b1010111001 5 +b1010111001 G +1+ +#1404 +0+ +#1405 +b0 ' +b0 6 +b1000 < +b1010111010 3 +b1010111010 & +b1010111010 5 +b1010111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1406 +0+ +#1407 +b1010111011 3 +b1010111011 & +b1010111011 5 +b1010111011 G +1+ +#1408 +0+ +#1409 +b10101111 4 +b1010111100 3 +b1010111100 & +b1010111100 5 +b1010111100 G +1+ +#1410 +0+ +#1411 +b10101111 . +b10101111 B +b10101111 : +b1010111101 3 +b1010111101 & +b1010111101 5 +b1010111101 G +1+ +#1412 +0+ +#1413 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1010111110 3 +b1010111110 & +b1010111110 5 +b1010111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1414 +0+ +#1415 +b1010111111 3 +b1010111111 & +b1010111111 5 +b1010111111 G +1+ +#1416 +0+ +#1417 +b10110000 4 +b1011000000 3 +b1011000000 & +b1011000000 5 +b1011000000 G +1+ +#1418 +0+ +#1419 +b10110000 . +b10110000 B +b10110000 : +b1011000001 3 +b1011000001 & +b1011000001 5 +b1011000001 G +1+ +#1420 +0+ +#1421 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1011000010 3 +b1011000010 & +b1011000010 5 +b1011000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1422 +0+ +#1423 +b1011000011 3 +b1011000011 & +b1011000011 5 +b1011000011 G +1+ +#1424 +0+ +#1425 +b10110001 4 +b1011000100 3 +b1011000100 & +b1011000100 5 +b1011000100 G +1+ +#1426 +0+ +#1427 +b10110001 . +b10110001 B +b10110001 : +b1011000101 3 +b1011000101 & +b1011000101 5 +b1011000101 G +1+ +#1428 +0+ +#1429 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1011000110 3 +b1011000110 & +b1011000110 5 +b1011000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1430 +0+ +#1431 +b1011000111 3 +b1011000111 & +b1011000111 5 +b1011000111 G +1+ +#1432 +0+ +#1433 +b10110010 4 +b1011001000 3 +b1011001000 & +b1011001000 5 +b1011001000 G +1+ +#1434 +0+ +#1435 +b10110010 . +b10110010 B +b10110010 : +b1011001001 3 +b1011001001 & +b1011001001 5 +b1011001001 G +1+ +#1436 +0+ +#1437 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1011001010 3 +b1011001010 & +b1011001010 5 +b1011001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1438 +0+ +#1439 +b1011001011 3 +b1011001011 & +b1011001011 5 +b1011001011 G +1+ +#1440 +0+ +#1441 +b10110011 4 +b1011001100 3 +b1011001100 & +b1011001100 5 +b1011001100 G +1+ +#1442 +0+ +#1443 +b10110011 . +b10110011 B +b10110011 : +b1011001101 3 +b1011001101 & +b1011001101 5 +b1011001101 G +1+ +#1444 +0+ +#1445 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1011001110 3 +b1011001110 & +b1011001110 5 +b1011001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1446 +0+ +#1447 +b1011001111 3 +b1011001111 & +b1011001111 5 +b1011001111 G +1+ +#1448 +0+ +#1449 +b10110100 4 +b1011010000 3 +b1011010000 & +b1011010000 5 +b1011010000 G +1+ +#1450 +0+ +#1451 +b10110100 . +b10110100 B +b10110100 : +b1011010001 3 +b1011010001 & +b1011010001 5 +b1011010001 G +1+ +#1452 +0+ +#1453 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1011010010 3 +b1011010010 & +b1011010010 5 +b1011010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1454 +0+ +#1455 +b1011010011 3 +b1011010011 & +b1011010011 5 +b1011010011 G +1+ +#1456 +0+ +#1457 +b10110101 4 +b1011010100 3 +b1011010100 & +b1011010100 5 +b1011010100 G +1+ +#1458 +0+ +#1459 +b10110101 . +b10110101 B +b10110101 : +b1011010101 3 +b1011010101 & +b1011010101 5 +b1011010101 G +1+ +#1460 +0+ +#1461 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1011010110 3 +b1011010110 & +b1011010110 5 +b1011010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1462 +0+ +#1463 +b1011010111 3 +b1011010111 & +b1011010111 5 +b1011010111 G +1+ +#1464 +0+ +#1465 +b10110110 4 +b1011011000 3 +b1011011000 & +b1011011000 5 +b1011011000 G +1+ +#1466 +0+ +#1467 +b10110110 . +b10110110 B +b10110110 : +b1011011001 3 +b1011011001 & +b1011011001 5 +b1011011001 G +1+ +#1468 +0+ +#1469 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1011011010 3 +b1011011010 & +b1011011010 5 +b1011011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1470 +0+ +#1471 +b1011011011 3 +b1011011011 & +b1011011011 5 +b1011011011 G +1+ +#1472 +0+ +#1473 +b10110111 4 +b1011011100 3 +b1011011100 & +b1011011100 5 +b1011011100 G +1+ +#1474 +0+ +#1475 +b10110111 . +b10110111 B +b10110111 : +b1011011101 3 +b1011011101 & +b1011011101 5 +b1011011101 G +1+ +#1476 +0+ +#1477 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1011011110 3 +b1011011110 & +b1011011110 5 +b1011011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1478 +0+ +#1479 +b1011011111 3 +b1011011111 & +b1011011111 5 +b1011011111 G +1+ +#1480 +0+ +#1481 +b10111000 4 +b1011100000 3 +b1011100000 & +b1011100000 5 +b1011100000 G +1+ +#1482 +0+ +#1483 +b10111000 . +b10111000 B +b10111000 : +b1011100001 3 +b1011100001 & +b1011100001 5 +b1011100001 G +1+ +#1484 +0+ +#1485 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1011100010 3 +b1011100010 & +b1011100010 5 +b1011100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1486 +0+ +#1487 +b1011100011 3 +b1011100011 & +b1011100011 5 +b1011100011 G +1+ +#1488 +0+ +#1489 +b10111001 4 +b1011100100 3 +b1011100100 & +b1011100100 5 +b1011100100 G +1+ +#1490 +0+ +#1491 +b10111001 . +b10111001 B +b10111001 : +b1011100101 3 +b1011100101 & +b1011100101 5 +b1011100101 G +1+ +#1492 +0+ +#1493 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1011100110 3 +b1011100110 & +b1011100110 5 +b1011100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1494 +0+ +#1495 +b1011100111 3 +b1011100111 & +b1011100111 5 +b1011100111 G +1+ +#1496 +0+ +#1497 +b10111010 4 +b1011101000 3 +b1011101000 & +b1011101000 5 +b1011101000 G +1+ +#1498 +0+ +#1499 +b10111010 . +b10111010 B +b10111010 : +b1011101001 3 +b1011101001 & +b1011101001 5 +b1011101001 G +1+ +#1500 +0+ +#1501 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1011101010 3 +b1011101010 & +b1011101010 5 +b1011101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1502 +0+ +#1503 +b1011101011 3 +b1011101011 & +b1011101011 5 +b1011101011 G +1+ +#1504 +0+ +#1505 +b10111011 4 +b1011101100 3 +b1011101100 & +b1011101100 5 +b1011101100 G +1+ +#1506 +0+ +#1507 +b10111011 . +b10111011 B +b10111011 : +b1011101101 3 +b1011101101 & +b1011101101 5 +b1011101101 G +1+ +#1508 +0+ +#1509 +b1000 ' +b1000 6 +b100010000000 < +b1011101110 3 +b1011101110 & +b1011101110 5 +b1011101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1510 +0+ +#1511 +b1011101111 3 +b1011101111 & +b1011101111 5 +b1011101111 G +1+ +#1512 +0+ +#1513 +b10111100 4 +b1011110000 3 +b1011110000 & +b1011110000 5 +b1011110000 G +1+ +#1514 +0+ +#1515 +1% +b10111100 . +b10111100 B +b10111100 : +b1011110001 3 +b1011110001 & +b1011110001 5 +b1011110001 G +1+ +#1516 +0+ +#1517 +b0 $ +b0 2 +b10000000 < +b1011110010 3 +b1011110010 & +b1011110010 5 +b1011110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1518 +0+ +#1519 +b1011110011 3 +b1011110011 & +b1011110011 5 +b1011110011 G +1+ +#1520 +0+ +#1521 +b10111101 4 +b1011110100 3 +b1011110100 & +b1011110100 5 +b1011110100 G +1+ +#1522 +0+ +#1523 +b10111101 . +b10111101 B +b10111101 : +b1011110101 3 +b1011110101 & +b1011110101 5 +b1011110101 G +1+ +#1524 +0+ +#1525 +b1000 * +b1000 9 +b10001000 < +b1011110110 3 +b1011110110 & +b1011110110 5 +b1011110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1526 +0+ +#1527 +b1011110111 3 +b1011110111 & +b1011110111 5 +b1011110111 G +1+ +#1528 +0+ +#1529 +b10111110 4 +b1011111000 3 +b1011111000 & +b1011111000 5 +b1011111000 G +1+ +#1530 +0+ +#1531 +b10111110 . +b10111110 B +b10111110 : +b1011111001 3 +b1011111001 & +b1011111001 5 +b1011111001 G +1+ +#1532 +0+ +#1533 +b0 ' +b0 6 +b1000 < +b1011111010 3 +b1011111010 & +b1011111010 5 +b1011111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1534 +0+ +#1535 +b1011111011 3 +b1011111011 & +b1011111011 5 +b1011111011 G +1+ +#1536 +0+ +#1537 +b10111111 4 +b1011111100 3 +b1011111100 & +b1011111100 5 +b1011111100 G +1+ +#1538 +0+ +#1539 +b10111111 . +b10111111 B +b10111111 : +b1011111101 3 +b1011111101 & +b1011111101 5 +b1011111101 G +1+ +#1540 +0+ +#1541 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1011111110 3 +b1011111110 & +b1011111110 5 +b1011111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1542 +0+ +#1543 +b1011111111 3 +b1011111111 & +b1011111111 5 +b1011111111 G +1+ +#1544 +0+ +#1545 +b11000000 4 +b1100000000 3 +b1100000000 & +b1100000000 5 +b1100000000 G +1+ +#1546 +0+ +#1547 +b11000000 . +b11000000 B +b11000000 : +b1100000001 3 +b1100000001 & +b1100000001 5 +b1100000001 G +1+ +#1548 +0+ +#1549 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1100000010 3 +b1100000010 & +b1100000010 5 +b1100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1550 +0+ +#1551 +b1100000011 3 +b1100000011 & +b1100000011 5 +b1100000011 G +1+ +#1552 +0+ +#1553 +b11000001 4 +b1100000100 3 +b1100000100 & +b1100000100 5 +b1100000100 G +1+ +#1554 +0+ +#1555 +b11000001 . +b11000001 B +b11000001 : +b1100000101 3 +b1100000101 & +b1100000101 5 +b1100000101 G +1+ +#1556 +0+ +#1557 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1100000110 3 +b1100000110 & +b1100000110 5 +b1100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1558 +0+ +#1559 +b1100000111 3 +b1100000111 & +b1100000111 5 +b1100000111 G +1+ +#1560 +0+ +#1561 +b11000010 4 +b1100001000 3 +b1100001000 & +b1100001000 5 +b1100001000 G +1+ +#1562 +0+ +#1563 +b11000010 . +b11000010 B +b11000010 : +b1100001001 3 +b1100001001 & +b1100001001 5 +b1100001001 G +1+ +#1564 +0+ +#1565 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1100001010 3 +b1100001010 & +b1100001010 5 +b1100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1566 +0+ +#1567 +b1100001011 3 +b1100001011 & +b1100001011 5 +b1100001011 G +1+ +#1568 +0+ +#1569 +b11000011 4 +b1100001100 3 +b1100001100 & +b1100001100 5 +b1100001100 G +1+ +#1570 +0+ +#1571 +b11000011 . +b11000011 B +b11000011 : +b1100001101 3 +b1100001101 & +b1100001101 5 +b1100001101 G +1+ +#1572 +0+ +#1573 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1100001110 3 +b1100001110 & +b1100001110 5 +b1100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1574 +0+ +#1575 +b1100001111 3 +b1100001111 & +b1100001111 5 +b1100001111 G +1+ +#1576 +0+ +#1577 +b11000100 4 +b1100010000 3 +b1100010000 & +b1100010000 5 +b1100010000 G +1+ +#1578 +0+ +#1579 +b11000100 . +b11000100 B +b11000100 : +b1100010001 3 +b1100010001 & +b1100010001 5 +b1100010001 G +1+ +#1580 +0+ +#1581 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1100010010 3 +b1100010010 & +b1100010010 5 +b1100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1582 +0+ +#1583 +b1100010011 3 +b1100010011 & +b1100010011 5 +b1100010011 G +1+ +#1584 +0+ +#1585 +b11000101 4 +b1100010100 3 +b1100010100 & +b1100010100 5 +b1100010100 G +1+ +#1586 +0+ +#1587 +b11000101 . +b11000101 B +b11000101 : +b1100010101 3 +b1100010101 & +b1100010101 5 +b1100010101 G +1+ +#1588 +0+ +#1589 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1100010110 3 +b1100010110 & +b1100010110 5 +b1100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1590 +0+ +#1591 +b1100010111 3 +b1100010111 & +b1100010111 5 +b1100010111 G +1+ +#1592 +0+ +#1593 +b11000110 4 +b1100011000 3 +b1100011000 & +b1100011000 5 +b1100011000 G +1+ +#1594 +0+ +#1595 +b11000110 . +b11000110 B +b11000110 : +b1100011001 3 +b1100011001 & +b1100011001 5 +b1100011001 G +1+ +#1596 +0+ +#1597 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1100011010 3 +b1100011010 & +b1100011010 5 +b1100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1598 +0+ +#1599 +b1100011011 3 +b1100011011 & +b1100011011 5 +b1100011011 G +1+ +#1600 +0+ +#1601 +b11000111 4 +b1100011100 3 +b1100011100 & +b1100011100 5 +b1100011100 G +1+ +#1602 +0+ +#1603 +b11000111 . +b11000111 B +b11000111 : +b1100011101 3 +b1100011101 & +b1100011101 5 +b1100011101 G +1+ +#1604 +0+ +#1605 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1100011110 3 +b1100011110 & +b1100011110 5 +b1100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1606 +0+ +#1607 +b1100011111 3 +b1100011111 & +b1100011111 5 +b1100011111 G +1+ +#1608 +0+ +#1609 +1( +b1010000 4 +b1 # +b1 1 +b1 H +b101000000 3 +b0 & +b0 5 +b0 G +1+ +#1610 +0+ +#1611 +b1010000 . +b1010000 B +b1010000 : +b101000001 3 +b1 & +b1 5 +b1 G +1+ +#1612 +0+ +#1613 +b0 ' +b0 6 +b111100000000 < +b101000010 3 +b10 & +b10 5 +b10 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1614 +0+ +#1615 +b101000011 3 +b11 & +b11 5 +b11 G +1+ +#1616 +0+ +#1617 +b1010001 4 +b101000100 3 +b100 & +b100 5 +b100 G +1+ +#1618 +0+ +#1619 +b1010001 . +b1010001 B +b1010001 : +b101000101 3 +b101 & +b101 5 +b101 G +1+ +#1620 +0+ +#1621 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b101000110 3 +b110 & +b110 5 +b110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1622 +0+ +#1623 +b101000111 3 +b111 & +b111 5 +b111 G +1+ +#1624 +0+ +#1625 +b1010010 4 +b101001000 3 +b1000 & +b1000 5 +b1000 G +1+ +#1626 +0+ +#1627 +b1010010 . +b1010010 B +b1010010 : +b101001001 3 +b1001 & +b1001 5 +b1001 G +1+ +#1628 +0+ +#1629 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b101001010 3 +b1010 & +b1010 5 +b1010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1630 +0+ +#1631 +b101001011 3 +b1011 & +b1011 5 +b1011 G +1+ +#1632 +0+ +#1633 +b1010011 4 +b101001100 3 +b1100 & +b1100 5 +b1100 G +1+ +#1634 +0+ +#1635 +b1010011 . +b1010011 B +b1010011 : +b101001101 3 +b1101 & +b1101 5 +b1101 G +1+ +#1636 +0+ +#1637 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b101001110 3 +b1110 & +b1110 5 +b1110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1638 +0+ +#1639 +b101001111 3 +b1111 & +b1111 5 +b1111 G +1+ +#1640 +0+ +#1641 +b1010100 4 +b101010000 3 +b10000 & +b10000 5 +b10000 G +1+ +#1642 +0+ +#1643 +b1010100 . +b1010100 B +b1010100 : +b101010001 3 +b10001 & +b10001 5 +b10001 G +1+ +#1644 +0+ +#1645 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b101010010 3 +b10010 & +b10010 5 +b10010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1646 +0+ +#1647 +b101010011 3 +b10011 & +b10011 5 +b10011 G +1+ +#1648 +0+ +#1649 +b1010101 4 +b101010100 3 +b10100 & +b10100 5 +b10100 G +1+ +#1650 +0+ +#1651 +b1010101 . +b1010101 B +b1010101 : +b101010101 3 +b10101 & +b10101 5 +b10101 G +1+ +#1652 +0+ +#1653 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b101010110 3 +b10110 & +b10110 5 +b10110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1654 +0+ +#1655 +b101010111 3 +b10111 & +b10111 5 +b10111 G +1+ +#1656 +0+ +#1657 +b1010110 4 +b101011000 3 +b11000 & +b11000 5 +b11000 G +1+ +#1658 +0+ +#1659 +b1010110 . +b1010110 B +b1010110 : +b101011001 3 +b11001 & +b11001 5 +b11001 G +1+ +#1660 +0+ +#1661 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b101011010 3 +b11010 & +b11010 5 +b11010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1662 +0+ +#1663 +b101011011 3 +b11011 & +b11011 5 +b11011 G +1+ +#1664 +0+ +#1665 +b1010111 4 +b101011100 3 +b11100 & +b11100 5 +b11100 G +1+ +#1666 +0+ +#1667 +b1010111 . +b1010111 B +b1010111 : +b101011101 3 +b11101 & +b11101 5 +b11101 G +1+ +#1668 +0+ +#1669 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b101011110 3 +b11110 & +b11110 5 +b11110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1670 +0+ +#1671 +b101011111 3 +b11111 & +b11111 5 +b11111 G +1+ +#1672 +0+ +#1673 +b1011000 4 +b101100000 3 +b100000 & +b100000 5 +b100000 G +1+ +#1674 +0+ +#1675 +b1011000 . +b1011000 B +b1011000 : +b101100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#1676 +0+ +#1677 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b101100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1678 +0+ +#1679 +b101100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#1680 +0+ +#1681 +b1011001 4 +b101100100 3 +b100100 & +b100100 5 +b100100 G +1+ +#1682 +0+ +#1683 +b1011001 . +b1011001 B +b1011001 : +b101100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#1684 +0+ +#1685 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b101100110 3 +b100110 & +b100110 5 +b100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1686 +0+ +#1687 +b101100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#1688 +0+ +#1689 +b1011010 4 +b101101000 3 +b101000 & +b101000 5 +b101000 G +1+ +#1690 +0+ +#1691 +b1011010 . +b1011010 B +b1011010 : +b101101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#1692 +0+ +#1693 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b101101010 3 +b101010 & +b101010 5 +b101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1694 +0+ +#1695 +b101101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#1696 +0+ +#1697 +b1011011 4 +b101101100 3 +b101100 & +b101100 5 +b101100 G +1+ +#1698 +0+ +#1699 +b1011011 . +b1011011 B +b1011011 : +b101101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#1700 +0+ +#1701 +b1000 ' +b1000 6 +b100010000000 < +b101101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1702 +0+ +#1703 +b101101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#1704 +0+ +#1705 +b1011100 4 +b101110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#1706 +0+ +#1707 +b1011100 . +b1011100 B +b1011100 : +b101110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#1708 +0+ +#1709 +b0 $ +b0 2 +b10000000 < +b101110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1710 +0+ +#1711 +b101110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#1712 +0+ +#1713 +b1011101 4 +b101110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#1714 +0+ +#1715 +b1011101 . +b1011101 B +b1011101 : +b101110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#1716 +0+ +#1717 +b1000 * +b1000 9 +b10001000 < +b101110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1718 +0+ +#1719 +b101110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#1720 +0+ +#1721 +b1011110 4 +b101111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#1722 +0+ +#1723 +b1011110 . +b1011110 B +b1011110 : +b101111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#1724 +0+ +#1725 +b0 ' +b0 6 +b1000 < +b101111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1726 +0+ +#1727 +b101111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#1728 +0+ +#1729 +b1011111 4 +b101111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#1730 +0+ +#1731 +b1011111 . +b1011111 B +b1011111 : +b101111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#1732 +0+ +#1733 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b101111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1734 +0+ +#1735 +b101111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#1736 +0+ +#1737 +b1100000 4 +b110000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#1738 +0+ +#1739 +b1100000 . +b1100000 B +b1100000 : +b110000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#1740 +0+ +#1741 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b110000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1742 +0+ +#1743 +b110000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#1744 +0+ +#1745 +b1100001 4 +b110000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#1746 +0+ +#1747 +b1100001 . +b1100001 B +b1100001 : +b110000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#1748 +0+ +#1749 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b110000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1750 +0+ +#1751 +b110000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#1752 +0+ +#1753 +b1100010 4 +b110001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#1754 +0+ +#1755 +b1100010 . +b1100010 B +b1100010 : +b110001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#1756 +0+ +#1757 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b110001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1758 +0+ +#1759 +b110001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#1760 +0+ +#1761 +b1100011 4 +b110001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#1762 +0+ +#1763 +b1100011 . +b1100011 B +b1100011 : +b110001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#1764 +0+ +#1765 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b110001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1766 +0+ +#1767 +b110001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#1768 +0+ +#1769 +b1100100 4 +b110010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#1770 +0+ +#1771 +b1100100 . +b1100100 B +b1100100 : +b110010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#1772 +0+ +#1773 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b110010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1774 +0+ +#1775 +b110010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#1776 +0+ +#1777 +b1100101 4 +b110010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#1778 +0+ +#1779 +b1100101 . +b1100101 B +b1100101 : +b110010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#1780 +0+ +#1781 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b110010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1782 +0+ +#1783 +b110010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#1784 +0+ +#1785 +b1100110 4 +b110011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#1786 +0+ +#1787 +b1100110 . +b1100110 B +b1100110 : +b110011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#1788 +0+ +#1789 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b110011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1790 +0+ +#1791 +b110011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#1792 +0+ +#1793 +b1100111 4 +b110011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#1794 +0+ +#1795 +b1100111 . +b1100111 B +b1100111 : +b110011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#1796 +0+ +#1797 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b110011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1798 +0+ +#1799 +b110011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#1800 +0+ +#1801 +b1101000 4 +b110100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#1802 +0+ +#1803 +b1101000 . +b1101000 B +b1101000 : +b110100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#1804 +0+ +#1805 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b110100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1806 +0+ +#1807 +b110100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#1808 +0+ +#1809 +b1101001 4 +b110100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#1810 +0+ +#1811 +b1101001 . +b1101001 B +b1101001 : +b110100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#1812 +0+ +#1813 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b110100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1814 +0+ +#1815 +b110100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#1816 +0+ +#1817 +b1101010 4 +b110101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#1818 +0+ +#1819 +b1101010 . +b1101010 B +b1101010 : +b110101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#1820 +0+ +#1821 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b110101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1822 +0+ +#1823 +b110101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#1824 +0+ +#1825 +b1101011 4 +b110101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#1826 +0+ +#1827 +b1101011 . +b1101011 B +b1101011 : +b110101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#1828 +0+ +#1829 +b1000 ' +b1000 6 +b100010000000 < +b110101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1830 +0+ +#1831 +b110101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#1832 +0+ +#1833 +b1101100 4 +b110110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#1834 +0+ +#1835 +b1101100 . +b1101100 B +b1101100 : +b110110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#1836 +0+ +#1837 +b0 $ +b0 2 +b10000000 < +b110110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1838 +0+ +#1839 +b110110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#1840 +0+ +#1841 +b1101101 4 +b110110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#1842 +0+ +#1843 +b1101101 . +b1101101 B +b1101101 : +b110110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#1844 +0+ +#1845 +b1000 * +b1000 9 +b10001000 < +b110110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1846 +0+ +#1847 +b110110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#1848 +0+ +#1849 +b1101110 4 +b110111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#1850 +0+ +#1851 +b1101110 . +b1101110 B +b1101110 : +b110111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#1852 +0+ +#1853 +b0 ' +b0 6 +b1000 < +b110111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1854 +0+ +#1855 +b110111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#1856 +0+ +#1857 +b1101111 4 +b110111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#1858 +0+ +#1859 +b1101111 . +b1101111 B +b1101111 : +b110111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#1860 +0+ +#1861 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b110111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1862 +0+ +#1863 +b110111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#1864 +0+ +#1865 +b1110000 4 +b111000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#1866 +0+ +#1867 +b1110000 . +b1110000 B +b1110000 : +b111000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#1868 +0+ +#1869 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b111000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1870 +0+ +#1871 +b111000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#1872 +0+ +#1873 +b1110001 4 +b111000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#1874 +0+ +#1875 +b1110001 . +b1110001 B +b1110001 : +b111000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#1876 +0+ +#1877 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b111000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#1878 +0+ +#1879 +b111000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#1880 +0+ +#1881 +b1110010 4 +b111001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#1882 +0+ +#1883 +b1110010 . +b1110010 B +b1110010 : +b111001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#1884 +0+ +#1885 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b111001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#1886 +0+ +#1887 +b111001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#1888 +0+ +#1889 +b1110011 4 +b111001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#1890 +0+ +#1891 +b1110011 . +b1110011 B +b1110011 : +b111001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#1892 +0+ +#1893 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b111001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#1894 +0+ +#1895 +b111001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#1896 +0+ +#1897 +b1110100 4 +b111010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#1898 +0+ +#1899 +b1110100 . +b1110100 B +b1110100 : +b111010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#1900 +0+ +#1901 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b111010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#1902 +0+ +#1903 +b111010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#1904 +0+ +#1905 +b1110101 4 +b111010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#1906 +0+ +#1907 +b1110101 . +b1110101 B +b1110101 : +b111010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#1908 +0+ +#1909 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b111010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#1910 +0+ +#1911 +b111010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#1912 +0+ +#1913 +b1110110 4 +b111011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#1914 +0+ +#1915 +b1110110 . +b1110110 B +b1110110 : +b111011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#1916 +0+ +#1917 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b111011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#1918 +0+ +#1919 +b111011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#1920 +0+ +#1921 +b1110111 4 +b111011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#1922 +0+ +#1923 +b1110111 . +b1110111 B +b1110111 : +b111011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#1924 +0+ +#1925 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b111011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#1926 +0+ +#1927 +b111011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#1928 +0+ +#1929 +b1111000 4 +b111100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#1930 +0+ +#1931 +b1111000 . +b1111000 B +b1111000 : +b111100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#1932 +0+ +#1933 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b111100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#1934 +0+ +#1935 +b111100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#1936 +0+ +#1937 +b1111001 4 +b111100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#1938 +0+ +#1939 +b1111001 . +b1111001 B +b1111001 : +b111100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#1940 +0+ +#1941 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b111100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#1942 +0+ +#1943 +b111100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#1944 +0+ +#1945 +b1111010 4 +b111101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#1946 +0+ +#1947 +b1111010 . +b1111010 B +b1111010 : +b111101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#1948 +0+ +#1949 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b111101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#1950 +0+ +#1951 +b111101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#1952 +0+ +#1953 +b1111011 4 +b111101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#1954 +0+ +#1955 +b1111011 . +b1111011 B +b1111011 : +b111101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#1956 +0+ +#1957 +b1000 ' +b1000 6 +b100010000000 < +b111101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#1958 +0+ +#1959 +b111101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#1960 +0+ +#1961 +b1111100 4 +b111110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#1962 +0+ +#1963 +b1111100 . +b1111100 B +b1111100 : +b111110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#1964 +0+ +#1965 +b0 $ +b0 2 +b10000000 < +b111110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#1966 +0+ +#1967 +b111110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#1968 +0+ +#1969 +b1111101 4 +b111110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#1970 +0+ +#1971 +b1111101 . +b1111101 B +b1111101 : +b111110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#1972 +0+ +#1973 +b1000 * +b1000 9 +b10001000 < +b111110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#1974 +0+ +#1975 +b111110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#1976 +0+ +#1977 +b1111110 4 +b111111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#1978 +0+ +#1979 +b1111110 . +b1111110 B +b1111110 : +b111111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#1980 +0+ +#1981 +b0 ' +b0 6 +b1000 < +b111111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#1982 +0+ +#1983 +b111111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#1984 +0+ +#1985 +b1111111 4 +b111111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#1986 +0+ +#1987 +b1111111 . +b1111111 B +b1111111 : +b111111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#1988 +0+ +#1989 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b111111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#1990 +0+ +#1991 +b111111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#1992 +0+ +#1993 +b10000000 4 +b1000000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#1994 +0+ +#1995 +b10000000 . +b10000000 B +b10000000 : +b1000000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#1996 +0+ +#1997 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1000000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#1998 +0+ +#1999 +b1000000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#2000 +0+ +#2001 +b10000001 4 +b1000000100 3 +b11000100 & +b11000100 5 +b11000100 G +1+ +#2002 +0+ +#2003 +b10000001 . +b10000001 B +b10000001 : +b1000000101 3 +b11000101 & +b11000101 5 +b11000101 G +1+ +#2004 +0+ +#2005 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1000000110 3 +b11000110 & +b11000110 5 +b11000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2006 +0+ +#2007 +b1000000111 3 +b11000111 & +b11000111 5 +b11000111 G +1+ +#2008 +0+ +#2009 +b10000010 4 +b1000001000 3 +b11001000 & +b11001000 5 +b11001000 G +1+ +#2010 +0+ +#2011 +b10000010 . +b10000010 B +b10000010 : +b1000001001 3 +b11001001 & +b11001001 5 +b11001001 G +1+ +#2012 +0+ +#2013 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1000001010 3 +b11001010 & +b11001010 5 +b11001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2014 +0+ +#2015 +b1000001011 3 +b11001011 & +b11001011 5 +b11001011 G +1+ +#2016 +0+ +#2017 +b10000011 4 +b1000001100 3 +b11001100 & +b11001100 5 +b11001100 G +1+ +#2018 +0+ +#2019 +b10000011 . +b10000011 B +b10000011 : +b1000001101 3 +b11001101 & +b11001101 5 +b11001101 G +1+ +#2020 +0+ +#2021 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1000001110 3 +b11001110 & +b11001110 5 +b11001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2022 +0+ +#2023 +b1000001111 3 +b11001111 & +b11001111 5 +b11001111 G +1+ +#2024 +0+ +#2025 +b10000100 4 +b1000010000 3 +b11010000 & +b11010000 5 +b11010000 G +1+ +#2026 +0+ +#2027 +b10000100 . +b10000100 B +b10000100 : +b1000010001 3 +b11010001 & +b11010001 5 +b11010001 G +1+ +#2028 +0+ +#2029 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1000010010 3 +b11010010 & +b11010010 5 +b11010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2030 +0+ +#2031 +b1000010011 3 +b11010011 & +b11010011 5 +b11010011 G +1+ +#2032 +0+ +#2033 +b10000101 4 +b1000010100 3 +b11010100 & +b11010100 5 +b11010100 G +1+ +#2034 +0+ +#2035 +b10000101 . +b10000101 B +b10000101 : +b1000010101 3 +b11010101 & +b11010101 5 +b11010101 G +1+ +#2036 +0+ +#2037 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1000010110 3 +b11010110 & +b11010110 5 +b11010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2038 +0+ +#2039 +b1000010111 3 +b11010111 & +b11010111 5 +b11010111 G +1+ +#2040 +0+ +#2041 +b10000110 4 +b1000011000 3 +b11011000 & +b11011000 5 +b11011000 G +1+ +#2042 +0+ +#2043 +b10000110 . +b10000110 B +b10000110 : +b1000011001 3 +b11011001 & +b11011001 5 +b11011001 G +1+ +#2044 +0+ +#2045 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1000011010 3 +b11011010 & +b11011010 5 +b11011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2046 +0+ +#2047 +b1000011011 3 +b11011011 & +b11011011 5 +b11011011 G +1+ +#2048 +0+ +#2049 +b10000111 4 +b1000011100 3 +b11011100 & +b11011100 5 +b11011100 G +1+ +#2050 +0+ +#2051 +b10000111 . +b10000111 B +b10000111 : +b1000011101 3 +b11011101 & +b11011101 5 +b11011101 G +1+ +#2052 +0+ +#2053 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1000011110 3 +b11011110 & +b11011110 5 +b11011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2054 +0+ +#2055 +b1000011111 3 +b11011111 & +b11011111 5 +b11011111 G +1+ +#2056 +0+ +#2057 +b10001000 4 +b1000100000 3 +b11100000 & +b11100000 5 +b11100000 G +1+ +#2058 +0+ +#2059 +b10001000 . +b10001000 B +b10001000 : +b1000100001 3 +b11100001 & +b11100001 5 +b11100001 G +1+ +#2060 +0+ +#2061 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1000100010 3 +b11100010 & +b11100010 5 +b11100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2062 +0+ +#2063 +b1000100011 3 +b11100011 & +b11100011 5 +b11100011 G +1+ +#2064 +0+ +#2065 +b10001001 4 +b1000100100 3 +b11100100 & +b11100100 5 +b11100100 G +1+ +#2066 +0+ +#2067 +b10001001 . +b10001001 B +b10001001 : +b1000100101 3 +b11100101 & +b11100101 5 +b11100101 G +1+ +#2068 +0+ +#2069 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1000100110 3 +b11100110 & +b11100110 5 +b11100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2070 +0+ +#2071 +b1000100111 3 +b11100111 & +b11100111 5 +b11100111 G +1+ +#2072 +0+ +#2073 +b10001010 4 +b1000101000 3 +b11101000 & +b11101000 5 +b11101000 G +1+ +#2074 +0+ +#2075 +b10001010 . +b10001010 B +b10001010 : +b1000101001 3 +b11101001 & +b11101001 5 +b11101001 G +1+ +#2076 +0+ +#2077 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1000101010 3 +b11101010 & +b11101010 5 +b11101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2078 +0+ +#2079 +b1000101011 3 +b11101011 & +b11101011 5 +b11101011 G +1+ +#2080 +0+ +#2081 +b10001011 4 +b1000101100 3 +b11101100 & +b11101100 5 +b11101100 G +1+ +#2082 +0+ +#2083 +b10001011 . +b10001011 B +b10001011 : +b1000101101 3 +b11101101 & +b11101101 5 +b11101101 G +1+ +#2084 +0+ +#2085 +b1000 ' +b1000 6 +b100010000000 < +b1000101110 3 +b11101110 & +b11101110 5 +b11101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2086 +0+ +#2087 +b1000101111 3 +b11101111 & +b11101111 5 +b11101111 G +1+ +#2088 +0+ +#2089 +b10001100 4 +b1000110000 3 +b11110000 & +b11110000 5 +b11110000 G +1+ +#2090 +0+ +#2091 +b10001100 . +b10001100 B +b10001100 : +b1000110001 3 +b11110001 & +b11110001 5 +b11110001 G +1+ +#2092 +0+ +#2093 +b0 $ +b0 2 +b10000000 < +b1000110010 3 +b11110010 & +b11110010 5 +b11110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2094 +0+ +#2095 +b1000110011 3 +b11110011 & +b11110011 5 +b11110011 G +1+ +#2096 +0+ +#2097 +b10001101 4 +b1000110100 3 +b11110100 & +b11110100 5 +b11110100 G +1+ +#2098 +0+ +#2099 +b10001101 . +b10001101 B +b10001101 : +b1000110101 3 +b11110101 & +b11110101 5 +b11110101 G +1+ +#2100 +0+ +#2101 +b1000 * +b1000 9 +b10001000 < +b1000110110 3 +b11110110 & +b11110110 5 +b11110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2102 +0+ +#2103 +b1000110111 3 +b11110111 & +b11110111 5 +b11110111 G +1+ +#2104 +0+ +#2105 +b10001110 4 +b1000111000 3 +b11111000 & +b11111000 5 +b11111000 G +1+ +#2106 +0+ +#2107 +b10001110 . +b10001110 B +b10001110 : +b1000111001 3 +b11111001 & +b11111001 5 +b11111001 G +1+ +#2108 +0+ +#2109 +b0 ' +b0 6 +b1000 < +b1000111010 3 +b11111010 & +b11111010 5 +b11111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2110 +0+ +#2111 +b1000111011 3 +b11111011 & +b11111011 5 +b11111011 G +1+ +#2112 +0+ +#2113 +b10001111 4 +b1000111100 3 +b11111100 & +b11111100 5 +b11111100 G +1+ +#2114 +0+ +#2115 +b10001111 . +b10001111 B +b10001111 : +b1000111101 3 +b11111101 & +b11111101 5 +b11111101 G +1+ +#2116 +0+ +#2117 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1000111110 3 +b11111110 & +b11111110 5 +b11111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2118 +0+ +#2119 +b1000111111 3 +b11111111 & +b11111111 5 +b11111111 G +1+ +#2120 +0+ +#2121 +b10010000 4 +b1001000000 3 +b100000000 & +b100000000 5 +b100000000 G +1+ +#2122 +0+ +#2123 +b10010000 . +b10010000 B +b10010000 : +b1001000001 3 +b100000001 & +b100000001 5 +b100000001 G +1+ +#2124 +0+ +#2125 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1001000010 3 +b100000010 & +b100000010 5 +b100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2126 +0+ +#2127 +b1001000011 3 +b100000011 & +b100000011 5 +b100000011 G +1+ +#2128 +0+ +#2129 +b10010001 4 +b1001000100 3 +b100000100 & +b100000100 5 +b100000100 G +1+ +#2130 +0+ +#2131 +b10010001 . +b10010001 B +b10010001 : +b1001000101 3 +b100000101 & +b100000101 5 +b100000101 G +1+ +#2132 +0+ +#2133 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1001000110 3 +b100000110 & +b100000110 5 +b100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2134 +0+ +#2135 +b1001000111 3 +b100000111 & +b100000111 5 +b100000111 G +1+ +#2136 +0+ +#2137 +b10010010 4 +b1001001000 3 +b100001000 & +b100001000 5 +b100001000 G +1+ +#2138 +0+ +#2139 +b10010010 . +b10010010 B +b10010010 : +b1001001001 3 +b100001001 & +b100001001 5 +b100001001 G +1+ +#2140 +0+ +#2141 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1001001010 3 +b100001010 & +b100001010 5 +b100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2142 +0+ +#2143 +b1001001011 3 +b100001011 & +b100001011 5 +b100001011 G +1+ +#2144 +0+ +#2145 +b10010011 4 +b1001001100 3 +b100001100 & +b100001100 5 +b100001100 G +1+ +#2146 +0+ +#2147 +b10010011 . +b10010011 B +b10010011 : +b1001001101 3 +b100001101 & +b100001101 5 +b100001101 G +1+ +#2148 +0+ +#2149 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1001001110 3 +b100001110 & +b100001110 5 +b100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2150 +0+ +#2151 +b1001001111 3 +b100001111 & +b100001111 5 +b100001111 G +1+ +#2152 +0+ +#2153 +b10010100 4 +b1001010000 3 +b100010000 & +b100010000 5 +b100010000 G +1+ +#2154 +0+ +#2155 +b10010100 . +b10010100 B +b10010100 : +b1001010001 3 +b100010001 & +b100010001 5 +b100010001 G +1+ +#2156 +0+ +#2157 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1001010010 3 +b100010010 & +b100010010 5 +b100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2158 +0+ +#2159 +b1001010011 3 +b100010011 & +b100010011 5 +b100010011 G +1+ +#2160 +0+ +#2161 +b10010101 4 +b1001010100 3 +b100010100 & +b100010100 5 +b100010100 G +1+ +#2162 +0+ +#2163 +b10010101 . +b10010101 B +b10010101 : +b1001010101 3 +b100010101 & +b100010101 5 +b100010101 G +1+ +#2164 +0+ +#2165 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1001010110 3 +b100010110 & +b100010110 5 +b100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2166 +0+ +#2167 +b1001010111 3 +b100010111 & +b100010111 5 +b100010111 G +1+ +#2168 +0+ +#2169 +b10010110 4 +b1001011000 3 +b100011000 & +b100011000 5 +b100011000 G +1+ +#2170 +0+ +#2171 +b10010110 . +b10010110 B +b10010110 : +b1001011001 3 +b100011001 & +b100011001 5 +b100011001 G +1+ +#2172 +0+ +#2173 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1001011010 3 +b100011010 & +b100011010 5 +b100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2174 +0+ +#2175 +b1001011011 3 +b100011011 & +b100011011 5 +b100011011 G +1+ +#2176 +0+ +#2177 +b10010111 4 +b1001011100 3 +b100011100 & +b100011100 5 +b100011100 G +1+ +#2178 +0+ +#2179 +b10010111 . +b10010111 B +b10010111 : +b1001011101 3 +b100011101 & +b100011101 5 +b100011101 G +1+ +#2180 +0+ +#2181 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1001011110 3 +b100011110 & +b100011110 5 +b100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2182 +0+ +#2183 +b1001011111 3 +b100011111 & +b100011111 5 +b100011111 G +1+ +#2184 +0+ +#2185 +b10011000 4 +b1001100000 3 +b100100000 & +b100100000 5 +b100100000 G +1+ +#2186 +0+ +#2187 +b10011000 . +b10011000 B +b10011000 : +b1001100001 3 +b100100001 & +b100100001 5 +b100100001 G +1+ +#2188 +0+ +#2189 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1001100010 3 +b100100010 & +b100100010 5 +b100100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2190 +0+ +#2191 +b1001100011 3 +b100100011 & +b100100011 5 +b100100011 G +1+ +#2192 +0+ +#2193 +b10011001 4 +b1001100100 3 +b100100100 & +b100100100 5 +b100100100 G +1+ +#2194 +0+ +#2195 +b10011001 . +b10011001 B +b10011001 : +b1001100101 3 +b100100101 & +b100100101 5 +b100100101 G +1+ +#2196 +0+ +#2197 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1001100110 3 +b100100110 & +b100100110 5 +b100100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2198 +0+ +#2199 +b1001100111 3 +b100100111 & +b100100111 5 +b100100111 G +1+ +#2200 +0+ +#2201 +b10011010 4 +b1001101000 3 +b100101000 & +b100101000 5 +b100101000 G +1+ +#2202 +0+ +#2203 +b10011010 . +b10011010 B +b10011010 : +b1001101001 3 +b100101001 & +b100101001 5 +b100101001 G +1+ +#2204 +0+ +#2205 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1001101010 3 +b100101010 & +b100101010 5 +b100101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2206 +0+ +#2207 +b1001101011 3 +b100101011 & +b100101011 5 +b100101011 G +1+ +#2208 +0+ +#2209 +b10011011 4 +b1001101100 3 +b100101100 & +b100101100 5 +b100101100 G +1+ +#2210 +0+ +#2211 +b10011011 . +b10011011 B +b10011011 : +b1001101101 3 +b100101101 & +b100101101 5 +b100101101 G +1+ +#2212 +0+ +#2213 +b1000 ' +b1000 6 +b100010000000 < +b1001101110 3 +b100101110 & +b100101110 5 +b100101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2214 +0+ +#2215 +b1001101111 3 +b100101111 & +b100101111 5 +b100101111 G +1+ +#2216 +0+ +#2217 +b10011100 4 +b1001110000 3 +b100110000 & +b100110000 5 +b100110000 G +1+ +#2218 +0+ +#2219 +b10011100 . +b10011100 B +b10011100 : +b1001110001 3 +b100110001 & +b100110001 5 +b100110001 G +1+ +#2220 +0+ +#2221 +b0 $ +b0 2 +b10000000 < +b1001110010 3 +b100110010 & +b100110010 5 +b100110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2222 +0+ +#2223 +b1001110011 3 +b100110011 & +b100110011 5 +b100110011 G +1+ +#2224 +0+ +#2225 +b10011101 4 +b1001110100 3 +b100110100 & +b100110100 5 +b100110100 G +1+ +#2226 +0+ +#2227 +b10011101 . +b10011101 B +b10011101 : +b1001110101 3 +b100110101 & +b100110101 5 +b100110101 G +1+ +#2228 +0+ +#2229 +b1000 * +b1000 9 +b10001000 < +b1001110110 3 +b100110110 & +b100110110 5 +b100110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2230 +0+ +#2231 +b1001110111 3 +b100110111 & +b100110111 5 +b100110111 G +1+ +#2232 +0+ +#2233 +b10011110 4 +b1001111000 3 +b100111000 & +b100111000 5 +b100111000 G +1+ +#2234 +0+ +#2235 +b10011110 . +b10011110 B +b10011110 : +b1001111001 3 +b100111001 & +b100111001 5 +b100111001 G +1+ +#2236 +0+ +#2237 +b0 ' +b0 6 +b1000 < +b1001111010 3 +b100111010 & +b100111010 5 +b100111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2238 +0+ +#2239 +b1001111011 3 +b100111011 & +b100111011 5 +b100111011 G +1+ +#2240 +0+ +#2241 +b10011111 4 +b1001111100 3 +b100111100 & +b100111100 5 +b100111100 G +1+ +#2242 +0+ +#2243 +b10011111 . +b10011111 B +b10011111 : +b1001111101 3 +b100111101 & +b100111101 5 +b100111101 G +1+ +#2244 +0+ +#2245 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1001111110 3 +b100111110 & +b100111110 5 +b100111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2246 +0+ +#2247 +b1001111111 3 +b100111111 & +b100111111 5 +b100111111 G +1+ +#2248 +0+ +#2249 +b10100000 4 +b1010000000 3 +b101000000 & +b101000000 5 +b101000000 G +1+ +#2250 +0+ +#2251 +b10100000 . +b10100000 B +b10100000 : +b1010000001 3 +b101000001 & +b101000001 5 +b101000001 G +1+ +#2252 +0+ +#2253 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1010000010 3 +b101000010 & +b101000010 5 +b101000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2254 +0+ +#2255 +b1010000011 3 +b101000011 & +b101000011 5 +b101000011 G +1+ +#2256 +0+ +#2257 +b10100001 4 +b1010000100 3 +b101000100 & +b101000100 5 +b101000100 G +1+ +#2258 +0+ +#2259 +b10100001 . +b10100001 B +b10100001 : +b1010000101 3 +b101000101 & +b101000101 5 +b101000101 G +1+ +#2260 +0+ +#2261 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1010000110 3 +b101000110 & +b101000110 5 +b101000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2262 +0+ +#2263 +b1010000111 3 +b101000111 & +b101000111 5 +b101000111 G +1+ +#2264 +0+ +#2265 +b10100010 4 +b1010001000 3 +b101001000 & +b101001000 5 +b101001000 G +1+ +#2266 +0+ +#2267 +b10100010 . +b10100010 B +b10100010 : +b1010001001 3 +b101001001 & +b101001001 5 +b101001001 G +1+ +#2268 +0+ +#2269 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1010001010 3 +b101001010 & +b101001010 5 +b101001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2270 +0+ +#2271 +b1010001011 3 +b101001011 & +b101001011 5 +b101001011 G +1+ +#2272 +0+ +#2273 +b10100011 4 +b1010001100 3 +b101001100 & +b101001100 5 +b101001100 G +1+ +#2274 +0+ +#2275 +b10100011 . +b10100011 B +b10100011 : +b1010001101 3 +b101001101 & +b101001101 5 +b101001101 G +1+ +#2276 +0+ +#2277 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1010001110 3 +b101001110 & +b101001110 5 +b101001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2278 +0+ +#2279 +b1010001111 3 +b101001111 & +b101001111 5 +b101001111 G +1+ +#2280 +0+ +#2281 +b10100100 4 +b1010010000 3 +b101010000 & +b101010000 5 +b101010000 G +1+ +#2282 +0+ +#2283 +b10100100 . +b10100100 B +b10100100 : +b1010010001 3 +b101010001 & +b101010001 5 +b101010001 G +1+ +#2284 +0+ +#2285 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1010010010 3 +b101010010 & +b101010010 5 +b101010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2286 +0+ +#2287 +b1010010011 3 +b101010011 & +b101010011 5 +b101010011 G +1+ +#2288 +0+ +#2289 +b10100101 4 +b1010010100 3 +b101010100 & +b101010100 5 +b101010100 G +1+ +#2290 +0+ +#2291 +b10100101 . +b10100101 B +b10100101 : +b1010010101 3 +b101010101 & +b101010101 5 +b101010101 G +1+ +#2292 +0+ +#2293 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1010010110 3 +b101010110 & +b101010110 5 +b101010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2294 +0+ +#2295 +b1010010111 3 +b101010111 & +b101010111 5 +b101010111 G +1+ +#2296 +0+ +#2297 +b10100110 4 +b1010011000 3 +b101011000 & +b101011000 5 +b101011000 G +1+ +#2298 +0+ +#2299 +b10100110 . +b10100110 B +b10100110 : +b1010011001 3 +b101011001 & +b101011001 5 +b101011001 G +1+ +#2300 +0+ +#2301 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1010011010 3 +b101011010 & +b101011010 5 +b101011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2302 +0+ +#2303 +b1010011011 3 +b101011011 & +b101011011 5 +b101011011 G +1+ +#2304 +0+ +#2305 +b10100111 4 +b1010011100 3 +b101011100 & +b101011100 5 +b101011100 G +1+ +#2306 +0+ +#2307 +b10100111 . +b10100111 B +b10100111 : +b1010011101 3 +b101011101 & +b101011101 5 +b101011101 G +1+ +#2308 +0+ +#2309 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1010011110 3 +b101011110 & +b101011110 5 +b101011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2310 +0+ +#2311 +b1010011111 3 +b101011111 & +b101011111 5 +b101011111 G +1+ +#2312 +0+ +#2313 +b10101000 4 +b1010100000 3 +b101100000 & +b101100000 5 +b101100000 G +1+ +#2314 +0+ +#2315 +b10101000 . +b10101000 B +b10101000 : +b1010100001 3 +b101100001 & +b101100001 5 +b101100001 G +1+ +#2316 +0+ +#2317 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1010100010 3 +b101100010 & +b101100010 5 +b101100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2318 +0+ +#2319 +b1010100011 3 +b101100011 & +b101100011 5 +b101100011 G +1+ +#2320 +0+ +#2321 +b10101001 4 +b1010100100 3 +b101100100 & +b101100100 5 +b101100100 G +1+ +#2322 +0+ +#2323 +b10101001 . +b10101001 B +b10101001 : +b1010100101 3 +b101100101 & +b101100101 5 +b101100101 G +1+ +#2324 +0+ +#2325 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1010100110 3 +b101100110 & +b101100110 5 +b101100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2326 +0+ +#2327 +b1010100111 3 +b101100111 & +b101100111 5 +b101100111 G +1+ +#2328 +0+ +#2329 +b10101010 4 +b1010101000 3 +b101101000 & +b101101000 5 +b101101000 G +1+ +#2330 +0+ +#2331 +b10101010 . +b10101010 B +b10101010 : +b1010101001 3 +b101101001 & +b101101001 5 +b101101001 G +1+ +#2332 +0+ +#2333 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1010101010 3 +b101101010 & +b101101010 5 +b101101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2334 +0+ +#2335 +b1010101011 3 +b101101011 & +b101101011 5 +b101101011 G +1+ +#2336 +0+ +#2337 +b10101011 4 +b1010101100 3 +b101101100 & +b101101100 5 +b101101100 G +1+ +#2338 +0+ +#2339 +b10101011 . +b10101011 B +b10101011 : +b1010101101 3 +b101101101 & +b101101101 5 +b101101101 G +1+ +#2340 +0+ +#2341 +b1000 ' +b1000 6 +b100010000000 < +b1010101110 3 +b101101110 & +b101101110 5 +b101101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2342 +0+ +#2343 +b1010101111 3 +b101101111 & +b101101111 5 +b101101111 G +1+ +#2344 +0+ +#2345 +b10101100 4 +b1010110000 3 +b101110000 & +b101110000 5 +b101110000 G +1+ +#2346 +0+ +#2347 +b10101100 . +b10101100 B +b10101100 : +b1010110001 3 +b101110001 & +b101110001 5 +b101110001 G +1+ +#2348 +0+ +#2349 +b0 $ +b0 2 +b10000000 < +b1010110010 3 +b101110010 & +b101110010 5 +b101110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2350 +0+ +#2351 +b1010110011 3 +b101110011 & +b101110011 5 +b101110011 G +1+ +#2352 +0+ +#2353 +b10101101 4 +b1010110100 3 +b101110100 & +b101110100 5 +b101110100 G +1+ +#2354 +0+ +#2355 +b10101101 . +b10101101 B +b10101101 : +b1010110101 3 +b101110101 & +b101110101 5 +b101110101 G +1+ +#2356 +0+ +#2357 +b1000 * +b1000 9 +b10001000 < +b1010110110 3 +b101110110 & +b101110110 5 +b101110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2358 +0+ +#2359 +b1010110111 3 +b101110111 & +b101110111 5 +b101110111 G +1+ +#2360 +0+ +#2361 +b10101110 4 +b1010111000 3 +b101111000 & +b101111000 5 +b101111000 G +1+ +#2362 +0+ +#2363 +b10101110 . +b10101110 B +b10101110 : +b1010111001 3 +b101111001 & +b101111001 5 +b101111001 G +1+ +#2364 +0+ +#2365 +b0 ' +b0 6 +b1000 < +b1010111010 3 +b101111010 & +b101111010 5 +b101111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2366 +0+ +#2367 +b1010111011 3 +b101111011 & +b101111011 5 +b101111011 G +1+ +#2368 +0+ +#2369 +b10101111 4 +b1010111100 3 +b101111100 & +b101111100 5 +b101111100 G +1+ +#2370 +0+ +#2371 +b10101111 . +b10101111 B +b10101111 : +b1010111101 3 +b101111101 & +b101111101 5 +b101111101 G +1+ +#2372 +0+ +#2373 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1010111110 3 +b101111110 & +b101111110 5 +b101111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2374 +0+ +#2375 +b1010111111 3 +b101111111 & +b101111111 5 +b101111111 G +1+ +#2376 +0+ +#2377 +b10110000 4 +b1011000000 3 +b110000000 & +b110000000 5 +b110000000 G +1+ +#2378 +0+ +#2379 +b10110000 . +b10110000 B +b10110000 : +b1011000001 3 +b110000001 & +b110000001 5 +b110000001 G +1+ +#2380 +0+ +#2381 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1011000010 3 +b110000010 & +b110000010 5 +b110000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2382 +0+ +#2383 +b1011000011 3 +b110000011 & +b110000011 5 +b110000011 G +1+ +#2384 +0+ +#2385 +b10110001 4 +b1011000100 3 +b110000100 & +b110000100 5 +b110000100 G +1+ +#2386 +0+ +#2387 +b10110001 . +b10110001 B +b10110001 : +b1011000101 3 +b110000101 & +b110000101 5 +b110000101 G +1+ +#2388 +0+ +#2389 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1011000110 3 +b110000110 & +b110000110 5 +b110000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2390 +0+ +#2391 +b1011000111 3 +b110000111 & +b110000111 5 +b110000111 G +1+ +#2392 +0+ +#2393 +b10110010 4 +b1011001000 3 +b110001000 & +b110001000 5 +b110001000 G +1+ +#2394 +0+ +#2395 +b10110010 . +b10110010 B +b10110010 : +b1011001001 3 +b110001001 & +b110001001 5 +b110001001 G +1+ +#2396 +0+ +#2397 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1011001010 3 +b110001010 & +b110001010 5 +b110001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2398 +0+ +#2399 +b1011001011 3 +b110001011 & +b110001011 5 +b110001011 G +1+ +#2400 +0+ +#2401 +b10110011 4 +b1011001100 3 +b110001100 & +b110001100 5 +b110001100 G +1+ +#2402 +0+ +#2403 +b10110011 . +b10110011 B +b10110011 : +b1011001101 3 +b110001101 & +b110001101 5 +b110001101 G +1+ +#2404 +0+ +#2405 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1011001110 3 +b110001110 & +b110001110 5 +b110001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2406 +0+ +#2407 +b1011001111 3 +b110001111 & +b110001111 5 +b110001111 G +1+ +#2408 +0+ +#2409 +b10110100 4 +b1011010000 3 +b110010000 & +b110010000 5 +b110010000 G +1+ +#2410 +0+ +#2411 +b10110100 . +b10110100 B +b10110100 : +b1011010001 3 +b110010001 & +b110010001 5 +b110010001 G +1+ +#2412 +0+ +#2413 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1011010010 3 +b110010010 & +b110010010 5 +b110010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2414 +0+ +#2415 +b1011010011 3 +b110010011 & +b110010011 5 +b110010011 G +1+ +#2416 +0+ +#2417 +b10110101 4 +b1011010100 3 +b110010100 & +b110010100 5 +b110010100 G +1+ +#2418 +0+ +#2419 +b10110101 . +b10110101 B +b10110101 : +b1011010101 3 +b110010101 & +b110010101 5 +b110010101 G +1+ +#2420 +0+ +#2421 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1011010110 3 +b110010110 & +b110010110 5 +b110010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2422 +0+ +#2423 +b1011010111 3 +b110010111 & +b110010111 5 +b110010111 G +1+ +#2424 +0+ +#2425 +b10110110 4 +b1011011000 3 +b110011000 & +b110011000 5 +b110011000 G +1+ +#2426 +0+ +#2427 +b10110110 . +b10110110 B +b10110110 : +b1011011001 3 +b110011001 & +b110011001 5 +b110011001 G +1+ +#2428 +0+ +#2429 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1011011010 3 +b110011010 & +b110011010 5 +b110011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2430 +0+ +#2431 +b1011011011 3 +b110011011 & +b110011011 5 +b110011011 G +1+ +#2432 +0+ +#2433 +b10110111 4 +b1011011100 3 +b110011100 & +b110011100 5 +b110011100 G +1+ +#2434 +0+ +#2435 +b10110111 . +b10110111 B +b10110111 : +b1011011101 3 +b110011101 & +b110011101 5 +b110011101 G +1+ +#2436 +0+ +#2437 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1011011110 3 +b110011110 & +b110011110 5 +b110011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2438 +0+ +#2439 +b1011011111 3 +b110011111 & +b110011111 5 +b110011111 G +1+ +#2440 +0+ +#2441 +b10111000 4 +b1011100000 3 +b110100000 & +b110100000 5 +b110100000 G +1+ +#2442 +0+ +#2443 +b10111000 . +b10111000 B +b10111000 : +b1011100001 3 +b110100001 & +b110100001 5 +b110100001 G +1+ +#2444 +0+ +#2445 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1011100010 3 +b110100010 & +b110100010 5 +b110100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2446 +0+ +#2447 +b1011100011 3 +b110100011 & +b110100011 5 +b110100011 G +1+ +#2448 +0+ +#2449 +b10111001 4 +b1011100100 3 +b110100100 & +b110100100 5 +b110100100 G +1+ +#2450 +0+ +#2451 +b10111001 . +b10111001 B +b10111001 : +b1011100101 3 +b110100101 & +b110100101 5 +b110100101 G +1+ +#2452 +0+ +#2453 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1011100110 3 +b110100110 & +b110100110 5 +b110100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2454 +0+ +#2455 +b1011100111 3 +b110100111 & +b110100111 5 +b110100111 G +1+ +#2456 +0+ +#2457 +b10111010 4 +b1011101000 3 +b110101000 & +b110101000 5 +b110101000 G +1+ +#2458 +0+ +#2459 +b10111010 . +b10111010 B +b10111010 : +b1011101001 3 +b110101001 & +b110101001 5 +b110101001 G +1+ +#2460 +0+ +#2461 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1011101010 3 +b110101010 & +b110101010 5 +b110101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2462 +0+ +#2463 +b1011101011 3 +b110101011 & +b110101011 5 +b110101011 G +1+ +#2464 +0+ +#2465 +b10111011 4 +b1011101100 3 +b110101100 & +b110101100 5 +b110101100 G +1+ +#2466 +0+ +#2467 +b10111011 . +b10111011 B +b10111011 : +b1011101101 3 +b110101101 & +b110101101 5 +b110101101 G +1+ +#2468 +0+ +#2469 +b1000 ' +b1000 6 +b100010000000 < +b1011101110 3 +b110101110 & +b110101110 5 +b110101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2470 +0+ +#2471 +b1011101111 3 +b110101111 & +b110101111 5 +b110101111 G +1+ +#2472 +0+ +#2473 +b10111100 4 +b1011110000 3 +b110110000 & +b110110000 5 +b110110000 G +1+ +#2474 +0+ +#2475 +b10111100 . +b10111100 B +b10111100 : +b1011110001 3 +b110110001 & +b110110001 5 +b110110001 G +1+ +#2476 +0+ +#2477 +b0 $ +b0 2 +b10000000 < +b1011110010 3 +b110110010 & +b110110010 5 +b110110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2478 +0+ +#2479 +b1011110011 3 +b110110011 & +b110110011 5 +b110110011 G +1+ +#2480 +0+ +#2481 +b10111101 4 +b1011110100 3 +b110110100 & +b110110100 5 +b110110100 G +1+ +#2482 +0+ +#2483 +b10111101 . +b10111101 B +b10111101 : +b1011110101 3 +b110110101 & +b110110101 5 +b110110101 G +1+ +#2484 +0+ +#2485 +b1000 * +b1000 9 +b10001000 < +b1011110110 3 +b110110110 & +b110110110 5 +b110110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2486 +0+ +#2487 +b1011110111 3 +b110110111 & +b110110111 5 +b110110111 G +1+ +#2488 +0+ +#2489 +b10111110 4 +b1011111000 3 +b110111000 & +b110111000 5 +b110111000 G +1+ +#2490 +0+ +#2491 +b10111110 . +b10111110 B +b10111110 : +b1011111001 3 +b110111001 & +b110111001 5 +b110111001 G +1+ +#2492 +0+ +#2493 +b0 ' +b0 6 +b1000 < +b1011111010 3 +b110111010 & +b110111010 5 +b110111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2494 +0+ +#2495 +b1011111011 3 +b110111011 & +b110111011 5 +b110111011 G +1+ +#2496 +0+ +#2497 +b10111111 4 +b1011111100 3 +b110111100 & +b110111100 5 +b110111100 G +1+ +#2498 +0+ +#2499 +b10111111 . +b10111111 B +b10111111 : +b1011111101 3 +b110111101 & +b110111101 5 +b110111101 G +1+ +#2500 +0+ +#2501 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1011111110 3 +b110111110 & +b110111110 5 +b110111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2502 +0+ +#2503 +b1011111111 3 +b110111111 & +b110111111 5 +b110111111 G +1+ +#2504 +0+ +#2505 +b11000000 4 +b1100000000 3 +b111000000 & +b111000000 5 +b111000000 G +1+ +#2506 +0+ +#2507 +b11000000 . +b11000000 B +b11000000 : +b1100000001 3 +b111000001 & +b111000001 5 +b111000001 G +1+ +#2508 +0+ +#2509 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1100000010 3 +b111000010 & +b111000010 5 +b111000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2510 +0+ +#2511 +b1100000011 3 +b111000011 & +b111000011 5 +b111000011 G +1+ +#2512 +0+ +#2513 +b11000001 4 +b1100000100 3 +b111000100 & +b111000100 5 +b111000100 G +1+ +#2514 +0+ +#2515 +b11000001 . +b11000001 B +b11000001 : +b1100000101 3 +b111000101 & +b111000101 5 +b111000101 G +1+ +#2516 +0+ +#2517 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1100000110 3 +b111000110 & +b111000110 5 +b111000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2518 +0+ +#2519 +b1100000111 3 +b111000111 & +b111000111 5 +b111000111 G +1+ +#2520 +0+ +#2521 +b11000010 4 +b1100001000 3 +b111001000 & +b111001000 5 +b111001000 G +1+ +#2522 +0+ +#2523 +b11000010 . +b11000010 B +b11000010 : +b1100001001 3 +b111001001 & +b111001001 5 +b111001001 G +1+ +#2524 +0+ +#2525 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1100001010 3 +b111001010 & +b111001010 5 +b111001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2526 +0+ +#2527 +b1100001011 3 +b111001011 & +b111001011 5 +b111001011 G +1+ +#2528 +0+ +#2529 +b11000011 4 +b1100001100 3 +b111001100 & +b111001100 5 +b111001100 G +1+ +#2530 +0+ +#2531 +b11000011 . +b11000011 B +b11000011 : +b1100001101 3 +b111001101 & +b111001101 5 +b111001101 G +1+ +#2532 +0+ +#2533 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1100001110 3 +b111001110 & +b111001110 5 +b111001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2534 +0+ +#2535 +b1100001111 3 +b111001111 & +b111001111 5 +b111001111 G +1+ +#2536 +0+ +#2537 +b11000100 4 +b1100010000 3 +b111010000 & +b111010000 5 +b111010000 G +1+ +#2538 +0+ +#2539 +b11000100 . +b11000100 B +b11000100 : +b1100010001 3 +b111010001 & +b111010001 5 +b111010001 G +1+ +#2540 +0+ +#2541 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1100010010 3 +b111010010 & +b111010010 5 +b111010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2542 +0+ +#2543 +b1100010011 3 +b111010011 & +b111010011 5 +b111010011 G +1+ +#2544 +0+ +#2545 +b11000101 4 +b1100010100 3 +b111010100 & +b111010100 5 +b111010100 G +1+ +#2546 +0+ +#2547 +b11000101 . +b11000101 B +b11000101 : +b1100010101 3 +b111010101 & +b111010101 5 +b111010101 G +1+ +#2548 +0+ +#2549 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1100010110 3 +b111010110 & +b111010110 5 +b111010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2550 +0+ +#2551 +b1100010111 3 +b111010111 & +b111010111 5 +b111010111 G +1+ +#2552 +0+ +#2553 +b11000110 4 +b1100011000 3 +b111011000 & +b111011000 5 +b111011000 G +1+ +#2554 +0+ +#2555 +b11000110 . +b11000110 B +b11000110 : +b1100011001 3 +b111011001 & +b111011001 5 +b111011001 G +1+ +#2556 +0+ +#2557 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1100011010 3 +b111011010 & +b111011010 5 +b111011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2558 +0+ +#2559 +b1100011011 3 +b111011011 & +b111011011 5 +b111011011 G +1+ +#2560 +0+ +#2561 +b11000111 4 +b1100011100 3 +b111011100 & +b111011100 5 +b111011100 G +1+ +#2562 +0+ +#2563 +b11000111 . +b11000111 B +b11000111 : +b1100011101 3 +b111011101 & +b111011101 5 +b111011101 G +1+ +#2564 +0+ +#2565 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1100011110 3 +b111011110 & +b111011110 5 +b111011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2566 +0+ +#2567 +b1100011111 3 +b111011111 & +b111011111 5 +b111011111 G +1+ +#2568 +0+ +#2569 +b11001000 4 +b1100100000 3 +b111100000 & +b111100000 5 +b111100000 G +1+ +#2570 +0+ +#2571 +b11001000 . +b11001000 B +b11001000 : +b1100100001 3 +b111100001 & +b111100001 5 +b111100001 G +1+ +#2572 +0+ +#2573 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1100100010 3 +b111100010 & +b111100010 5 +b111100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2574 +0+ +#2575 +b1100100011 3 +b111100011 & +b111100011 5 +b111100011 G +1+ +#2576 +0+ +#2577 +b11001001 4 +b1100100100 3 +b111100100 & +b111100100 5 +b111100100 G +1+ +#2578 +0+ +#2579 +b11001001 . +b11001001 B +b11001001 : +b1100100101 3 +b111100101 & +b111100101 5 +b111100101 G +1+ +#2580 +0+ +#2581 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1100100110 3 +b111100110 & +b111100110 5 +b111100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2582 +0+ +#2583 +b1100100111 3 +b111100111 & +b111100111 5 +b111100111 G +1+ +#2584 +0+ +#2585 +b11001010 4 +b1100101000 3 +b111101000 & +b111101000 5 +b111101000 G +1+ +#2586 +0+ +#2587 +b11001010 . +b11001010 B +b11001010 : +b1100101001 3 +b111101001 & +b111101001 5 +b111101001 G +1+ +#2588 +0+ +#2589 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1100101010 3 +b111101010 & +b111101010 5 +b111101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2590 +0+ +#2591 +b1100101011 3 +b111101011 & +b111101011 5 +b111101011 G +1+ +#2592 +0+ +#2593 +b11001011 4 +b1100101100 3 +b111101100 & +b111101100 5 +b111101100 G +1+ +#2594 +0+ +#2595 +b11001011 . +b11001011 B +b11001011 : +b1100101101 3 +b111101101 & +b111101101 5 +b111101101 G +1+ +#2596 +0+ +#2597 +b1000 ' +b1000 6 +b100010000000 < +b1100101110 3 +b111101110 & +b111101110 5 +b111101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2598 +0+ +#2599 +b1100101111 3 +b111101111 & +b111101111 5 +b111101111 G +1+ +#2600 +0+ +#2601 +b11001100 4 +b1100110000 3 +b111110000 & +b111110000 5 +b111110000 G +1+ +#2602 +0+ +#2603 +b11001100 . +b11001100 B +b11001100 : +b1100110001 3 +b111110001 & +b111110001 5 +b111110001 G +1+ +#2604 +0+ +#2605 +b0 $ +b0 2 +b10000000 < +b1100110010 3 +b111110010 & +b111110010 5 +b111110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2606 +0+ +#2607 +b1100110011 3 +b111110011 & +b111110011 5 +b111110011 G +1+ +#2608 +0+ +#2609 +b11001101 4 +b1100110100 3 +b111110100 & +b111110100 5 +b111110100 G +1+ +#2610 +0+ +#2611 +b11001101 . +b11001101 B +b11001101 : +b1100110101 3 +b111110101 & +b111110101 5 +b111110101 G +1+ +#2612 +0+ +#2613 +b1000 * +b1000 9 +b10001000 < +b1100110110 3 +b111110110 & +b111110110 5 +b111110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2614 +0+ +#2615 +b1100110111 3 +b111110111 & +b111110111 5 +b111110111 G +1+ +#2616 +0+ +#2617 +b11001110 4 +b1100111000 3 +b111111000 & +b111111000 5 +b111111000 G +1+ +#2618 +0+ +#2619 +b11001110 . +b11001110 B +b11001110 : +b1100111001 3 +b111111001 & +b111111001 5 +b111111001 G +1+ +#2620 +0+ +#2621 +b0 ' +b0 6 +b1000 < +b1100111010 3 +b111111010 & +b111111010 5 +b111111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2622 +0+ +#2623 +b1100111011 3 +b111111011 & +b111111011 5 +b111111011 G +1+ +#2624 +0+ +#2625 +b11001111 4 +b1100111100 3 +b111111100 & +b111111100 5 +b111111100 G +1+ +#2626 +0+ +#2627 +b11001111 . +b11001111 B +b11001111 : +b1100111101 3 +b111111101 & +b111111101 5 +b111111101 G +1+ +#2628 +0+ +#2629 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1100111110 3 +b111111110 & +b111111110 5 +b111111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2630 +0+ +#2631 +b1100111111 3 +b111111111 & +b111111111 5 +b111111111 G +1+ +#2632 +0+ +#2633 +b11010000 4 +b1101000000 3 +b1000000000 & +b1000000000 5 +b1000000000 G +1+ +#2634 +0+ +#2635 +b11010000 . +b11010000 B +b11010000 : +b1101000001 3 +b1000000001 & +b1000000001 5 +b1000000001 G +1+ +#2636 +0+ +#2637 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1101000010 3 +b1000000010 & +b1000000010 5 +b1000000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2638 +0+ +#2639 +b1101000011 3 +b1000000011 & +b1000000011 5 +b1000000011 G +1+ +#2640 +0+ +#2641 +b11010001 4 +b1101000100 3 +b1000000100 & +b1000000100 5 +b1000000100 G +1+ +#2642 +0+ +#2643 +b11010001 . +b11010001 B +b11010001 : +b1101000101 3 +b1000000101 & +b1000000101 5 +b1000000101 G +1+ +#2644 +0+ +#2645 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1101000110 3 +b1000000110 & +b1000000110 5 +b1000000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2646 +0+ +#2647 +b1101000111 3 +b1000000111 & +b1000000111 5 +b1000000111 G +1+ +#2648 +0+ +#2649 +b11010010 4 +b1101001000 3 +b1000001000 & +b1000001000 5 +b1000001000 G +1+ +#2650 +0+ +#2651 +b11010010 . +b11010010 B +b11010010 : +b1101001001 3 +b1000001001 & +b1000001001 5 +b1000001001 G +1+ +#2652 +0+ +#2653 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1101001010 3 +b1000001010 & +b1000001010 5 +b1000001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2654 +0+ +#2655 +b1101001011 3 +b1000001011 & +b1000001011 5 +b1000001011 G +1+ +#2656 +0+ +#2657 +b11010011 4 +b1101001100 3 +b1000001100 & +b1000001100 5 +b1000001100 G +1+ +#2658 +0+ +#2659 +b11010011 . +b11010011 B +b11010011 : +b1101001101 3 +b1000001101 & +b1000001101 5 +b1000001101 G +1+ +#2660 +0+ +#2661 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1101001110 3 +b1000001110 & +b1000001110 5 +b1000001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2662 +0+ +#2663 +b1101001111 3 +b1000001111 & +b1000001111 5 +b1000001111 G +1+ +#2664 +0+ +#2665 +b11010100 4 +b1101010000 3 +b1000010000 & +b1000010000 5 +b1000010000 G +1+ +#2666 +0+ +#2667 +b11010100 . +b11010100 B +b11010100 : +b1101010001 3 +b1000010001 & +b1000010001 5 +b1000010001 G +1+ +#2668 +0+ +#2669 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1101010010 3 +b1000010010 & +b1000010010 5 +b1000010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2670 +0+ +#2671 +b1101010011 3 +b1000010011 & +b1000010011 5 +b1000010011 G +1+ +#2672 +0+ +#2673 +b11010101 4 +b1101010100 3 +b1000010100 & +b1000010100 5 +b1000010100 G +1+ +#2674 +0+ +#2675 +b11010101 . +b11010101 B +b11010101 : +b1101010101 3 +b1000010101 & +b1000010101 5 +b1000010101 G +1+ +#2676 +0+ +#2677 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1101010110 3 +b1000010110 & +b1000010110 5 +b1000010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2678 +0+ +#2679 +b1101010111 3 +b1000010111 & +b1000010111 5 +b1000010111 G +1+ +#2680 +0+ +#2681 +b11010110 4 +b1101011000 3 +b1000011000 & +b1000011000 5 +b1000011000 G +1+ +#2682 +0+ +#2683 +b11010110 . +b11010110 B +b11010110 : +b1101011001 3 +b1000011001 & +b1000011001 5 +b1000011001 G +1+ +#2684 +0+ +#2685 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1101011010 3 +b1000011010 & +b1000011010 5 +b1000011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2686 +0+ +#2687 +b1101011011 3 +b1000011011 & +b1000011011 5 +b1000011011 G +1+ +#2688 +0+ +#2689 +b11010111 4 +b1101011100 3 +b1000011100 & +b1000011100 5 +b1000011100 G +1+ +#2690 +0+ +#2691 +b11010111 . +b11010111 B +b11010111 : +b1101011101 3 +b1000011101 & +b1000011101 5 +b1000011101 G +1+ +#2692 +0+ +#2693 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1101011110 3 +b1000011110 & +b1000011110 5 +b1000011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2694 +0+ +#2695 +b1101011111 3 +b1000011111 & +b1000011111 5 +b1000011111 G +1+ +#2696 +0+ +#2697 +b11011000 4 +b1101100000 3 +b1000100000 & +b1000100000 5 +b1000100000 G +1+ +#2698 +0+ +#2699 +b11011000 . +b11011000 B +b11011000 : +b1101100001 3 +b1000100001 & +b1000100001 5 +b1000100001 G +1+ +#2700 +0+ +#2701 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1101100010 3 +b1000100010 & +b1000100010 5 +b1000100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2702 +0+ +#2703 +b1101100011 3 +b1000100011 & +b1000100011 5 +b1000100011 G +1+ +#2704 +0+ +#2705 +b11011001 4 +b1101100100 3 +b1000100100 & +b1000100100 5 +b1000100100 G +1+ +#2706 +0+ +#2707 +b11011001 . +b11011001 B +b11011001 : +b1101100101 3 +b1000100101 & +b1000100101 5 +b1000100101 G +1+ +#2708 +0+ +#2709 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1101100110 3 +b1000100110 & +b1000100110 5 +b1000100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2710 +0+ +#2711 +b1101100111 3 +b1000100111 & +b1000100111 5 +b1000100111 G +1+ +#2712 +0+ +#2713 +b11011010 4 +b1101101000 3 +b1000101000 & +b1000101000 5 +b1000101000 G +1+ +#2714 +0+ +#2715 +b11011010 . +b11011010 B +b11011010 : +b1101101001 3 +b1000101001 & +b1000101001 5 +b1000101001 G +1+ +#2716 +0+ +#2717 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1101101010 3 +b1000101010 & +b1000101010 5 +b1000101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2718 +0+ +#2719 +b1101101011 3 +b1000101011 & +b1000101011 5 +b1000101011 G +1+ +#2720 +0+ +#2721 +b11011011 4 +b1101101100 3 +b1000101100 & +b1000101100 5 +b1000101100 G +1+ +#2722 +0+ +#2723 +b11011011 . +b11011011 B +b11011011 : +b1101101101 3 +b1000101101 & +b1000101101 5 +b1000101101 G +1+ +#2724 +0+ +#2725 +b1000 ' +b1000 6 +b100010000000 < +b1101101110 3 +b1000101110 & +b1000101110 5 +b1000101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2726 +0+ +#2727 +b1101101111 3 +b1000101111 & +b1000101111 5 +b1000101111 G +1+ +#2728 +0+ +#2729 +b11011100 4 +b1101110000 3 +b1000110000 & +b1000110000 5 +b1000110000 G +1+ +#2730 +0+ +#2731 +b11011100 . +b11011100 B +b11011100 : +b1101110001 3 +b1000110001 & +b1000110001 5 +b1000110001 G +1+ +#2732 +0+ +#2733 +b0 $ +b0 2 +b10000000 < +b1101110010 3 +b1000110010 & +b1000110010 5 +b1000110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2734 +0+ +#2735 +b1101110011 3 +b1000110011 & +b1000110011 5 +b1000110011 G +1+ +#2736 +0+ +#2737 +b11011101 4 +b1101110100 3 +b1000110100 & +b1000110100 5 +b1000110100 G +1+ +#2738 +0+ +#2739 +b11011101 . +b11011101 B +b11011101 : +b1101110101 3 +b1000110101 & +b1000110101 5 +b1000110101 G +1+ +#2740 +0+ +#2741 +b1000 * +b1000 9 +b10001000 < +b1101110110 3 +b1000110110 & +b1000110110 5 +b1000110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2742 +0+ +#2743 +b1101110111 3 +b1000110111 & +b1000110111 5 +b1000110111 G +1+ +#2744 +0+ +#2745 +b11011110 4 +b1101111000 3 +b1000111000 & +b1000111000 5 +b1000111000 G +1+ +#2746 +0+ +#2747 +b11011110 . +b11011110 B +b11011110 : +b1101111001 3 +b1000111001 & +b1000111001 5 +b1000111001 G +1+ +#2748 +0+ +#2749 +b0 ' +b0 6 +b1000 < +b1101111010 3 +b1000111010 & +b1000111010 5 +b1000111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2750 +0+ +#2751 +b1101111011 3 +b1000111011 & +b1000111011 5 +b1000111011 G +1+ +#2752 +0+ +#2753 +b11011111 4 +b1101111100 3 +b1000111100 & +b1000111100 5 +b1000111100 G +1+ +#2754 +0+ +#2755 +b11011111 . +b11011111 B +b11011111 : +b1101111101 3 +b1000111101 & +b1000111101 5 +b1000111101 G +1+ +#2756 +0+ +#2757 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1101111110 3 +b1000111110 & +b1000111110 5 +b1000111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2758 +0+ +#2759 +b1101111111 3 +b1000111111 & +b1000111111 5 +b1000111111 G +1+ +#2760 +0+ +#2761 +b11100000 4 +b1110000000 3 +b1001000000 & +b1001000000 5 +b1001000000 G +1+ +#2762 +0+ +#2763 +b11100000 . +b11100000 B +b11100000 : +b1110000001 3 +b1001000001 & +b1001000001 5 +b1001000001 G +1+ +#2764 +0+ +#2765 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1110000010 3 +b1001000010 & +b1001000010 5 +b1001000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2766 +0+ +#2767 +b1110000011 3 +b1001000011 & +b1001000011 5 +b1001000011 G +1+ +#2768 +0+ +#2769 +b11100001 4 +b1110000100 3 +b1001000100 & +b1001000100 5 +b1001000100 G +1+ +#2770 +0+ +#2771 +b11100001 . +b11100001 B +b11100001 : +b1110000101 3 +b1001000101 & +b1001000101 5 +b1001000101 G +1+ +#2772 +0+ +#2773 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1110000110 3 +b1001000110 & +b1001000110 5 +b1001000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2774 +0+ +#2775 +b1110000111 3 +b1001000111 & +b1001000111 5 +b1001000111 G +1+ +#2776 +0+ +#2777 +b11100010 4 +b1110001000 3 +b1001001000 & +b1001001000 5 +b1001001000 G +1+ +#2778 +0+ +#2779 +b11100010 . +b11100010 B +b11100010 : +b1110001001 3 +b1001001001 & +b1001001001 5 +b1001001001 G +1+ +#2780 +0+ +#2781 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1110001010 3 +b1001001010 & +b1001001010 5 +b1001001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2782 +0+ +#2783 +b1110001011 3 +b1001001011 & +b1001001011 5 +b1001001011 G +1+ +#2784 +0+ +#2785 +b11100011 4 +b1110001100 3 +b1001001100 & +b1001001100 5 +b1001001100 G +1+ +#2786 +0+ +#2787 +b11100011 . +b11100011 B +b11100011 : +b1110001101 3 +b1001001101 & +b1001001101 5 +b1001001101 G +1+ +#2788 +0+ +#2789 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1110001110 3 +b1001001110 & +b1001001110 5 +b1001001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2790 +0+ +#2791 +b1110001111 3 +b1001001111 & +b1001001111 5 +b1001001111 G +1+ +#2792 +0+ +#2793 +b11100100 4 +b1110010000 3 +b1001010000 & +b1001010000 5 +b1001010000 G +1+ +#2794 +0+ +#2795 +b11100100 . +b11100100 B +b11100100 : +b1110010001 3 +b1001010001 & +b1001010001 5 +b1001010001 G +1+ +#2796 +0+ +#2797 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1110010010 3 +b1001010010 & +b1001010010 5 +b1001010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2798 +0+ +#2799 +b1110010011 3 +b1001010011 & +b1001010011 5 +b1001010011 G +1+ +#2800 +0+ +#2801 +b11100101 4 +b1110010100 3 +b1001010100 & +b1001010100 5 +b1001010100 G +1+ +#2802 +0+ +#2803 +b11100101 . +b11100101 B +b11100101 : +b1110010101 3 +b1001010101 & +b1001010101 5 +b1001010101 G +1+ +#2804 +0+ +#2805 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1110010110 3 +b1001010110 & +b1001010110 5 +b1001010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2806 +0+ +#2807 +b1110010111 3 +b1001010111 & +b1001010111 5 +b1001010111 G +1+ +#2808 +0+ +#2809 +b11100110 4 +b1110011000 3 +b1001011000 & +b1001011000 5 +b1001011000 G +1+ +#2810 +0+ +#2811 +b11100110 . +b11100110 B +b11100110 : +b1110011001 3 +b1001011001 & +b1001011001 5 +b1001011001 G +1+ +#2812 +0+ +#2813 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1110011010 3 +b1001011010 & +b1001011010 5 +b1001011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2814 +0+ +#2815 +b1110011011 3 +b1001011011 & +b1001011011 5 +b1001011011 G +1+ +#2816 +0+ +#2817 +b11100111 4 +b1110011100 3 +b1001011100 & +b1001011100 5 +b1001011100 G +1+ +#2818 +0+ +#2819 +b11100111 . +b11100111 B +b11100111 : +b1110011101 3 +b1001011101 & +b1001011101 5 +b1001011101 G +1+ +#2820 +0+ +#2821 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1110011110 3 +b1001011110 & +b1001011110 5 +b1001011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2822 +0+ +#2823 +b1110011111 3 +b1001011111 & +b1001011111 5 +b1001011111 G +1+ +#2824 +0+ +#2825 +b11101000 4 +b1110100000 3 +b1001100000 & +b1001100000 5 +b1001100000 G +1+ +#2826 +0+ +#2827 +b11101000 . +b11101000 B +b11101000 : +b1110100001 3 +b1001100001 & +b1001100001 5 +b1001100001 G +1+ +#2828 +0+ +#2829 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1110100010 3 +b1001100010 & +b1001100010 5 +b1001100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2830 +0+ +#2831 +b1110100011 3 +b1001100011 & +b1001100011 5 +b1001100011 G +1+ +#2832 +0+ +#2833 +b11101001 4 +b1110100100 3 +b1001100100 & +b1001100100 5 +b1001100100 G +1+ +#2834 +0+ +#2835 +b11101001 . +b11101001 B +b11101001 : +b1110100101 3 +b1001100101 & +b1001100101 5 +b1001100101 G +1+ +#2836 +0+ +#2837 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1110100110 3 +b1001100110 & +b1001100110 5 +b1001100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2838 +0+ +#2839 +b1110100111 3 +b1001100111 & +b1001100111 5 +b1001100111 G +1+ +#2840 +0+ +#2841 +b11101010 4 +b1110101000 3 +b1001101000 & +b1001101000 5 +b1001101000 G +1+ +#2842 +0+ +#2843 +b11101010 . +b11101010 B +b11101010 : +b1110101001 3 +b1001101001 & +b1001101001 5 +b1001101001 G +1+ +#2844 +0+ +#2845 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1110101010 3 +b1001101010 & +b1001101010 5 +b1001101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2846 +0+ +#2847 +b1110101011 3 +b1001101011 & +b1001101011 5 +b1001101011 G +1+ +#2848 +0+ +#2849 +b11101011 4 +b1110101100 3 +b1001101100 & +b1001101100 5 +b1001101100 G +1+ +#2850 +0+ +#2851 +b11101011 . +b11101011 B +b11101011 : +b1110101101 3 +b1001101101 & +b1001101101 5 +b1001101101 G +1+ +#2852 +0+ +#2853 +b1000 ' +b1000 6 +b100010000000 < +b1110101110 3 +b1001101110 & +b1001101110 5 +b1001101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2854 +0+ +#2855 +b1110101111 3 +b1001101111 & +b1001101111 5 +b1001101111 G +1+ +#2856 +0+ +#2857 +b11101100 4 +b1110110000 3 +b1001110000 & +b1001110000 5 +b1001110000 G +1+ +#2858 +0+ +#2859 +b11101100 . +b11101100 B +b11101100 : +b1110110001 3 +b1001110001 & +b1001110001 5 +b1001110001 G +1+ +#2860 +0+ +#2861 +b0 $ +b0 2 +b10000000 < +b1110110010 3 +b1001110010 & +b1001110010 5 +b1001110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2862 +0+ +#2863 +b1110110011 3 +b1001110011 & +b1001110011 5 +b1001110011 G +1+ +#2864 +0+ +#2865 +b11101101 4 +b1110110100 3 +b1001110100 & +b1001110100 5 +b1001110100 G +1+ +#2866 +0+ +#2867 +b11101101 . +b11101101 B +b11101101 : +b1110110101 3 +b1001110101 & +b1001110101 5 +b1001110101 G +1+ +#2868 +0+ +#2869 +b1000 * +b1000 9 +b10001000 < +b1110110110 3 +b1001110110 & +b1001110110 5 +b1001110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2870 +0+ +#2871 +b1110110111 3 +b1001110111 & +b1001110111 5 +b1001110111 G +1+ +#2872 +0+ +#2873 +b11101110 4 +b1110111000 3 +b1001111000 & +b1001111000 5 +b1001111000 G +1+ +#2874 +0+ +#2875 +b11101110 . +b11101110 B +b11101110 : +b1110111001 3 +b1001111001 & +b1001111001 5 +b1001111001 G +1+ +#2876 +0+ +#2877 +b0 ' +b0 6 +b1000 < +b1110111010 3 +b1001111010 & +b1001111010 5 +b1001111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#2878 +0+ +#2879 +b1110111011 3 +b1001111011 & +b1001111011 5 +b1001111011 G +1+ +#2880 +0+ +#2881 +b11101111 4 +b1110111100 3 +b1001111100 & +b1001111100 5 +b1001111100 G +1+ +#2882 +0+ +#2883 +b11101111 . +b11101111 B +b11101111 : +b1110111101 3 +b1001111101 & +b1001111101 5 +b1001111101 G +1+ +#2884 +0+ +#2885 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1110111110 3 +b1001111110 & +b1001111110 5 +b1001111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#2886 +0+ +#2887 +b1110111111 3 +b1001111111 & +b1001111111 5 +b1001111111 G +1+ +#2888 +0+ +#2889 +0( +b11110000 4 +b1111000000 3 +b1010000000 & +b1010000000 5 +b1010000000 G +1+ +#2890 +0+ +#2891 +b11110000 . +b11110000 B +b11110000 : +b1111000001 3 +b1010000001 & +b1010000001 5 +b1010000001 G +1+ +#2892 +0+ +#2893 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1111000010 3 +b1010000010 & +b1010000010 5 +b1010000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#2894 +0+ +#2895 +b1111000011 3 +b1010000011 & +b1010000011 5 +b1010000011 G +1+ +#2896 +0+ +#2897 +b11110001 4 +b1111000100 3 +b1010000100 & +b1010000100 5 +b1010000100 G +1+ +#2898 +0+ +#2899 +b11110001 . +b11110001 B +b11110001 : +b1111000101 3 +b1010000101 & +b1010000101 5 +b1010000101 G +1+ +#2900 +0+ +#2901 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1111000110 3 +b1010000110 & +b1010000110 5 +b1010000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#2902 +0+ +#2903 +b1111000111 3 +b1010000111 & +b1010000111 5 +b1010000111 G +1+ +#2904 +0+ +#2905 +b11110010 4 +b1111001000 3 +b1010001000 & +b1010001000 5 +b1010001000 G +1+ +#2906 +0+ +#2907 +b11110010 . +b11110010 B +b11110010 : +b1111001001 3 +b1010001001 & +b1010001001 5 +b1010001001 G +1+ +#2908 +0+ +#2909 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1111001010 3 +b1010001010 & +b1010001010 5 +b1010001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#2910 +0+ +#2911 +b1111001011 3 +b1010001011 & +b1010001011 5 +b1010001011 G +1+ +#2912 +0+ +#2913 +b11110011 4 +b1111001100 3 +b1010001100 & +b1010001100 5 +b1010001100 G +1+ +#2914 +0+ +#2915 +b11110011 . +b11110011 B +b11110011 : +b1111001101 3 +b1010001101 & +b1010001101 5 +b1010001101 G +1+ +#2916 +0+ +#2917 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1111001110 3 +b1010001110 & +b1010001110 5 +b1010001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#2918 +0+ +#2919 +b1111001111 3 +b1010001111 & +b1010001111 5 +b1010001111 G +1+ +#2920 +0+ +#2921 +0% +b11110100 4 +b1111010000 3 +b1010010000 & +b1010010000 5 +b1010010000 G +1+ +#2922 +0+ +#2923 +b11110100 . +b11110100 B +b11110100 : +b1111010001 3 +b1010010001 & +b1010010001 5 +b1010010001 G +1+ +#2924 +0+ +#2925 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1111010010 3 +b1010010010 & +b1010010010 5 +b1010010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#2926 +0+ +#2927 +b1111010011 3 +b1010010011 & +b1010010011 5 +b1010010011 G +1+ +#2928 +0+ +#2929 +b11110101 4 +b1111010100 3 +b1010010100 & +b1010010100 5 +b1010010100 G +1+ +#2930 +0+ +#2931 +b11110101 . +b11110101 B +b11110101 : +b1111010101 3 +b1010010101 & +b1010010101 5 +b1010010101 G +1+ +#2932 +0+ +#2933 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1111010110 3 +b1010010110 & +b1010010110 5 +b1010010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#2934 +0+ +#2935 +b1111010111 3 +b1010010111 & +b1010010111 5 +b1010010111 G +1+ +#2936 +0+ +#2937 +b11110110 4 +b1111011000 3 +b1010011000 & +b1010011000 5 +b1010011000 G +1+ +#2938 +0+ +#2939 +b11110110 . +b11110110 B +b11110110 : +b1111011001 3 +b1010011001 & +b1010011001 5 +b1010011001 G +1+ +#2940 +0+ +#2941 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1111011010 3 +b1010011010 & +b1010011010 5 +b1010011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#2942 +0+ +#2943 +b1111011011 3 +b1010011011 & +b1010011011 5 +b1010011011 G +1+ +#2944 +0+ +#2945 +b11110111 4 +b1111011100 3 +b1010011100 & +b1010011100 5 +b1010011100 G +1+ +#2946 +0+ +#2947 +b11110111 . +b11110111 B +b11110111 : +b1111011101 3 +b1010011101 & +b1010011101 5 +b1010011101 G +1+ +#2948 +0+ +#2949 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1111011110 3 +b1010011110 & +b1010011110 5 +b1010011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#2950 +0+ +#2951 +b1111011111 3 +b1010011111 & +b1010011111 5 +b1010011111 G +1+ +#2952 +0+ +#2953 +b11111000 4 +b1111100000 3 +b1010100000 & +b1010100000 5 +b1010100000 G +1+ +#2954 +0+ +#2955 +b11111000 . +b11111000 B +b11111000 : +b1111100001 3 +b1010100001 & +b1010100001 5 +b1010100001 G +1+ +#2956 +0+ +#2957 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1111100010 3 +b1010100010 & +b1010100010 5 +b1010100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#2958 +0+ +#2959 +b1111100011 3 +b1010100011 & +b1010100011 5 +b1010100011 G +1+ +#2960 +0+ +#2961 +b11111001 4 +b1111100100 3 +b1010100100 & +b1010100100 5 +b1010100100 G +1+ +#2962 +0+ +#2963 +b11111001 . +b11111001 B +b11111001 : +b1111100101 3 +b1010100101 & +b1010100101 5 +b1010100101 G +1+ +#2964 +0+ +#2965 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1111100110 3 +b1010100110 & +b1010100110 5 +b1010100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#2966 +0+ +#2967 +b1111100111 3 +b1010100111 & +b1010100111 5 +b1010100111 G +1+ +#2968 +0+ +#2969 +b11111010 4 +b1111101000 3 +b1010101000 & +b1010101000 5 +b1010101000 G +1+ +#2970 +0+ +#2971 +b11111010 . +b11111010 B +b11111010 : +b1111101001 3 +b1010101001 & +b1010101001 5 +b1010101001 G +1+ +#2972 +0+ +#2973 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1111101010 3 +b1010101010 & +b1010101010 5 +b1010101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#2974 +0+ +#2975 +b1111101011 3 +b1010101011 & +b1010101011 5 +b1010101011 G +1+ +#2976 +0+ +#2977 +b11111011 4 +b1111101100 3 +b1010101100 & +b1010101100 5 +b1010101100 G +1+ +#2978 +0+ +#2979 +b11111011 . +b11111011 B +b11111011 : +b1111101101 3 +b1010101101 & +b1010101101 5 +b1010101101 G +1+ +#2980 +0+ +#2981 +b1000 ' +b1000 6 +b100010000000 < +b1111101110 3 +b1010101110 & +b1010101110 5 +b1010101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#2982 +0+ +#2983 +b1111101111 3 +b1010101111 & +b1010101111 5 +b1010101111 G +1+ +#2984 +0+ +#2985 +b11111100 4 +b1111110000 3 +b1010110000 & +b1010110000 5 +b1010110000 G +1+ +#2986 +0+ +#2987 +b11111100 . +b11111100 B +b11111100 : +b1111110001 3 +b1010110001 & +b1010110001 5 +b1010110001 G +1+ +#2988 +0+ +#2989 +b0 $ +b0 2 +b10000000 < +b1111110010 3 +b1010110010 & +b1010110010 5 +b1010110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#2990 +0+ +#2991 +b1111110011 3 +b1010110011 & +b1010110011 5 +b1010110011 G +1+ +#2992 +0+ +#2993 +b11111101 4 +b1111110100 3 +b1010110100 & +b1010110100 5 +b1010110100 G +1+ +#2994 +0+ +#2995 +b11111101 . +b11111101 B +b11111101 : +b1111110101 3 +b1010110101 & +b1010110101 5 +b1010110101 G +1+ +#2996 +0+ +#2997 +b1000 * +b1000 9 +b10001000 < +b1111110110 3 +b1010110110 & +b1010110110 5 +b1010110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#2998 +0+ +#2999 +b1111110111 3 +b1010110111 & +b1010110111 5 +b1010110111 G +1+ +#3000 +0+ +#3001 +b11111110 4 +b1111111000 3 +b1010111000 & +b1010111000 5 +b1010111000 G +1+ +#3002 +0+ +#3003 +b11111110 . +b11111110 B +b11111110 : +b1111111001 3 +b1010111001 & +b1010111001 5 +b1010111001 G +1+ +#3004 +0+ +#3005 +b0 ' +b0 6 +b1000 < +b1111111010 3 +b1010111010 & +b1010111010 5 +b1010111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3006 +0+ +#3007 +b1111111011 3 +b1010111011 & +b1010111011 5 +b1010111011 G +1+ +#3008 +0+ +#3009 +b11111111 4 +b1111111100 3 +b1010111100 & +b1010111100 5 +b1010111100 G +1+ +#3010 +0+ +#3011 +b11111111 . +b11111111 B +b11111111 : +b1111111101 3 +b1010111101 & +b1010111101 5 +b1010111101 G +1+ +#3012 +0+ +#3013 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1111111110 3 +b1010111110 & +b1010111110 5 +b1010111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3014 +0+ +#3015 +b1111111111 3 +b1010111111 & +b1010111111 5 +b1010111111 G +1+ +#3016 +0+ +#3017 +b100000000 4 +b10000000000 3 +b1011000000 & +b1011000000 5 +b1011000000 G +1+ +#3018 +0+ +#3019 +b100000000 . +b100000000 B +b100000000 : +b10000000001 3 +b1011000001 & +b1011000001 5 +b1011000001 G +1+ +#3020 +0+ +#3021 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10000000010 3 +b1011000010 & +b1011000010 5 +b1011000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3022 +0+ +#3023 +b10000000011 3 +b1011000011 & +b1011000011 5 +b1011000011 G +1+ +#3024 +0+ +#3025 +b100000001 4 +b10000000100 3 +b1011000100 & +b1011000100 5 +b1011000100 G +1+ +#3026 +0+ +#3027 +b100000001 . +b100000001 B +b100000001 : +b10000000101 3 +b1011000101 & +b1011000101 5 +b1011000101 G +1+ +#3028 +0+ +#3029 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10000000110 3 +b1011000110 & +b1011000110 5 +b1011000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3030 +0+ +#3031 +b10000000111 3 +b1011000111 & +b1011000111 5 +b1011000111 G +1+ +#3032 +0+ +#3033 +b100000010 4 +b10000001000 3 +b1011001000 & +b1011001000 5 +b1011001000 G +1+ +#3034 +0+ +#3035 +b100000010 . +b100000010 B +b100000010 : +b10000001001 3 +b1011001001 & +b1011001001 5 +b1011001001 G +1+ +#3036 +0+ +#3037 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10000001010 3 +b1011001010 & +b1011001010 5 +b1011001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3038 +0+ +#3039 +b10000001011 3 +b1011001011 & +b1011001011 5 +b1011001011 G +1+ +#3040 +0+ +#3041 +b100000011 4 +b10000001100 3 +b1011001100 & +b1011001100 5 +b1011001100 G +1+ +#3042 +0+ +#3043 +b100000011 . +b100000011 B +b100000011 : +b10000001101 3 +b1011001101 & +b1011001101 5 +b1011001101 G +1+ +#3044 +0+ +#3045 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10000001110 3 +b1011001110 & +b1011001110 5 +b1011001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3046 +0+ +#3047 +b10000001111 3 +b1011001111 & +b1011001111 5 +b1011001111 G +1+ +#3048 +0+ +#3049 +b100000100 4 +b10000010000 3 +b1011010000 & +b1011010000 5 +b1011010000 G +1+ +#3050 +0+ +#3051 +b100000100 . +b100000100 B +b100000100 : +b10000010001 3 +b1011010001 & +b1011010001 5 +b1011010001 G +1+ +#3052 +0+ +#3053 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10000010010 3 +b1011010010 & +b1011010010 5 +b1011010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3054 +0+ +#3055 +b10000010011 3 +b1011010011 & +b1011010011 5 +b1011010011 G +1+ +#3056 +0+ +#3057 +b100000101 4 +b10000010100 3 +b1011010100 & +b1011010100 5 +b1011010100 G +1+ +#3058 +0+ +#3059 +b100000101 . +b100000101 B +b100000101 : +b10000010101 3 +b1011010101 & +b1011010101 5 +b1011010101 G +1+ +#3060 +0+ +#3061 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10000010110 3 +b1011010110 & +b1011010110 5 +b1011010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3062 +0+ +#3063 +b10000010111 3 +b1011010111 & +b1011010111 5 +b1011010111 G +1+ +#3064 +0+ +#3065 +b100000110 4 +b10000011000 3 +b1011011000 & +b1011011000 5 +b1011011000 G +1+ +#3066 +0+ +#3067 +b100000110 . +b100000110 B +b100000110 : +b10000011001 3 +b1011011001 & +b1011011001 5 +b1011011001 G +1+ +#3068 +0+ +#3069 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10000011010 3 +b1011011010 & +b1011011010 5 +b1011011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3070 +0+ +#3071 +b10000011011 3 +b1011011011 & +b1011011011 5 +b1011011011 G +1+ +#3072 +0+ +#3073 +b100000111 4 +b10000011100 3 +b1011011100 & +b1011011100 5 +b1011011100 G +1+ +#3074 +0+ +#3075 +b100000111 . +b100000111 B +b100000111 : +b10000011101 3 +b1011011101 & +b1011011101 5 +b1011011101 G +1+ +#3076 +0+ +#3077 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10000011110 3 +b1011011110 & +b1011011110 5 +b1011011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3078 +0+ +#3079 +b10000011111 3 +b1011011111 & +b1011011111 5 +b1011011111 G +1+ +#3080 +0+ +#3081 +b100001000 4 +b10000100000 3 +b1011100000 & +b1011100000 5 +b1011100000 G +1+ +#3082 +0+ +#3083 +b100001000 . +b100001000 B +b100001000 : +b10000100001 3 +b1011100001 & +b1011100001 5 +b1011100001 G +1+ +#3084 +0+ +#3085 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10000100010 3 +b1011100010 & +b1011100010 5 +b1011100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3086 +0+ +#3087 +b10000100011 3 +b1011100011 & +b1011100011 5 +b1011100011 G +1+ +#3088 +0+ +#3089 +b100001001 4 +b10000100100 3 +b1011100100 & +b1011100100 5 +b1011100100 G +1+ +#3090 +0+ +#3091 +b100001001 . +b100001001 B +b100001001 : +b10000100101 3 +b1011100101 & +b1011100101 5 +b1011100101 G +1+ +#3092 +0+ +#3093 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10000100110 3 +b1011100110 & +b1011100110 5 +b1011100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3094 +0+ +#3095 +b10000100111 3 +b1011100111 & +b1011100111 5 +b1011100111 G +1+ +#3096 +0+ +#3097 +b100001010 4 +b10000101000 3 +b1011101000 & +b1011101000 5 +b1011101000 G +1+ +#3098 +0+ +#3099 +b100001010 . +b100001010 B +b100001010 : +b10000101001 3 +b1011101001 & +b1011101001 5 +b1011101001 G +1+ +#3100 +0+ +#3101 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10000101010 3 +b1011101010 & +b1011101010 5 +b1011101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3102 +0+ +#3103 +b10000101011 3 +b1011101011 & +b1011101011 5 +b1011101011 G +1+ +#3104 +0+ +#3105 +b100001011 4 +b10000101100 3 +b1011101100 & +b1011101100 5 +b1011101100 G +1+ +#3106 +0+ +#3107 +b100001011 . +b100001011 B +b100001011 : +b10000101101 3 +b1011101101 & +b1011101101 5 +b1011101101 G +1+ +#3108 +0+ +#3109 +b1000 ' +b1000 6 +b100010000000 < +b10000101110 3 +b1011101110 & +b1011101110 5 +b1011101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3110 +0+ +#3111 +b10000101111 3 +b1011101111 & +b1011101111 5 +b1011101111 G +1+ +#3112 +0+ +#3113 +b100001100 4 +b10000110000 3 +b1011110000 & +b1011110000 5 +b1011110000 G +1+ +#3114 +0+ +#3115 +1% +b100001100 . +b100001100 B +b100001100 : +b10000110001 3 +b1011110001 & +b1011110001 5 +b1011110001 G +1+ +#3116 +0+ +#3117 +b0 $ +b0 2 +b10000000 < +b10000110010 3 +b1011110010 & +b1011110010 5 +b1011110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3118 +0+ +#3119 +b10000110011 3 +b1011110011 & +b1011110011 5 +b1011110011 G +1+ +#3120 +0+ +#3121 +b100001101 4 +b10000110100 3 +b1011110100 & +b1011110100 5 +b1011110100 G +1+ +#3122 +0+ +#3123 +b100001101 . +b100001101 B +b100001101 : +b10000110101 3 +b1011110101 & +b1011110101 5 +b1011110101 G +1+ +#3124 +0+ +#3125 +b1000 * +b1000 9 +b10001000 < +b10000110110 3 +b1011110110 & +b1011110110 5 +b1011110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3126 +0+ +#3127 +b10000110111 3 +b1011110111 & +b1011110111 5 +b1011110111 G +1+ +#3128 +0+ +#3129 +b100001110 4 +b10000111000 3 +b1011111000 & +b1011111000 5 +b1011111000 G +1+ +#3130 +0+ +#3131 +b100001110 . +b100001110 B +b100001110 : +b10000111001 3 +b1011111001 & +b1011111001 5 +b1011111001 G +1+ +#3132 +0+ +#3133 +b0 ' +b0 6 +b1000 < +b10000111010 3 +b1011111010 & +b1011111010 5 +b1011111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3134 +0+ +#3135 +b10000111011 3 +b1011111011 & +b1011111011 5 +b1011111011 G +1+ +#3136 +0+ +#3137 +b100001111 4 +b10000111100 3 +b1011111100 & +b1011111100 5 +b1011111100 G +1+ +#3138 +0+ +#3139 +b100001111 . +b100001111 B +b100001111 : +b10000111101 3 +b1011111101 & +b1011111101 5 +b1011111101 G +1+ +#3140 +0+ +#3141 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10000111110 3 +b1011111110 & +b1011111110 5 +b1011111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3142 +0+ +#3143 +b10000111111 3 +b1011111111 & +b1011111111 5 +b1011111111 G +1+ +#3144 +0+ +#3145 +b100010000 4 +b10001000000 3 +b1100000000 & +b1100000000 5 +b1100000000 G +1+ +#3146 +0+ +#3147 +b100010000 . +b100010000 B +b100010000 : +b10001000001 3 +b1100000001 & +b1100000001 5 +b1100000001 G +1+ +#3148 +0+ +#3149 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10001000010 3 +b1100000010 & +b1100000010 5 +b1100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3150 +0+ +#3151 +b10001000011 3 +b1100000011 & +b1100000011 5 +b1100000011 G +1+ +#3152 +0+ +#3153 +b100010001 4 +b10001000100 3 +b1100000100 & +b1100000100 5 +b1100000100 G +1+ +#3154 +0+ +#3155 +b100010001 . +b100010001 B +b100010001 : +b10001000101 3 +b1100000101 & +b1100000101 5 +b1100000101 G +1+ +#3156 +0+ +#3157 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10001000110 3 +b1100000110 & +b1100000110 5 +b1100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3158 +0+ +#3159 +b10001000111 3 +b1100000111 & +b1100000111 5 +b1100000111 G +1+ +#3160 +0+ +#3161 +b100010010 4 +b10001001000 3 +b1100001000 & +b1100001000 5 +b1100001000 G +1+ +#3162 +0+ +#3163 +b100010010 . +b100010010 B +b100010010 : +b10001001001 3 +b1100001001 & +b1100001001 5 +b1100001001 G +1+ +#3164 +0+ +#3165 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10001001010 3 +b1100001010 & +b1100001010 5 +b1100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3166 +0+ +#3167 +b10001001011 3 +b1100001011 & +b1100001011 5 +b1100001011 G +1+ +#3168 +0+ +#3169 +b100010011 4 +b10001001100 3 +b1100001100 & +b1100001100 5 +b1100001100 G +1+ +#3170 +0+ +#3171 +b100010011 . +b100010011 B +b100010011 : +b10001001101 3 +b1100001101 & +b1100001101 5 +b1100001101 G +1+ +#3172 +0+ +#3173 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10001001110 3 +b1100001110 & +b1100001110 5 +b1100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3174 +0+ +#3175 +b10001001111 3 +b1100001111 & +b1100001111 5 +b1100001111 G +1+ +#3176 +0+ +#3177 +b100010100 4 +b10001010000 3 +b1100010000 & +b1100010000 5 +b1100010000 G +1+ +#3178 +0+ +#3179 +b100010100 . +b100010100 B +b100010100 : +b10001010001 3 +b1100010001 & +b1100010001 5 +b1100010001 G +1+ +#3180 +0+ +#3181 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10001010010 3 +b1100010010 & +b1100010010 5 +b1100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3182 +0+ +#3183 +b10001010011 3 +b1100010011 & +b1100010011 5 +b1100010011 G +1+ +#3184 +0+ +#3185 +b100010101 4 +b10001010100 3 +b1100010100 & +b1100010100 5 +b1100010100 G +1+ +#3186 +0+ +#3187 +b100010101 . +b100010101 B +b100010101 : +b10001010101 3 +b1100010101 & +b1100010101 5 +b1100010101 G +1+ +#3188 +0+ +#3189 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10001010110 3 +b1100010110 & +b1100010110 5 +b1100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3190 +0+ +#3191 +b10001010111 3 +b1100010111 & +b1100010111 5 +b1100010111 G +1+ +#3192 +0+ +#3193 +b100010110 4 +b10001011000 3 +b1100011000 & +b1100011000 5 +b1100011000 G +1+ +#3194 +0+ +#3195 +b100010110 . +b100010110 B +b100010110 : +b10001011001 3 +b1100011001 & +b1100011001 5 +b1100011001 G +1+ +#3196 +0+ +#3197 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10001011010 3 +b1100011010 & +b1100011010 5 +b1100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3198 +0+ +#3199 +b10001011011 3 +b1100011011 & +b1100011011 5 +b1100011011 G +1+ +#3200 +0+ +#3201 +b100010111 4 +b10001011100 3 +b1100011100 & +b1100011100 5 +b1100011100 G +1+ +#3202 +0+ +#3203 +b100010111 . +b100010111 B +b100010111 : +b10001011101 3 +b1100011101 & +b1100011101 5 +b1100011101 G +1+ +#3204 +0+ +#3205 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10001011110 3 +b1100011110 & +b1100011110 5 +b1100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3206 +0+ +#3207 +b10001011111 3 +b1100011111 & +b1100011111 5 +b1100011111 G +1+ +#3208 +0+ +#3209 +1( +b10100000 4 +b10 # +b10 1 +b10 H +b1010000000 3 +b0 & +b0 5 +b0 G +1+ +#3210 +0+ +#3211 +b10100000 . +b10100000 B +b10100000 : +b1010000001 3 +b1 & +b1 5 +b1 G +1+ +#3212 +0+ +#3213 +b0 ' +b0 6 +b111100000000 < +b1010000010 3 +b10 & +b10 5 +b10 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3214 +0+ +#3215 +b1010000011 3 +b11 & +b11 5 +b11 G +1+ +#3216 +0+ +#3217 +b10100001 4 +b1010000100 3 +b100 & +b100 5 +b100 G +1+ +#3218 +0+ +#3219 +b10100001 . +b10100001 B +b10100001 : +b1010000101 3 +b101 & +b101 5 +b101 G +1+ +#3220 +0+ +#3221 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1010000110 3 +b110 & +b110 5 +b110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3222 +0+ +#3223 +b1010000111 3 +b111 & +b111 5 +b111 G +1+ +#3224 +0+ +#3225 +b10100010 4 +b1010001000 3 +b1000 & +b1000 5 +b1000 G +1+ +#3226 +0+ +#3227 +b10100010 . +b10100010 B +b10100010 : +b1010001001 3 +b1001 & +b1001 5 +b1001 G +1+ +#3228 +0+ +#3229 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1010001010 3 +b1010 & +b1010 5 +b1010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3230 +0+ +#3231 +b1010001011 3 +b1011 & +b1011 5 +b1011 G +1+ +#3232 +0+ +#3233 +b10100011 4 +b1010001100 3 +b1100 & +b1100 5 +b1100 G +1+ +#3234 +0+ +#3235 +b10100011 . +b10100011 B +b10100011 : +b1010001101 3 +b1101 & +b1101 5 +b1101 G +1+ +#3236 +0+ +#3237 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1010001110 3 +b1110 & +b1110 5 +b1110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3238 +0+ +#3239 +b1010001111 3 +b1111 & +b1111 5 +b1111 G +1+ +#3240 +0+ +#3241 +b10100100 4 +b1010010000 3 +b10000 & +b10000 5 +b10000 G +1+ +#3242 +0+ +#3243 +b10100100 . +b10100100 B +b10100100 : +b1010010001 3 +b10001 & +b10001 5 +b10001 G +1+ +#3244 +0+ +#3245 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1010010010 3 +b10010 & +b10010 5 +b10010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3246 +0+ +#3247 +b1010010011 3 +b10011 & +b10011 5 +b10011 G +1+ +#3248 +0+ +#3249 +b10100101 4 +b1010010100 3 +b10100 & +b10100 5 +b10100 G +1+ +#3250 +0+ +#3251 +b10100101 . +b10100101 B +b10100101 : +b1010010101 3 +b10101 & +b10101 5 +b10101 G +1+ +#3252 +0+ +#3253 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1010010110 3 +b10110 & +b10110 5 +b10110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3254 +0+ +#3255 +b1010010111 3 +b10111 & +b10111 5 +b10111 G +1+ +#3256 +0+ +#3257 +b10100110 4 +b1010011000 3 +b11000 & +b11000 5 +b11000 G +1+ +#3258 +0+ +#3259 +b10100110 . +b10100110 B +b10100110 : +b1010011001 3 +b11001 & +b11001 5 +b11001 G +1+ +#3260 +0+ +#3261 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1010011010 3 +b11010 & +b11010 5 +b11010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3262 +0+ +#3263 +b1010011011 3 +b11011 & +b11011 5 +b11011 G +1+ +#3264 +0+ +#3265 +b10100111 4 +b1010011100 3 +b11100 & +b11100 5 +b11100 G +1+ +#3266 +0+ +#3267 +b10100111 . +b10100111 B +b10100111 : +b1010011101 3 +b11101 & +b11101 5 +b11101 G +1+ +#3268 +0+ +#3269 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1010011110 3 +b11110 & +b11110 5 +b11110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3270 +0+ +#3271 +b1010011111 3 +b11111 & +b11111 5 +b11111 G +1+ +#3272 +0+ +#3273 +b10101000 4 +b1010100000 3 +b100000 & +b100000 5 +b100000 G +1+ +#3274 +0+ +#3275 +b10101000 . +b10101000 B +b10101000 : +b1010100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#3276 +0+ +#3277 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1010100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3278 +0+ +#3279 +b1010100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#3280 +0+ +#3281 +b10101001 4 +b1010100100 3 +b100100 & +b100100 5 +b100100 G +1+ +#3282 +0+ +#3283 +b10101001 . +b10101001 B +b10101001 : +b1010100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#3284 +0+ +#3285 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1010100110 3 +b100110 & +b100110 5 +b100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3286 +0+ +#3287 +b1010100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#3288 +0+ +#3289 +b10101010 4 +b1010101000 3 +b101000 & +b101000 5 +b101000 G +1+ +#3290 +0+ +#3291 +b10101010 . +b10101010 B +b10101010 : +b1010101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#3292 +0+ +#3293 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1010101010 3 +b101010 & +b101010 5 +b101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3294 +0+ +#3295 +b1010101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#3296 +0+ +#3297 +b10101011 4 +b1010101100 3 +b101100 & +b101100 5 +b101100 G +1+ +#3298 +0+ +#3299 +b10101011 . +b10101011 B +b10101011 : +b1010101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#3300 +0+ +#3301 +b1000 ' +b1000 6 +b100010000000 < +b1010101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3302 +0+ +#3303 +b1010101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#3304 +0+ +#3305 +b10101100 4 +b1010110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#3306 +0+ +#3307 +b10101100 . +b10101100 B +b10101100 : +b1010110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#3308 +0+ +#3309 +b0 $ +b0 2 +b10000000 < +b1010110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3310 +0+ +#3311 +b1010110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#3312 +0+ +#3313 +b10101101 4 +b1010110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#3314 +0+ +#3315 +b10101101 . +b10101101 B +b10101101 : +b1010110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#3316 +0+ +#3317 +b1000 * +b1000 9 +b10001000 < +b1010110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3318 +0+ +#3319 +b1010110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#3320 +0+ +#3321 +b10101110 4 +b1010111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#3322 +0+ +#3323 +b10101110 . +b10101110 B +b10101110 : +b1010111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#3324 +0+ +#3325 +b0 ' +b0 6 +b1000 < +b1010111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3326 +0+ +#3327 +b1010111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#3328 +0+ +#3329 +b10101111 4 +b1010111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#3330 +0+ +#3331 +b10101111 . +b10101111 B +b10101111 : +b1010111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#3332 +0+ +#3333 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1010111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3334 +0+ +#3335 +b1010111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#3336 +0+ +#3337 +b10110000 4 +b1011000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#3338 +0+ +#3339 +b10110000 . +b10110000 B +b10110000 : +b1011000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#3340 +0+ +#3341 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1011000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3342 +0+ +#3343 +b1011000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#3344 +0+ +#3345 +b10110001 4 +b1011000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#3346 +0+ +#3347 +b10110001 . +b10110001 B +b10110001 : +b1011000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#3348 +0+ +#3349 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1011000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3350 +0+ +#3351 +b1011000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#3352 +0+ +#3353 +b10110010 4 +b1011001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#3354 +0+ +#3355 +b10110010 . +b10110010 B +b10110010 : +b1011001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#3356 +0+ +#3357 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1011001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3358 +0+ +#3359 +b1011001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#3360 +0+ +#3361 +b10110011 4 +b1011001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#3362 +0+ +#3363 +b10110011 . +b10110011 B +b10110011 : +b1011001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#3364 +0+ +#3365 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1011001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3366 +0+ +#3367 +b1011001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#3368 +0+ +#3369 +b10110100 4 +b1011010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#3370 +0+ +#3371 +b10110100 . +b10110100 B +b10110100 : +b1011010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#3372 +0+ +#3373 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1011010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3374 +0+ +#3375 +b1011010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#3376 +0+ +#3377 +b10110101 4 +b1011010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#3378 +0+ +#3379 +b10110101 . +b10110101 B +b10110101 : +b1011010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#3380 +0+ +#3381 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1011010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3382 +0+ +#3383 +b1011010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#3384 +0+ +#3385 +b10110110 4 +b1011011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#3386 +0+ +#3387 +b10110110 . +b10110110 B +b10110110 : +b1011011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#3388 +0+ +#3389 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1011011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3390 +0+ +#3391 +b1011011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#3392 +0+ +#3393 +b10110111 4 +b1011011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#3394 +0+ +#3395 +b10110111 . +b10110111 B +b10110111 : +b1011011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#3396 +0+ +#3397 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1011011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3398 +0+ +#3399 +b1011011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#3400 +0+ +#3401 +b10111000 4 +b1011100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#3402 +0+ +#3403 +b10111000 . +b10111000 B +b10111000 : +b1011100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#3404 +0+ +#3405 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1011100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3406 +0+ +#3407 +b1011100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#3408 +0+ +#3409 +b10111001 4 +b1011100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#3410 +0+ +#3411 +b10111001 . +b10111001 B +b10111001 : +b1011100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#3412 +0+ +#3413 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1011100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3414 +0+ +#3415 +b1011100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#3416 +0+ +#3417 +b10111010 4 +b1011101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#3418 +0+ +#3419 +b10111010 . +b10111010 B +b10111010 : +b1011101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#3420 +0+ +#3421 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1011101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3422 +0+ +#3423 +b1011101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#3424 +0+ +#3425 +b10111011 4 +b1011101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#3426 +0+ +#3427 +b10111011 . +b10111011 B +b10111011 : +b1011101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#3428 +0+ +#3429 +b1000 ' +b1000 6 +b100010000000 < +b1011101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3430 +0+ +#3431 +b1011101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#3432 +0+ +#3433 +b10111100 4 +b1011110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#3434 +0+ +#3435 +b10111100 . +b10111100 B +b10111100 : +b1011110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#3436 +0+ +#3437 +b0 $ +b0 2 +b10000000 < +b1011110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3438 +0+ +#3439 +b1011110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#3440 +0+ +#3441 +b10111101 4 +b1011110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#3442 +0+ +#3443 +b10111101 . +b10111101 B +b10111101 : +b1011110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#3444 +0+ +#3445 +b1000 * +b1000 9 +b10001000 < +b1011110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3446 +0+ +#3447 +b1011110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#3448 +0+ +#3449 +b10111110 4 +b1011111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#3450 +0+ +#3451 +b10111110 . +b10111110 B +b10111110 : +b1011111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#3452 +0+ +#3453 +b0 ' +b0 6 +b1000 < +b1011111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3454 +0+ +#3455 +b1011111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#3456 +0+ +#3457 +b10111111 4 +b1011111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#3458 +0+ +#3459 +b10111111 . +b10111111 B +b10111111 : +b1011111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#3460 +0+ +#3461 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1011111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3462 +0+ +#3463 +b1011111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#3464 +0+ +#3465 +b11000000 4 +b1100000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#3466 +0+ +#3467 +b11000000 . +b11000000 B +b11000000 : +b1100000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#3468 +0+ +#3469 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1100000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3470 +0+ +#3471 +b1100000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#3472 +0+ +#3473 +b11000001 4 +b1100000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#3474 +0+ +#3475 +b11000001 . +b11000001 B +b11000001 : +b1100000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#3476 +0+ +#3477 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1100000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3478 +0+ +#3479 +b1100000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#3480 +0+ +#3481 +b11000010 4 +b1100001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#3482 +0+ +#3483 +b11000010 . +b11000010 B +b11000010 : +b1100001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#3484 +0+ +#3485 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1100001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3486 +0+ +#3487 +b1100001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#3488 +0+ +#3489 +b11000011 4 +b1100001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#3490 +0+ +#3491 +b11000011 . +b11000011 B +b11000011 : +b1100001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#3492 +0+ +#3493 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1100001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3494 +0+ +#3495 +b1100001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#3496 +0+ +#3497 +b11000100 4 +b1100010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#3498 +0+ +#3499 +b11000100 . +b11000100 B +b11000100 : +b1100010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#3500 +0+ +#3501 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1100010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3502 +0+ +#3503 +b1100010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#3504 +0+ +#3505 +b11000101 4 +b1100010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#3506 +0+ +#3507 +b11000101 . +b11000101 B +b11000101 : +b1100010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#3508 +0+ +#3509 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1100010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3510 +0+ +#3511 +b1100010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#3512 +0+ +#3513 +b11000110 4 +b1100011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#3514 +0+ +#3515 +b11000110 . +b11000110 B +b11000110 : +b1100011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#3516 +0+ +#3517 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1100011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3518 +0+ +#3519 +b1100011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#3520 +0+ +#3521 +b11000111 4 +b1100011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#3522 +0+ +#3523 +b11000111 . +b11000111 B +b11000111 : +b1100011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#3524 +0+ +#3525 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1100011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3526 +0+ +#3527 +b1100011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#3528 +0+ +#3529 +b11001000 4 +b1100100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#3530 +0+ +#3531 +b11001000 . +b11001000 B +b11001000 : +b1100100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#3532 +0+ +#3533 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1100100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3534 +0+ +#3535 +b1100100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#3536 +0+ +#3537 +b11001001 4 +b1100100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#3538 +0+ +#3539 +b11001001 . +b11001001 B +b11001001 : +b1100100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#3540 +0+ +#3541 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1100100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3542 +0+ +#3543 +b1100100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#3544 +0+ +#3545 +b11001010 4 +b1100101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#3546 +0+ +#3547 +b11001010 . +b11001010 B +b11001010 : +b1100101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#3548 +0+ +#3549 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1100101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3550 +0+ +#3551 +b1100101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#3552 +0+ +#3553 +b11001011 4 +b1100101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#3554 +0+ +#3555 +b11001011 . +b11001011 B +b11001011 : +b1100101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#3556 +0+ +#3557 +b1000 ' +b1000 6 +b100010000000 < +b1100101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3558 +0+ +#3559 +b1100101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#3560 +0+ +#3561 +b11001100 4 +b1100110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#3562 +0+ +#3563 +b11001100 . +b11001100 B +b11001100 : +b1100110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#3564 +0+ +#3565 +b0 $ +b0 2 +b10000000 < +b1100110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3566 +0+ +#3567 +b1100110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#3568 +0+ +#3569 +b11001101 4 +b1100110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#3570 +0+ +#3571 +b11001101 . +b11001101 B +b11001101 : +b1100110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#3572 +0+ +#3573 +b1000 * +b1000 9 +b10001000 < +b1100110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3574 +0+ +#3575 +b1100110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#3576 +0+ +#3577 +b11001110 4 +b1100111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#3578 +0+ +#3579 +b11001110 . +b11001110 B +b11001110 : +b1100111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#3580 +0+ +#3581 +b0 ' +b0 6 +b1000 < +b1100111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3582 +0+ +#3583 +b1100111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#3584 +0+ +#3585 +b11001111 4 +b1100111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#3586 +0+ +#3587 +b11001111 . +b11001111 B +b11001111 : +b1100111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#3588 +0+ +#3589 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1100111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3590 +0+ +#3591 +b1100111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#3592 +0+ +#3593 +b11010000 4 +b1101000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#3594 +0+ +#3595 +b11010000 . +b11010000 B +b11010000 : +b1101000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#3596 +0+ +#3597 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1101000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3598 +0+ +#3599 +b1101000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#3600 +0+ +#3601 +b11010001 4 +b1101000100 3 +b11000100 & +b11000100 5 +b11000100 G +1+ +#3602 +0+ +#3603 +b11010001 . +b11010001 B +b11010001 : +b1101000101 3 +b11000101 & +b11000101 5 +b11000101 G +1+ +#3604 +0+ +#3605 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1101000110 3 +b11000110 & +b11000110 5 +b11000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3606 +0+ +#3607 +b1101000111 3 +b11000111 & +b11000111 5 +b11000111 G +1+ +#3608 +0+ +#3609 +b11010010 4 +b1101001000 3 +b11001000 & +b11001000 5 +b11001000 G +1+ +#3610 +0+ +#3611 +b11010010 . +b11010010 B +b11010010 : +b1101001001 3 +b11001001 & +b11001001 5 +b11001001 G +1+ +#3612 +0+ +#3613 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1101001010 3 +b11001010 & +b11001010 5 +b11001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3614 +0+ +#3615 +b1101001011 3 +b11001011 & +b11001011 5 +b11001011 G +1+ +#3616 +0+ +#3617 +b11010011 4 +b1101001100 3 +b11001100 & +b11001100 5 +b11001100 G +1+ +#3618 +0+ +#3619 +b11010011 . +b11010011 B +b11010011 : +b1101001101 3 +b11001101 & +b11001101 5 +b11001101 G +1+ +#3620 +0+ +#3621 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1101001110 3 +b11001110 & +b11001110 5 +b11001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3622 +0+ +#3623 +b1101001111 3 +b11001111 & +b11001111 5 +b11001111 G +1+ +#3624 +0+ +#3625 +b11010100 4 +b1101010000 3 +b11010000 & +b11010000 5 +b11010000 G +1+ +#3626 +0+ +#3627 +b11010100 . +b11010100 B +b11010100 : +b1101010001 3 +b11010001 & +b11010001 5 +b11010001 G +1+ +#3628 +0+ +#3629 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1101010010 3 +b11010010 & +b11010010 5 +b11010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3630 +0+ +#3631 +b1101010011 3 +b11010011 & +b11010011 5 +b11010011 G +1+ +#3632 +0+ +#3633 +b11010101 4 +b1101010100 3 +b11010100 & +b11010100 5 +b11010100 G +1+ +#3634 +0+ +#3635 +b11010101 . +b11010101 B +b11010101 : +b1101010101 3 +b11010101 & +b11010101 5 +b11010101 G +1+ +#3636 +0+ +#3637 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1101010110 3 +b11010110 & +b11010110 5 +b11010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3638 +0+ +#3639 +b1101010111 3 +b11010111 & +b11010111 5 +b11010111 G +1+ +#3640 +0+ +#3641 +b11010110 4 +b1101011000 3 +b11011000 & +b11011000 5 +b11011000 G +1+ +#3642 +0+ +#3643 +b11010110 . +b11010110 B +b11010110 : +b1101011001 3 +b11011001 & +b11011001 5 +b11011001 G +1+ +#3644 +0+ +#3645 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1101011010 3 +b11011010 & +b11011010 5 +b11011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3646 +0+ +#3647 +b1101011011 3 +b11011011 & +b11011011 5 +b11011011 G +1+ +#3648 +0+ +#3649 +b11010111 4 +b1101011100 3 +b11011100 & +b11011100 5 +b11011100 G +1+ +#3650 +0+ +#3651 +b11010111 . +b11010111 B +b11010111 : +b1101011101 3 +b11011101 & +b11011101 5 +b11011101 G +1+ +#3652 +0+ +#3653 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1101011110 3 +b11011110 & +b11011110 5 +b11011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3654 +0+ +#3655 +b1101011111 3 +b11011111 & +b11011111 5 +b11011111 G +1+ +#3656 +0+ +#3657 +b11011000 4 +b1101100000 3 +b11100000 & +b11100000 5 +b11100000 G +1+ +#3658 +0+ +#3659 +b11011000 . +b11011000 B +b11011000 : +b1101100001 3 +b11100001 & +b11100001 5 +b11100001 G +1+ +#3660 +0+ +#3661 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1101100010 3 +b11100010 & +b11100010 5 +b11100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3662 +0+ +#3663 +b1101100011 3 +b11100011 & +b11100011 5 +b11100011 G +1+ +#3664 +0+ +#3665 +b11011001 4 +b1101100100 3 +b11100100 & +b11100100 5 +b11100100 G +1+ +#3666 +0+ +#3667 +b11011001 . +b11011001 B +b11011001 : +b1101100101 3 +b11100101 & +b11100101 5 +b11100101 G +1+ +#3668 +0+ +#3669 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1101100110 3 +b11100110 & +b11100110 5 +b11100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3670 +0+ +#3671 +b1101100111 3 +b11100111 & +b11100111 5 +b11100111 G +1+ +#3672 +0+ +#3673 +b11011010 4 +b1101101000 3 +b11101000 & +b11101000 5 +b11101000 G +1+ +#3674 +0+ +#3675 +b11011010 . +b11011010 B +b11011010 : +b1101101001 3 +b11101001 & +b11101001 5 +b11101001 G +1+ +#3676 +0+ +#3677 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1101101010 3 +b11101010 & +b11101010 5 +b11101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3678 +0+ +#3679 +b1101101011 3 +b11101011 & +b11101011 5 +b11101011 G +1+ +#3680 +0+ +#3681 +b11011011 4 +b1101101100 3 +b11101100 & +b11101100 5 +b11101100 G +1+ +#3682 +0+ +#3683 +b11011011 . +b11011011 B +b11011011 : +b1101101101 3 +b11101101 & +b11101101 5 +b11101101 G +1+ +#3684 +0+ +#3685 +b1000 ' +b1000 6 +b100010000000 < +b1101101110 3 +b11101110 & +b11101110 5 +b11101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3686 +0+ +#3687 +b1101101111 3 +b11101111 & +b11101111 5 +b11101111 G +1+ +#3688 +0+ +#3689 +b11011100 4 +b1101110000 3 +b11110000 & +b11110000 5 +b11110000 G +1+ +#3690 +0+ +#3691 +b11011100 . +b11011100 B +b11011100 : +b1101110001 3 +b11110001 & +b11110001 5 +b11110001 G +1+ +#3692 +0+ +#3693 +b0 $ +b0 2 +b10000000 < +b1101110010 3 +b11110010 & +b11110010 5 +b11110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3694 +0+ +#3695 +b1101110011 3 +b11110011 & +b11110011 5 +b11110011 G +1+ +#3696 +0+ +#3697 +b11011101 4 +b1101110100 3 +b11110100 & +b11110100 5 +b11110100 G +1+ +#3698 +0+ +#3699 +b11011101 . +b11011101 B +b11011101 : +b1101110101 3 +b11110101 & +b11110101 5 +b11110101 G +1+ +#3700 +0+ +#3701 +b1000 * +b1000 9 +b10001000 < +b1101110110 3 +b11110110 & +b11110110 5 +b11110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3702 +0+ +#3703 +b1101110111 3 +b11110111 & +b11110111 5 +b11110111 G +1+ +#3704 +0+ +#3705 +b11011110 4 +b1101111000 3 +b11111000 & +b11111000 5 +b11111000 G +1+ +#3706 +0+ +#3707 +b11011110 . +b11011110 B +b11011110 : +b1101111001 3 +b11111001 & +b11111001 5 +b11111001 G +1+ +#3708 +0+ +#3709 +b0 ' +b0 6 +b1000 < +b1101111010 3 +b11111010 & +b11111010 5 +b11111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3710 +0+ +#3711 +b1101111011 3 +b11111011 & +b11111011 5 +b11111011 G +1+ +#3712 +0+ +#3713 +b11011111 4 +b1101111100 3 +b11111100 & +b11111100 5 +b11111100 G +1+ +#3714 +0+ +#3715 +b11011111 . +b11011111 B +b11011111 : +b1101111101 3 +b11111101 & +b11111101 5 +b11111101 G +1+ +#3716 +0+ +#3717 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1101111110 3 +b11111110 & +b11111110 5 +b11111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3718 +0+ +#3719 +b1101111111 3 +b11111111 & +b11111111 5 +b11111111 G +1+ +#3720 +0+ +#3721 +b11100000 4 +b1110000000 3 +b100000000 & +b100000000 5 +b100000000 G +1+ +#3722 +0+ +#3723 +b11100000 . +b11100000 B +b11100000 : +b1110000001 3 +b100000001 & +b100000001 5 +b100000001 G +1+ +#3724 +0+ +#3725 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1110000010 3 +b100000010 & +b100000010 5 +b100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3726 +0+ +#3727 +b1110000011 3 +b100000011 & +b100000011 5 +b100000011 G +1+ +#3728 +0+ +#3729 +b11100001 4 +b1110000100 3 +b100000100 & +b100000100 5 +b100000100 G +1+ +#3730 +0+ +#3731 +b11100001 . +b11100001 B +b11100001 : +b1110000101 3 +b100000101 & +b100000101 5 +b100000101 G +1+ +#3732 +0+ +#3733 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1110000110 3 +b100000110 & +b100000110 5 +b100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3734 +0+ +#3735 +b1110000111 3 +b100000111 & +b100000111 5 +b100000111 G +1+ +#3736 +0+ +#3737 +b11100010 4 +b1110001000 3 +b100001000 & +b100001000 5 +b100001000 G +1+ +#3738 +0+ +#3739 +b11100010 . +b11100010 B +b11100010 : +b1110001001 3 +b100001001 & +b100001001 5 +b100001001 G +1+ +#3740 +0+ +#3741 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1110001010 3 +b100001010 & +b100001010 5 +b100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3742 +0+ +#3743 +b1110001011 3 +b100001011 & +b100001011 5 +b100001011 G +1+ +#3744 +0+ +#3745 +b11100011 4 +b1110001100 3 +b100001100 & +b100001100 5 +b100001100 G +1+ +#3746 +0+ +#3747 +b11100011 . +b11100011 B +b11100011 : +b1110001101 3 +b100001101 & +b100001101 5 +b100001101 G +1+ +#3748 +0+ +#3749 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1110001110 3 +b100001110 & +b100001110 5 +b100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3750 +0+ +#3751 +b1110001111 3 +b100001111 & +b100001111 5 +b100001111 G +1+ +#3752 +0+ +#3753 +b11100100 4 +b1110010000 3 +b100010000 & +b100010000 5 +b100010000 G +1+ +#3754 +0+ +#3755 +b11100100 . +b11100100 B +b11100100 : +b1110010001 3 +b100010001 & +b100010001 5 +b100010001 G +1+ +#3756 +0+ +#3757 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1110010010 3 +b100010010 & +b100010010 5 +b100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3758 +0+ +#3759 +b1110010011 3 +b100010011 & +b100010011 5 +b100010011 G +1+ +#3760 +0+ +#3761 +b11100101 4 +b1110010100 3 +b100010100 & +b100010100 5 +b100010100 G +1+ +#3762 +0+ +#3763 +b11100101 . +b11100101 B +b11100101 : +b1110010101 3 +b100010101 & +b100010101 5 +b100010101 G +1+ +#3764 +0+ +#3765 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1110010110 3 +b100010110 & +b100010110 5 +b100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3766 +0+ +#3767 +b1110010111 3 +b100010111 & +b100010111 5 +b100010111 G +1+ +#3768 +0+ +#3769 +b11100110 4 +b1110011000 3 +b100011000 & +b100011000 5 +b100011000 G +1+ +#3770 +0+ +#3771 +b11100110 . +b11100110 B +b11100110 : +b1110011001 3 +b100011001 & +b100011001 5 +b100011001 G +1+ +#3772 +0+ +#3773 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1110011010 3 +b100011010 & +b100011010 5 +b100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3774 +0+ +#3775 +b1110011011 3 +b100011011 & +b100011011 5 +b100011011 G +1+ +#3776 +0+ +#3777 +b11100111 4 +b1110011100 3 +b100011100 & +b100011100 5 +b100011100 G +1+ +#3778 +0+ +#3779 +b11100111 . +b11100111 B +b11100111 : +b1110011101 3 +b100011101 & +b100011101 5 +b100011101 G +1+ +#3780 +0+ +#3781 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1110011110 3 +b100011110 & +b100011110 5 +b100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3782 +0+ +#3783 +b1110011111 3 +b100011111 & +b100011111 5 +b100011111 G +1+ +#3784 +0+ +#3785 +b11101000 4 +b1110100000 3 +b100100000 & +b100100000 5 +b100100000 G +1+ +#3786 +0+ +#3787 +b11101000 . +b11101000 B +b11101000 : +b1110100001 3 +b100100001 & +b100100001 5 +b100100001 G +1+ +#3788 +0+ +#3789 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1110100010 3 +b100100010 & +b100100010 5 +b100100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3790 +0+ +#3791 +b1110100011 3 +b100100011 & +b100100011 5 +b100100011 G +1+ +#3792 +0+ +#3793 +b11101001 4 +b1110100100 3 +b100100100 & +b100100100 5 +b100100100 G +1+ +#3794 +0+ +#3795 +b11101001 . +b11101001 B +b11101001 : +b1110100101 3 +b100100101 & +b100100101 5 +b100100101 G +1+ +#3796 +0+ +#3797 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1110100110 3 +b100100110 & +b100100110 5 +b100100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3798 +0+ +#3799 +b1110100111 3 +b100100111 & +b100100111 5 +b100100111 G +1+ +#3800 +0+ +#3801 +b11101010 4 +b1110101000 3 +b100101000 & +b100101000 5 +b100101000 G +1+ +#3802 +0+ +#3803 +b11101010 . +b11101010 B +b11101010 : +b1110101001 3 +b100101001 & +b100101001 5 +b100101001 G +1+ +#3804 +0+ +#3805 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1110101010 3 +b100101010 & +b100101010 5 +b100101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3806 +0+ +#3807 +b1110101011 3 +b100101011 & +b100101011 5 +b100101011 G +1+ +#3808 +0+ +#3809 +b11101011 4 +b1110101100 3 +b100101100 & +b100101100 5 +b100101100 G +1+ +#3810 +0+ +#3811 +b11101011 . +b11101011 B +b11101011 : +b1110101101 3 +b100101101 & +b100101101 5 +b100101101 G +1+ +#3812 +0+ +#3813 +b1000 ' +b1000 6 +b100010000000 < +b1110101110 3 +b100101110 & +b100101110 5 +b100101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3814 +0+ +#3815 +b1110101111 3 +b100101111 & +b100101111 5 +b100101111 G +1+ +#3816 +0+ +#3817 +b11101100 4 +b1110110000 3 +b100110000 & +b100110000 5 +b100110000 G +1+ +#3818 +0+ +#3819 +b11101100 . +b11101100 B +b11101100 : +b1110110001 3 +b100110001 & +b100110001 5 +b100110001 G +1+ +#3820 +0+ +#3821 +b0 $ +b0 2 +b10000000 < +b1110110010 3 +b100110010 & +b100110010 5 +b100110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3822 +0+ +#3823 +b1110110011 3 +b100110011 & +b100110011 5 +b100110011 G +1+ +#3824 +0+ +#3825 +b11101101 4 +b1110110100 3 +b100110100 & +b100110100 5 +b100110100 G +1+ +#3826 +0+ +#3827 +b11101101 . +b11101101 B +b11101101 : +b1110110101 3 +b100110101 & +b100110101 5 +b100110101 G +1+ +#3828 +0+ +#3829 +b1000 * +b1000 9 +b10001000 < +b1110110110 3 +b100110110 & +b100110110 5 +b100110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3830 +0+ +#3831 +b1110110111 3 +b100110111 & +b100110111 5 +b100110111 G +1+ +#3832 +0+ +#3833 +b11101110 4 +b1110111000 3 +b100111000 & +b100111000 5 +b100111000 G +1+ +#3834 +0+ +#3835 +b11101110 . +b11101110 B +b11101110 : +b1110111001 3 +b100111001 & +b100111001 5 +b100111001 G +1+ +#3836 +0+ +#3837 +b0 ' +b0 6 +b1000 < +b1110111010 3 +b100111010 & +b100111010 5 +b100111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3838 +0+ +#3839 +b1110111011 3 +b100111011 & +b100111011 5 +b100111011 G +1+ +#3840 +0+ +#3841 +b11101111 4 +b1110111100 3 +b100111100 & +b100111100 5 +b100111100 G +1+ +#3842 +0+ +#3843 +b11101111 . +b11101111 B +b11101111 : +b1110111101 3 +b100111101 & +b100111101 5 +b100111101 G +1+ +#3844 +0+ +#3845 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1110111110 3 +b100111110 & +b100111110 5 +b100111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3846 +0+ +#3847 +b1110111111 3 +b100111111 & +b100111111 5 +b100111111 G +1+ +#3848 +0+ +#3849 +b11110000 4 +b1111000000 3 +b101000000 & +b101000000 5 +b101000000 G +1+ +#3850 +0+ +#3851 +b11110000 . +b11110000 B +b11110000 : +b1111000001 3 +b101000001 & +b101000001 5 +b101000001 G +1+ +#3852 +0+ +#3853 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b1111000010 3 +b101000010 & +b101000010 5 +b101000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3854 +0+ +#3855 +b1111000011 3 +b101000011 & +b101000011 5 +b101000011 G +1+ +#3856 +0+ +#3857 +b11110001 4 +b1111000100 3 +b101000100 & +b101000100 5 +b101000100 G +1+ +#3858 +0+ +#3859 +b11110001 . +b11110001 B +b11110001 : +b1111000101 3 +b101000101 & +b101000101 5 +b101000101 G +1+ +#3860 +0+ +#3861 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1111000110 3 +b101000110 & +b101000110 5 +b101000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3862 +0+ +#3863 +b1111000111 3 +b101000111 & +b101000111 5 +b101000111 G +1+ +#3864 +0+ +#3865 +b11110010 4 +b1111001000 3 +b101001000 & +b101001000 5 +b101001000 G +1+ +#3866 +0+ +#3867 +b11110010 . +b11110010 B +b11110010 : +b1111001001 3 +b101001001 & +b101001001 5 +b101001001 G +1+ +#3868 +0+ +#3869 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1111001010 3 +b101001010 & +b101001010 5 +b101001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3870 +0+ +#3871 +b1111001011 3 +b101001011 & +b101001011 5 +b101001011 G +1+ +#3872 +0+ +#3873 +b11110011 4 +b1111001100 3 +b101001100 & +b101001100 5 +b101001100 G +1+ +#3874 +0+ +#3875 +b11110011 . +b11110011 B +b11110011 : +b1111001101 3 +b101001101 & +b101001101 5 +b101001101 G +1+ +#3876 +0+ +#3877 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1111001110 3 +b101001110 & +b101001110 5 +b101001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#3878 +0+ +#3879 +b1111001111 3 +b101001111 & +b101001111 5 +b101001111 G +1+ +#3880 +0+ +#3881 +b11110100 4 +b1111010000 3 +b101010000 & +b101010000 5 +b101010000 G +1+ +#3882 +0+ +#3883 +b11110100 . +b11110100 B +b11110100 : +b1111010001 3 +b101010001 & +b101010001 5 +b101010001 G +1+ +#3884 +0+ +#3885 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1111010010 3 +b101010010 & +b101010010 5 +b101010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#3886 +0+ +#3887 +b1111010011 3 +b101010011 & +b101010011 5 +b101010011 G +1+ +#3888 +0+ +#3889 +b11110101 4 +b1111010100 3 +b101010100 & +b101010100 5 +b101010100 G +1+ +#3890 +0+ +#3891 +b11110101 . +b11110101 B +b11110101 : +b1111010101 3 +b101010101 & +b101010101 5 +b101010101 G +1+ +#3892 +0+ +#3893 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1111010110 3 +b101010110 & +b101010110 5 +b101010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#3894 +0+ +#3895 +b1111010111 3 +b101010111 & +b101010111 5 +b101010111 G +1+ +#3896 +0+ +#3897 +b11110110 4 +b1111011000 3 +b101011000 & +b101011000 5 +b101011000 G +1+ +#3898 +0+ +#3899 +b11110110 . +b11110110 B +b11110110 : +b1111011001 3 +b101011001 & +b101011001 5 +b101011001 G +1+ +#3900 +0+ +#3901 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1111011010 3 +b101011010 & +b101011010 5 +b101011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#3902 +0+ +#3903 +b1111011011 3 +b101011011 & +b101011011 5 +b101011011 G +1+ +#3904 +0+ +#3905 +b11110111 4 +b1111011100 3 +b101011100 & +b101011100 5 +b101011100 G +1+ +#3906 +0+ +#3907 +b11110111 . +b11110111 B +b11110111 : +b1111011101 3 +b101011101 & +b101011101 5 +b101011101 G +1+ +#3908 +0+ +#3909 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1111011110 3 +b101011110 & +b101011110 5 +b101011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#3910 +0+ +#3911 +b1111011111 3 +b101011111 & +b101011111 5 +b101011111 G +1+ +#3912 +0+ +#3913 +b11111000 4 +b1111100000 3 +b101100000 & +b101100000 5 +b101100000 G +1+ +#3914 +0+ +#3915 +b11111000 . +b11111000 B +b11111000 : +b1111100001 3 +b101100001 & +b101100001 5 +b101100001 G +1+ +#3916 +0+ +#3917 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1111100010 3 +b101100010 & +b101100010 5 +b101100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#3918 +0+ +#3919 +b1111100011 3 +b101100011 & +b101100011 5 +b101100011 G +1+ +#3920 +0+ +#3921 +b11111001 4 +b1111100100 3 +b101100100 & +b101100100 5 +b101100100 G +1+ +#3922 +0+ +#3923 +b11111001 . +b11111001 B +b11111001 : +b1111100101 3 +b101100101 & +b101100101 5 +b101100101 G +1+ +#3924 +0+ +#3925 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1111100110 3 +b101100110 & +b101100110 5 +b101100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#3926 +0+ +#3927 +b1111100111 3 +b101100111 & +b101100111 5 +b101100111 G +1+ +#3928 +0+ +#3929 +b11111010 4 +b1111101000 3 +b101101000 & +b101101000 5 +b101101000 G +1+ +#3930 +0+ +#3931 +b11111010 . +b11111010 B +b11111010 : +b1111101001 3 +b101101001 & +b101101001 5 +b101101001 G +1+ +#3932 +0+ +#3933 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1111101010 3 +b101101010 & +b101101010 5 +b101101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#3934 +0+ +#3935 +b1111101011 3 +b101101011 & +b101101011 5 +b101101011 G +1+ +#3936 +0+ +#3937 +b11111011 4 +b1111101100 3 +b101101100 & +b101101100 5 +b101101100 G +1+ +#3938 +0+ +#3939 +b11111011 . +b11111011 B +b11111011 : +b1111101101 3 +b101101101 & +b101101101 5 +b101101101 G +1+ +#3940 +0+ +#3941 +b1000 ' +b1000 6 +b100010000000 < +b1111101110 3 +b101101110 & +b101101110 5 +b101101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#3942 +0+ +#3943 +b1111101111 3 +b101101111 & +b101101111 5 +b101101111 G +1+ +#3944 +0+ +#3945 +b11111100 4 +b1111110000 3 +b101110000 & +b101110000 5 +b101110000 G +1+ +#3946 +0+ +#3947 +b11111100 . +b11111100 B +b11111100 : +b1111110001 3 +b101110001 & +b101110001 5 +b101110001 G +1+ +#3948 +0+ +#3949 +b0 $ +b0 2 +b10000000 < +b1111110010 3 +b101110010 & +b101110010 5 +b101110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#3950 +0+ +#3951 +b1111110011 3 +b101110011 & +b101110011 5 +b101110011 G +1+ +#3952 +0+ +#3953 +b11111101 4 +b1111110100 3 +b101110100 & +b101110100 5 +b101110100 G +1+ +#3954 +0+ +#3955 +b11111101 . +b11111101 B +b11111101 : +b1111110101 3 +b101110101 & +b101110101 5 +b101110101 G +1+ +#3956 +0+ +#3957 +b1000 * +b1000 9 +b10001000 < +b1111110110 3 +b101110110 & +b101110110 5 +b101110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#3958 +0+ +#3959 +b1111110111 3 +b101110111 & +b101110111 5 +b101110111 G +1+ +#3960 +0+ +#3961 +b11111110 4 +b1111111000 3 +b101111000 & +b101111000 5 +b101111000 G +1+ +#3962 +0+ +#3963 +b11111110 . +b11111110 B +b11111110 : +b1111111001 3 +b101111001 & +b101111001 5 +b101111001 G +1+ +#3964 +0+ +#3965 +b0 ' +b0 6 +b1000 < +b1111111010 3 +b101111010 & +b101111010 5 +b101111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#3966 +0+ +#3967 +b1111111011 3 +b101111011 & +b101111011 5 +b101111011 G +1+ +#3968 +0+ +#3969 +b11111111 4 +b1111111100 3 +b101111100 & +b101111100 5 +b101111100 G +1+ +#3970 +0+ +#3971 +b11111111 . +b11111111 B +b11111111 : +b1111111101 3 +b101111101 & +b101111101 5 +b101111101 G +1+ +#3972 +0+ +#3973 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1111111110 3 +b101111110 & +b101111110 5 +b101111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#3974 +0+ +#3975 +b1111111111 3 +b101111111 & +b101111111 5 +b101111111 G +1+ +#3976 +0+ +#3977 +b100000000 4 +b10000000000 3 +b110000000 & +b110000000 5 +b110000000 G +1+ +#3978 +0+ +#3979 +b100000000 . +b100000000 B +b100000000 : +b10000000001 3 +b110000001 & +b110000001 5 +b110000001 G +1+ +#3980 +0+ +#3981 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10000000010 3 +b110000010 & +b110000010 5 +b110000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#3982 +0+ +#3983 +b10000000011 3 +b110000011 & +b110000011 5 +b110000011 G +1+ +#3984 +0+ +#3985 +b100000001 4 +b10000000100 3 +b110000100 & +b110000100 5 +b110000100 G +1+ +#3986 +0+ +#3987 +b100000001 . +b100000001 B +b100000001 : +b10000000101 3 +b110000101 & +b110000101 5 +b110000101 G +1+ +#3988 +0+ +#3989 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10000000110 3 +b110000110 & +b110000110 5 +b110000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#3990 +0+ +#3991 +b10000000111 3 +b110000111 & +b110000111 5 +b110000111 G +1+ +#3992 +0+ +#3993 +b100000010 4 +b10000001000 3 +b110001000 & +b110001000 5 +b110001000 G +1+ +#3994 +0+ +#3995 +b100000010 . +b100000010 B +b100000010 : +b10000001001 3 +b110001001 & +b110001001 5 +b110001001 G +1+ +#3996 +0+ +#3997 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10000001010 3 +b110001010 & +b110001010 5 +b110001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#3998 +0+ +#3999 +b10000001011 3 +b110001011 & +b110001011 5 +b110001011 G +1+ +#4000 +0+ +#4001 +b100000011 4 +b10000001100 3 +b110001100 & +b110001100 5 +b110001100 G +1+ +#4002 +0+ +#4003 +b100000011 . +b100000011 B +b100000011 : +b10000001101 3 +b110001101 & +b110001101 5 +b110001101 G +1+ +#4004 +0+ +#4005 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10000001110 3 +b110001110 & +b110001110 5 +b110001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4006 +0+ +#4007 +b10000001111 3 +b110001111 & +b110001111 5 +b110001111 G +1+ +#4008 +0+ +#4009 +b100000100 4 +b10000010000 3 +b110010000 & +b110010000 5 +b110010000 G +1+ +#4010 +0+ +#4011 +b100000100 . +b100000100 B +b100000100 : +b10000010001 3 +b110010001 & +b110010001 5 +b110010001 G +1+ +#4012 +0+ +#4013 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10000010010 3 +b110010010 & +b110010010 5 +b110010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4014 +0+ +#4015 +b10000010011 3 +b110010011 & +b110010011 5 +b110010011 G +1+ +#4016 +0+ +#4017 +b100000101 4 +b10000010100 3 +b110010100 & +b110010100 5 +b110010100 G +1+ +#4018 +0+ +#4019 +b100000101 . +b100000101 B +b100000101 : +b10000010101 3 +b110010101 & +b110010101 5 +b110010101 G +1+ +#4020 +0+ +#4021 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10000010110 3 +b110010110 & +b110010110 5 +b110010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4022 +0+ +#4023 +b10000010111 3 +b110010111 & +b110010111 5 +b110010111 G +1+ +#4024 +0+ +#4025 +b100000110 4 +b10000011000 3 +b110011000 & +b110011000 5 +b110011000 G +1+ +#4026 +0+ +#4027 +b100000110 . +b100000110 B +b100000110 : +b10000011001 3 +b110011001 & +b110011001 5 +b110011001 G +1+ +#4028 +0+ +#4029 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10000011010 3 +b110011010 & +b110011010 5 +b110011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4030 +0+ +#4031 +b10000011011 3 +b110011011 & +b110011011 5 +b110011011 G +1+ +#4032 +0+ +#4033 +b100000111 4 +b10000011100 3 +b110011100 & +b110011100 5 +b110011100 G +1+ +#4034 +0+ +#4035 +b100000111 . +b100000111 B +b100000111 : +b10000011101 3 +b110011101 & +b110011101 5 +b110011101 G +1+ +#4036 +0+ +#4037 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10000011110 3 +b110011110 & +b110011110 5 +b110011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4038 +0+ +#4039 +b10000011111 3 +b110011111 & +b110011111 5 +b110011111 G +1+ +#4040 +0+ +#4041 +b100001000 4 +b10000100000 3 +b110100000 & +b110100000 5 +b110100000 G +1+ +#4042 +0+ +#4043 +b100001000 . +b100001000 B +b100001000 : +b10000100001 3 +b110100001 & +b110100001 5 +b110100001 G +1+ +#4044 +0+ +#4045 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10000100010 3 +b110100010 & +b110100010 5 +b110100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4046 +0+ +#4047 +b10000100011 3 +b110100011 & +b110100011 5 +b110100011 G +1+ +#4048 +0+ +#4049 +b100001001 4 +b10000100100 3 +b110100100 & +b110100100 5 +b110100100 G +1+ +#4050 +0+ +#4051 +b100001001 . +b100001001 B +b100001001 : +b10000100101 3 +b110100101 & +b110100101 5 +b110100101 G +1+ +#4052 +0+ +#4053 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10000100110 3 +b110100110 & +b110100110 5 +b110100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4054 +0+ +#4055 +b10000100111 3 +b110100111 & +b110100111 5 +b110100111 G +1+ +#4056 +0+ +#4057 +b100001010 4 +b10000101000 3 +b110101000 & +b110101000 5 +b110101000 G +1+ +#4058 +0+ +#4059 +b100001010 . +b100001010 B +b100001010 : +b10000101001 3 +b110101001 & +b110101001 5 +b110101001 G +1+ +#4060 +0+ +#4061 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10000101010 3 +b110101010 & +b110101010 5 +b110101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4062 +0+ +#4063 +b10000101011 3 +b110101011 & +b110101011 5 +b110101011 G +1+ +#4064 +0+ +#4065 +b100001011 4 +b10000101100 3 +b110101100 & +b110101100 5 +b110101100 G +1+ +#4066 +0+ +#4067 +b100001011 . +b100001011 B +b100001011 : +b10000101101 3 +b110101101 & +b110101101 5 +b110101101 G +1+ +#4068 +0+ +#4069 +b1000 ' +b1000 6 +b100010000000 < +b10000101110 3 +b110101110 & +b110101110 5 +b110101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4070 +0+ +#4071 +b10000101111 3 +b110101111 & +b110101111 5 +b110101111 G +1+ +#4072 +0+ +#4073 +b100001100 4 +b10000110000 3 +b110110000 & +b110110000 5 +b110110000 G +1+ +#4074 +0+ +#4075 +b100001100 . +b100001100 B +b100001100 : +b10000110001 3 +b110110001 & +b110110001 5 +b110110001 G +1+ +#4076 +0+ +#4077 +b0 $ +b0 2 +b10000000 < +b10000110010 3 +b110110010 & +b110110010 5 +b110110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4078 +0+ +#4079 +b10000110011 3 +b110110011 & +b110110011 5 +b110110011 G +1+ +#4080 +0+ +#4081 +b100001101 4 +b10000110100 3 +b110110100 & +b110110100 5 +b110110100 G +1+ +#4082 +0+ +#4083 +b100001101 . +b100001101 B +b100001101 : +b10000110101 3 +b110110101 & +b110110101 5 +b110110101 G +1+ +#4084 +0+ +#4085 +b1000 * +b1000 9 +b10001000 < +b10000110110 3 +b110110110 & +b110110110 5 +b110110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4086 +0+ +#4087 +b10000110111 3 +b110110111 & +b110110111 5 +b110110111 G +1+ +#4088 +0+ +#4089 +b100001110 4 +b10000111000 3 +b110111000 & +b110111000 5 +b110111000 G +1+ +#4090 +0+ +#4091 +b100001110 . +b100001110 B +b100001110 : +b10000111001 3 +b110111001 & +b110111001 5 +b110111001 G +1+ +#4092 +0+ +#4093 +b0 ' +b0 6 +b1000 < +b10000111010 3 +b110111010 & +b110111010 5 +b110111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4094 +0+ +#4095 +b10000111011 3 +b110111011 & +b110111011 5 +b110111011 G +1+ +#4096 +0+ +#4097 +b100001111 4 +b10000111100 3 +b110111100 & +b110111100 5 +b110111100 G +1+ +#4098 +0+ +#4099 +b100001111 . +b100001111 B +b100001111 : +b10000111101 3 +b110111101 & +b110111101 5 +b110111101 G +1+ +#4100 +0+ +#4101 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10000111110 3 +b110111110 & +b110111110 5 +b110111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4102 +0+ +#4103 +b10000111111 3 +b110111111 & +b110111111 5 +b110111111 G +1+ +#4104 +0+ +#4105 +b100010000 4 +b10001000000 3 +b111000000 & +b111000000 5 +b111000000 G +1+ +#4106 +0+ +#4107 +b100010000 . +b100010000 B +b100010000 : +b10001000001 3 +b111000001 & +b111000001 5 +b111000001 G +1+ +#4108 +0+ +#4109 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10001000010 3 +b111000010 & +b111000010 5 +b111000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4110 +0+ +#4111 +b10001000011 3 +b111000011 & +b111000011 5 +b111000011 G +1+ +#4112 +0+ +#4113 +b100010001 4 +b10001000100 3 +b111000100 & +b111000100 5 +b111000100 G +1+ +#4114 +0+ +#4115 +b100010001 . +b100010001 B +b100010001 : +b10001000101 3 +b111000101 & +b111000101 5 +b111000101 G +1+ +#4116 +0+ +#4117 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10001000110 3 +b111000110 & +b111000110 5 +b111000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4118 +0+ +#4119 +b10001000111 3 +b111000111 & +b111000111 5 +b111000111 G +1+ +#4120 +0+ +#4121 +b100010010 4 +b10001001000 3 +b111001000 & +b111001000 5 +b111001000 G +1+ +#4122 +0+ +#4123 +b100010010 . +b100010010 B +b100010010 : +b10001001001 3 +b111001001 & +b111001001 5 +b111001001 G +1+ +#4124 +0+ +#4125 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10001001010 3 +b111001010 & +b111001010 5 +b111001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4126 +0+ +#4127 +b10001001011 3 +b111001011 & +b111001011 5 +b111001011 G +1+ +#4128 +0+ +#4129 +b100010011 4 +b10001001100 3 +b111001100 & +b111001100 5 +b111001100 G +1+ +#4130 +0+ +#4131 +b100010011 . +b100010011 B +b100010011 : +b10001001101 3 +b111001101 & +b111001101 5 +b111001101 G +1+ +#4132 +0+ +#4133 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10001001110 3 +b111001110 & +b111001110 5 +b111001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4134 +0+ +#4135 +b10001001111 3 +b111001111 & +b111001111 5 +b111001111 G +1+ +#4136 +0+ +#4137 +b100010100 4 +b10001010000 3 +b111010000 & +b111010000 5 +b111010000 G +1+ +#4138 +0+ +#4139 +b100010100 . +b100010100 B +b100010100 : +b10001010001 3 +b111010001 & +b111010001 5 +b111010001 G +1+ +#4140 +0+ +#4141 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10001010010 3 +b111010010 & +b111010010 5 +b111010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4142 +0+ +#4143 +b10001010011 3 +b111010011 & +b111010011 5 +b111010011 G +1+ +#4144 +0+ +#4145 +b100010101 4 +b10001010100 3 +b111010100 & +b111010100 5 +b111010100 G +1+ +#4146 +0+ +#4147 +b100010101 . +b100010101 B +b100010101 : +b10001010101 3 +b111010101 & +b111010101 5 +b111010101 G +1+ +#4148 +0+ +#4149 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10001010110 3 +b111010110 & +b111010110 5 +b111010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4150 +0+ +#4151 +b10001010111 3 +b111010111 & +b111010111 5 +b111010111 G +1+ +#4152 +0+ +#4153 +b100010110 4 +b10001011000 3 +b111011000 & +b111011000 5 +b111011000 G +1+ +#4154 +0+ +#4155 +b100010110 . +b100010110 B +b100010110 : +b10001011001 3 +b111011001 & +b111011001 5 +b111011001 G +1+ +#4156 +0+ +#4157 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10001011010 3 +b111011010 & +b111011010 5 +b111011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4158 +0+ +#4159 +b10001011011 3 +b111011011 & +b111011011 5 +b111011011 G +1+ +#4160 +0+ +#4161 +b100010111 4 +b10001011100 3 +b111011100 & +b111011100 5 +b111011100 G +1+ +#4162 +0+ +#4163 +b100010111 . +b100010111 B +b100010111 : +b10001011101 3 +b111011101 & +b111011101 5 +b111011101 G +1+ +#4164 +0+ +#4165 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10001011110 3 +b111011110 & +b111011110 5 +b111011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4166 +0+ +#4167 +b10001011111 3 +b111011111 & +b111011111 5 +b111011111 G +1+ +#4168 +0+ +#4169 +b100011000 4 +b10001100000 3 +b111100000 & +b111100000 5 +b111100000 G +1+ +#4170 +0+ +#4171 +b100011000 . +b100011000 B +b100011000 : +b10001100001 3 +b111100001 & +b111100001 5 +b111100001 G +1+ +#4172 +0+ +#4173 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10001100010 3 +b111100010 & +b111100010 5 +b111100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4174 +0+ +#4175 +b10001100011 3 +b111100011 & +b111100011 5 +b111100011 G +1+ +#4176 +0+ +#4177 +b100011001 4 +b10001100100 3 +b111100100 & +b111100100 5 +b111100100 G +1+ +#4178 +0+ +#4179 +b100011001 . +b100011001 B +b100011001 : +b10001100101 3 +b111100101 & +b111100101 5 +b111100101 G +1+ +#4180 +0+ +#4181 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10001100110 3 +b111100110 & +b111100110 5 +b111100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4182 +0+ +#4183 +b10001100111 3 +b111100111 & +b111100111 5 +b111100111 G +1+ +#4184 +0+ +#4185 +b100011010 4 +b10001101000 3 +b111101000 & +b111101000 5 +b111101000 G +1+ +#4186 +0+ +#4187 +b100011010 . +b100011010 B +b100011010 : +b10001101001 3 +b111101001 & +b111101001 5 +b111101001 G +1+ +#4188 +0+ +#4189 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10001101010 3 +b111101010 & +b111101010 5 +b111101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4190 +0+ +#4191 +b10001101011 3 +b111101011 & +b111101011 5 +b111101011 G +1+ +#4192 +0+ +#4193 +b100011011 4 +b10001101100 3 +b111101100 & +b111101100 5 +b111101100 G +1+ +#4194 +0+ +#4195 +b100011011 . +b100011011 B +b100011011 : +b10001101101 3 +b111101101 & +b111101101 5 +b111101101 G +1+ +#4196 +0+ +#4197 +b1000 ' +b1000 6 +b100010000000 < +b10001101110 3 +b111101110 & +b111101110 5 +b111101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4198 +0+ +#4199 +b10001101111 3 +b111101111 & +b111101111 5 +b111101111 G +1+ +#4200 +0+ +#4201 +b100011100 4 +b10001110000 3 +b111110000 & +b111110000 5 +b111110000 G +1+ +#4202 +0+ +#4203 +b100011100 . +b100011100 B +b100011100 : +b10001110001 3 +b111110001 & +b111110001 5 +b111110001 G +1+ +#4204 +0+ +#4205 +b0 $ +b0 2 +b10000000 < +b10001110010 3 +b111110010 & +b111110010 5 +b111110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4206 +0+ +#4207 +b10001110011 3 +b111110011 & +b111110011 5 +b111110011 G +1+ +#4208 +0+ +#4209 +b100011101 4 +b10001110100 3 +b111110100 & +b111110100 5 +b111110100 G +1+ +#4210 +0+ +#4211 +b100011101 . +b100011101 B +b100011101 : +b10001110101 3 +b111110101 & +b111110101 5 +b111110101 G +1+ +#4212 +0+ +#4213 +b1000 * +b1000 9 +b10001000 < +b10001110110 3 +b111110110 & +b111110110 5 +b111110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4214 +0+ +#4215 +b10001110111 3 +b111110111 & +b111110111 5 +b111110111 G +1+ +#4216 +0+ +#4217 +b100011110 4 +b10001111000 3 +b111111000 & +b111111000 5 +b111111000 G +1+ +#4218 +0+ +#4219 +b100011110 . +b100011110 B +b100011110 : +b10001111001 3 +b111111001 & +b111111001 5 +b111111001 G +1+ +#4220 +0+ +#4221 +b0 ' +b0 6 +b1000 < +b10001111010 3 +b111111010 & +b111111010 5 +b111111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4222 +0+ +#4223 +b10001111011 3 +b111111011 & +b111111011 5 +b111111011 G +1+ +#4224 +0+ +#4225 +b100011111 4 +b10001111100 3 +b111111100 & +b111111100 5 +b111111100 G +1+ +#4226 +0+ +#4227 +b100011111 . +b100011111 B +b100011111 : +b10001111101 3 +b111111101 & +b111111101 5 +b111111101 G +1+ +#4228 +0+ +#4229 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10001111110 3 +b111111110 & +b111111110 5 +b111111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4230 +0+ +#4231 +b10001111111 3 +b111111111 & +b111111111 5 +b111111111 G +1+ +#4232 +0+ +#4233 +b100100000 4 +b10010000000 3 +b1000000000 & +b1000000000 5 +b1000000000 G +1+ +#4234 +0+ +#4235 +b100100000 . +b100100000 B +b100100000 : +b10010000001 3 +b1000000001 & +b1000000001 5 +b1000000001 G +1+ +#4236 +0+ +#4237 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10010000010 3 +b1000000010 & +b1000000010 5 +b1000000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4238 +0+ +#4239 +b10010000011 3 +b1000000011 & +b1000000011 5 +b1000000011 G +1+ +#4240 +0+ +#4241 +b100100001 4 +b10010000100 3 +b1000000100 & +b1000000100 5 +b1000000100 G +1+ +#4242 +0+ +#4243 +b100100001 . +b100100001 B +b100100001 : +b10010000101 3 +b1000000101 & +b1000000101 5 +b1000000101 G +1+ +#4244 +0+ +#4245 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10010000110 3 +b1000000110 & +b1000000110 5 +b1000000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4246 +0+ +#4247 +b10010000111 3 +b1000000111 & +b1000000111 5 +b1000000111 G +1+ +#4248 +0+ +#4249 +b100100010 4 +b10010001000 3 +b1000001000 & +b1000001000 5 +b1000001000 G +1+ +#4250 +0+ +#4251 +b100100010 . +b100100010 B +b100100010 : +b10010001001 3 +b1000001001 & +b1000001001 5 +b1000001001 G +1+ +#4252 +0+ +#4253 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10010001010 3 +b1000001010 & +b1000001010 5 +b1000001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4254 +0+ +#4255 +b10010001011 3 +b1000001011 & +b1000001011 5 +b1000001011 G +1+ +#4256 +0+ +#4257 +b100100011 4 +b10010001100 3 +b1000001100 & +b1000001100 5 +b1000001100 G +1+ +#4258 +0+ +#4259 +b100100011 . +b100100011 B +b100100011 : +b10010001101 3 +b1000001101 & +b1000001101 5 +b1000001101 G +1+ +#4260 +0+ +#4261 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10010001110 3 +b1000001110 & +b1000001110 5 +b1000001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4262 +0+ +#4263 +b10010001111 3 +b1000001111 & +b1000001111 5 +b1000001111 G +1+ +#4264 +0+ +#4265 +b100100100 4 +b10010010000 3 +b1000010000 & +b1000010000 5 +b1000010000 G +1+ +#4266 +0+ +#4267 +b100100100 . +b100100100 B +b100100100 : +b10010010001 3 +b1000010001 & +b1000010001 5 +b1000010001 G +1+ +#4268 +0+ +#4269 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10010010010 3 +b1000010010 & +b1000010010 5 +b1000010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4270 +0+ +#4271 +b10010010011 3 +b1000010011 & +b1000010011 5 +b1000010011 G +1+ +#4272 +0+ +#4273 +b100100101 4 +b10010010100 3 +b1000010100 & +b1000010100 5 +b1000010100 G +1+ +#4274 +0+ +#4275 +b100100101 . +b100100101 B +b100100101 : +b10010010101 3 +b1000010101 & +b1000010101 5 +b1000010101 G +1+ +#4276 +0+ +#4277 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10010010110 3 +b1000010110 & +b1000010110 5 +b1000010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4278 +0+ +#4279 +b10010010111 3 +b1000010111 & +b1000010111 5 +b1000010111 G +1+ +#4280 +0+ +#4281 +b100100110 4 +b10010011000 3 +b1000011000 & +b1000011000 5 +b1000011000 G +1+ +#4282 +0+ +#4283 +b100100110 . +b100100110 B +b100100110 : +b10010011001 3 +b1000011001 & +b1000011001 5 +b1000011001 G +1+ +#4284 +0+ +#4285 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10010011010 3 +b1000011010 & +b1000011010 5 +b1000011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4286 +0+ +#4287 +b10010011011 3 +b1000011011 & +b1000011011 5 +b1000011011 G +1+ +#4288 +0+ +#4289 +b100100111 4 +b10010011100 3 +b1000011100 & +b1000011100 5 +b1000011100 G +1+ +#4290 +0+ +#4291 +b100100111 . +b100100111 B +b100100111 : +b10010011101 3 +b1000011101 & +b1000011101 5 +b1000011101 G +1+ +#4292 +0+ +#4293 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10010011110 3 +b1000011110 & +b1000011110 5 +b1000011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4294 +0+ +#4295 +b10010011111 3 +b1000011111 & +b1000011111 5 +b1000011111 G +1+ +#4296 +0+ +#4297 +b100101000 4 +b10010100000 3 +b1000100000 & +b1000100000 5 +b1000100000 G +1+ +#4298 +0+ +#4299 +b100101000 . +b100101000 B +b100101000 : +b10010100001 3 +b1000100001 & +b1000100001 5 +b1000100001 G +1+ +#4300 +0+ +#4301 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10010100010 3 +b1000100010 & +b1000100010 5 +b1000100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4302 +0+ +#4303 +b10010100011 3 +b1000100011 & +b1000100011 5 +b1000100011 G +1+ +#4304 +0+ +#4305 +b100101001 4 +b10010100100 3 +b1000100100 & +b1000100100 5 +b1000100100 G +1+ +#4306 +0+ +#4307 +b100101001 . +b100101001 B +b100101001 : +b10010100101 3 +b1000100101 & +b1000100101 5 +b1000100101 G +1+ +#4308 +0+ +#4309 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10010100110 3 +b1000100110 & +b1000100110 5 +b1000100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4310 +0+ +#4311 +b10010100111 3 +b1000100111 & +b1000100111 5 +b1000100111 G +1+ +#4312 +0+ +#4313 +b100101010 4 +b10010101000 3 +b1000101000 & +b1000101000 5 +b1000101000 G +1+ +#4314 +0+ +#4315 +b100101010 . +b100101010 B +b100101010 : +b10010101001 3 +b1000101001 & +b1000101001 5 +b1000101001 G +1+ +#4316 +0+ +#4317 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10010101010 3 +b1000101010 & +b1000101010 5 +b1000101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4318 +0+ +#4319 +b10010101011 3 +b1000101011 & +b1000101011 5 +b1000101011 G +1+ +#4320 +0+ +#4321 +b100101011 4 +b10010101100 3 +b1000101100 & +b1000101100 5 +b1000101100 G +1+ +#4322 +0+ +#4323 +b100101011 . +b100101011 B +b100101011 : +b10010101101 3 +b1000101101 & +b1000101101 5 +b1000101101 G +1+ +#4324 +0+ +#4325 +b1000 ' +b1000 6 +b100010000000 < +b10010101110 3 +b1000101110 & +b1000101110 5 +b1000101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4326 +0+ +#4327 +b10010101111 3 +b1000101111 & +b1000101111 5 +b1000101111 G +1+ +#4328 +0+ +#4329 +b100101100 4 +b10010110000 3 +b1000110000 & +b1000110000 5 +b1000110000 G +1+ +#4330 +0+ +#4331 +b100101100 . +b100101100 B +b100101100 : +b10010110001 3 +b1000110001 & +b1000110001 5 +b1000110001 G +1+ +#4332 +0+ +#4333 +b0 $ +b0 2 +b10000000 < +b10010110010 3 +b1000110010 & +b1000110010 5 +b1000110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4334 +0+ +#4335 +b10010110011 3 +b1000110011 & +b1000110011 5 +b1000110011 G +1+ +#4336 +0+ +#4337 +b100101101 4 +b10010110100 3 +b1000110100 & +b1000110100 5 +b1000110100 G +1+ +#4338 +0+ +#4339 +b100101101 . +b100101101 B +b100101101 : +b10010110101 3 +b1000110101 & +b1000110101 5 +b1000110101 G +1+ +#4340 +0+ +#4341 +b1000 * +b1000 9 +b10001000 < +b10010110110 3 +b1000110110 & +b1000110110 5 +b1000110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4342 +0+ +#4343 +b10010110111 3 +b1000110111 & +b1000110111 5 +b1000110111 G +1+ +#4344 +0+ +#4345 +b100101110 4 +b10010111000 3 +b1000111000 & +b1000111000 5 +b1000111000 G +1+ +#4346 +0+ +#4347 +b100101110 . +b100101110 B +b100101110 : +b10010111001 3 +b1000111001 & +b1000111001 5 +b1000111001 G +1+ +#4348 +0+ +#4349 +b0 ' +b0 6 +b1000 < +b10010111010 3 +b1000111010 & +b1000111010 5 +b1000111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4350 +0+ +#4351 +b10010111011 3 +b1000111011 & +b1000111011 5 +b1000111011 G +1+ +#4352 +0+ +#4353 +b100101111 4 +b10010111100 3 +b1000111100 & +b1000111100 5 +b1000111100 G +1+ +#4354 +0+ +#4355 +b100101111 . +b100101111 B +b100101111 : +b10010111101 3 +b1000111101 & +b1000111101 5 +b1000111101 G +1+ +#4356 +0+ +#4357 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10010111110 3 +b1000111110 & +b1000111110 5 +b1000111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4358 +0+ +#4359 +b10010111111 3 +b1000111111 & +b1000111111 5 +b1000111111 G +1+ +#4360 +0+ +#4361 +b100110000 4 +b10011000000 3 +b1001000000 & +b1001000000 5 +b1001000000 G +1+ +#4362 +0+ +#4363 +b100110000 . +b100110000 B +b100110000 : +b10011000001 3 +b1001000001 & +b1001000001 5 +b1001000001 G +1+ +#4364 +0+ +#4365 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10011000010 3 +b1001000010 & +b1001000010 5 +b1001000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4366 +0+ +#4367 +b10011000011 3 +b1001000011 & +b1001000011 5 +b1001000011 G +1+ +#4368 +0+ +#4369 +b100110001 4 +b10011000100 3 +b1001000100 & +b1001000100 5 +b1001000100 G +1+ +#4370 +0+ +#4371 +b100110001 . +b100110001 B +b100110001 : +b10011000101 3 +b1001000101 & +b1001000101 5 +b1001000101 G +1+ +#4372 +0+ +#4373 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10011000110 3 +b1001000110 & +b1001000110 5 +b1001000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4374 +0+ +#4375 +b10011000111 3 +b1001000111 & +b1001000111 5 +b1001000111 G +1+ +#4376 +0+ +#4377 +b100110010 4 +b10011001000 3 +b1001001000 & +b1001001000 5 +b1001001000 G +1+ +#4378 +0+ +#4379 +b100110010 . +b100110010 B +b100110010 : +b10011001001 3 +b1001001001 & +b1001001001 5 +b1001001001 G +1+ +#4380 +0+ +#4381 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10011001010 3 +b1001001010 & +b1001001010 5 +b1001001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4382 +0+ +#4383 +b10011001011 3 +b1001001011 & +b1001001011 5 +b1001001011 G +1+ +#4384 +0+ +#4385 +b100110011 4 +b10011001100 3 +b1001001100 & +b1001001100 5 +b1001001100 G +1+ +#4386 +0+ +#4387 +b100110011 . +b100110011 B +b100110011 : +b10011001101 3 +b1001001101 & +b1001001101 5 +b1001001101 G +1+ +#4388 +0+ +#4389 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10011001110 3 +b1001001110 & +b1001001110 5 +b1001001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4390 +0+ +#4391 +b10011001111 3 +b1001001111 & +b1001001111 5 +b1001001111 G +1+ +#4392 +0+ +#4393 +b100110100 4 +b10011010000 3 +b1001010000 & +b1001010000 5 +b1001010000 G +1+ +#4394 +0+ +#4395 +b100110100 . +b100110100 B +b100110100 : +b10011010001 3 +b1001010001 & +b1001010001 5 +b1001010001 G +1+ +#4396 +0+ +#4397 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10011010010 3 +b1001010010 & +b1001010010 5 +b1001010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4398 +0+ +#4399 +b10011010011 3 +b1001010011 & +b1001010011 5 +b1001010011 G +1+ +#4400 +0+ +#4401 +b100110101 4 +b10011010100 3 +b1001010100 & +b1001010100 5 +b1001010100 G +1+ +#4402 +0+ +#4403 +b100110101 . +b100110101 B +b100110101 : +b10011010101 3 +b1001010101 & +b1001010101 5 +b1001010101 G +1+ +#4404 +0+ +#4405 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10011010110 3 +b1001010110 & +b1001010110 5 +b1001010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4406 +0+ +#4407 +b10011010111 3 +b1001010111 & +b1001010111 5 +b1001010111 G +1+ +#4408 +0+ +#4409 +b100110110 4 +b10011011000 3 +b1001011000 & +b1001011000 5 +b1001011000 G +1+ +#4410 +0+ +#4411 +b100110110 . +b100110110 B +b100110110 : +b10011011001 3 +b1001011001 & +b1001011001 5 +b1001011001 G +1+ +#4412 +0+ +#4413 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10011011010 3 +b1001011010 & +b1001011010 5 +b1001011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4414 +0+ +#4415 +b10011011011 3 +b1001011011 & +b1001011011 5 +b1001011011 G +1+ +#4416 +0+ +#4417 +b100110111 4 +b10011011100 3 +b1001011100 & +b1001011100 5 +b1001011100 G +1+ +#4418 +0+ +#4419 +b100110111 . +b100110111 B +b100110111 : +b10011011101 3 +b1001011101 & +b1001011101 5 +b1001011101 G +1+ +#4420 +0+ +#4421 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10011011110 3 +b1001011110 & +b1001011110 5 +b1001011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4422 +0+ +#4423 +b10011011111 3 +b1001011111 & +b1001011111 5 +b1001011111 G +1+ +#4424 +0+ +#4425 +b100111000 4 +b10011100000 3 +b1001100000 & +b1001100000 5 +b1001100000 G +1+ +#4426 +0+ +#4427 +b100111000 . +b100111000 B +b100111000 : +b10011100001 3 +b1001100001 & +b1001100001 5 +b1001100001 G +1+ +#4428 +0+ +#4429 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10011100010 3 +b1001100010 & +b1001100010 5 +b1001100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4430 +0+ +#4431 +b10011100011 3 +b1001100011 & +b1001100011 5 +b1001100011 G +1+ +#4432 +0+ +#4433 +b100111001 4 +b10011100100 3 +b1001100100 & +b1001100100 5 +b1001100100 G +1+ +#4434 +0+ +#4435 +b100111001 . +b100111001 B +b100111001 : +b10011100101 3 +b1001100101 & +b1001100101 5 +b1001100101 G +1+ +#4436 +0+ +#4437 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10011100110 3 +b1001100110 & +b1001100110 5 +b1001100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4438 +0+ +#4439 +b10011100111 3 +b1001100111 & +b1001100111 5 +b1001100111 G +1+ +#4440 +0+ +#4441 +b100111010 4 +b10011101000 3 +b1001101000 & +b1001101000 5 +b1001101000 G +1+ +#4442 +0+ +#4443 +b100111010 . +b100111010 B +b100111010 : +b10011101001 3 +b1001101001 & +b1001101001 5 +b1001101001 G +1+ +#4444 +0+ +#4445 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10011101010 3 +b1001101010 & +b1001101010 5 +b1001101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4446 +0+ +#4447 +b10011101011 3 +b1001101011 & +b1001101011 5 +b1001101011 G +1+ +#4448 +0+ +#4449 +b100111011 4 +b10011101100 3 +b1001101100 & +b1001101100 5 +b1001101100 G +1+ +#4450 +0+ +#4451 +b100111011 . +b100111011 B +b100111011 : +b10011101101 3 +b1001101101 & +b1001101101 5 +b1001101101 G +1+ +#4452 +0+ +#4453 +b1000 ' +b1000 6 +b100010000000 < +b10011101110 3 +b1001101110 & +b1001101110 5 +b1001101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4454 +0+ +#4455 +b10011101111 3 +b1001101111 & +b1001101111 5 +b1001101111 G +1+ +#4456 +0+ +#4457 +b100111100 4 +b10011110000 3 +b1001110000 & +b1001110000 5 +b1001110000 G +1+ +#4458 +0+ +#4459 +b100111100 . +b100111100 B +b100111100 : +b10011110001 3 +b1001110001 & +b1001110001 5 +b1001110001 G +1+ +#4460 +0+ +#4461 +b0 $ +b0 2 +b10000000 < +b10011110010 3 +b1001110010 & +b1001110010 5 +b1001110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4462 +0+ +#4463 +b10011110011 3 +b1001110011 & +b1001110011 5 +b1001110011 G +1+ +#4464 +0+ +#4465 +b100111101 4 +b10011110100 3 +b1001110100 & +b1001110100 5 +b1001110100 G +1+ +#4466 +0+ +#4467 +b100111101 . +b100111101 B +b100111101 : +b10011110101 3 +b1001110101 & +b1001110101 5 +b1001110101 G +1+ +#4468 +0+ +#4469 +b1000 * +b1000 9 +b10001000 < +b10011110110 3 +b1001110110 & +b1001110110 5 +b1001110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4470 +0+ +#4471 +b10011110111 3 +b1001110111 & +b1001110111 5 +b1001110111 G +1+ +#4472 +0+ +#4473 +b100111110 4 +b10011111000 3 +b1001111000 & +b1001111000 5 +b1001111000 G +1+ +#4474 +0+ +#4475 +b100111110 . +b100111110 B +b100111110 : +b10011111001 3 +b1001111001 & +b1001111001 5 +b1001111001 G +1+ +#4476 +0+ +#4477 +b0 ' +b0 6 +b1000 < +b10011111010 3 +b1001111010 & +b1001111010 5 +b1001111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4478 +0+ +#4479 +b10011111011 3 +b1001111011 & +b1001111011 5 +b1001111011 G +1+ +#4480 +0+ +#4481 +b100111111 4 +b10011111100 3 +b1001111100 & +b1001111100 5 +b1001111100 G +1+ +#4482 +0+ +#4483 +b100111111 . +b100111111 B +b100111111 : +b10011111101 3 +b1001111101 & +b1001111101 5 +b1001111101 G +1+ +#4484 +0+ +#4485 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10011111110 3 +b1001111110 & +b1001111110 5 +b1001111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4486 +0+ +#4487 +b10011111111 3 +b1001111111 & +b1001111111 5 +b1001111111 G +1+ +#4488 +0+ +#4489 +0( +b101000000 4 +b10100000000 3 +b1010000000 & +b1010000000 5 +b1010000000 G +1+ +#4490 +0+ +#4491 +b101000000 . +b101000000 B +b101000000 : +b10100000001 3 +b1010000001 & +b1010000001 5 +b1010000001 G +1+ +#4492 +0+ +#4493 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10100000010 3 +b1010000010 & +b1010000010 5 +b1010000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4494 +0+ +#4495 +b10100000011 3 +b1010000011 & +b1010000011 5 +b1010000011 G +1+ +#4496 +0+ +#4497 +b101000001 4 +b10100000100 3 +b1010000100 & +b1010000100 5 +b1010000100 G +1+ +#4498 +0+ +#4499 +b101000001 . +b101000001 B +b101000001 : +b10100000101 3 +b1010000101 & +b1010000101 5 +b1010000101 G +1+ +#4500 +0+ +#4501 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10100000110 3 +b1010000110 & +b1010000110 5 +b1010000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4502 +0+ +#4503 +b10100000111 3 +b1010000111 & +b1010000111 5 +b1010000111 G +1+ +#4504 +0+ +#4505 +b101000010 4 +b10100001000 3 +b1010001000 & +b1010001000 5 +b1010001000 G +1+ +#4506 +0+ +#4507 +b101000010 . +b101000010 B +b101000010 : +b10100001001 3 +b1010001001 & +b1010001001 5 +b1010001001 G +1+ +#4508 +0+ +#4509 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10100001010 3 +b1010001010 & +b1010001010 5 +b1010001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4510 +0+ +#4511 +b10100001011 3 +b1010001011 & +b1010001011 5 +b1010001011 G +1+ +#4512 +0+ +#4513 +b101000011 4 +b10100001100 3 +b1010001100 & +b1010001100 5 +b1010001100 G +1+ +#4514 +0+ +#4515 +b101000011 . +b101000011 B +b101000011 : +b10100001101 3 +b1010001101 & +b1010001101 5 +b1010001101 G +1+ +#4516 +0+ +#4517 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10100001110 3 +b1010001110 & +b1010001110 5 +b1010001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4518 +0+ +#4519 +b10100001111 3 +b1010001111 & +b1010001111 5 +b1010001111 G +1+ +#4520 +0+ +#4521 +0% +b101000100 4 +b10100010000 3 +b1010010000 & +b1010010000 5 +b1010010000 G +1+ +#4522 +0+ +#4523 +b101000100 . +b101000100 B +b101000100 : +b10100010001 3 +b1010010001 & +b1010010001 5 +b1010010001 G +1+ +#4524 +0+ +#4525 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10100010010 3 +b1010010010 & +b1010010010 5 +b1010010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4526 +0+ +#4527 +b10100010011 3 +b1010010011 & +b1010010011 5 +b1010010011 G +1+ +#4528 +0+ +#4529 +b101000101 4 +b10100010100 3 +b1010010100 & +b1010010100 5 +b1010010100 G +1+ +#4530 +0+ +#4531 +b101000101 . +b101000101 B +b101000101 : +b10100010101 3 +b1010010101 & +b1010010101 5 +b1010010101 G +1+ +#4532 +0+ +#4533 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10100010110 3 +b1010010110 & +b1010010110 5 +b1010010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4534 +0+ +#4535 +b10100010111 3 +b1010010111 & +b1010010111 5 +b1010010111 G +1+ +#4536 +0+ +#4537 +b101000110 4 +b10100011000 3 +b1010011000 & +b1010011000 5 +b1010011000 G +1+ +#4538 +0+ +#4539 +b101000110 . +b101000110 B +b101000110 : +b10100011001 3 +b1010011001 & +b1010011001 5 +b1010011001 G +1+ +#4540 +0+ +#4541 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10100011010 3 +b1010011010 & +b1010011010 5 +b1010011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4542 +0+ +#4543 +b10100011011 3 +b1010011011 & +b1010011011 5 +b1010011011 G +1+ +#4544 +0+ +#4545 +b101000111 4 +b10100011100 3 +b1010011100 & +b1010011100 5 +b1010011100 G +1+ +#4546 +0+ +#4547 +b101000111 . +b101000111 B +b101000111 : +b10100011101 3 +b1010011101 & +b1010011101 5 +b1010011101 G +1+ +#4548 +0+ +#4549 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10100011110 3 +b1010011110 & +b1010011110 5 +b1010011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4550 +0+ +#4551 +b10100011111 3 +b1010011111 & +b1010011111 5 +b1010011111 G +1+ +#4552 +0+ +#4553 +b101001000 4 +b10100100000 3 +b1010100000 & +b1010100000 5 +b1010100000 G +1+ +#4554 +0+ +#4555 +b101001000 . +b101001000 B +b101001000 : +b10100100001 3 +b1010100001 & +b1010100001 5 +b1010100001 G +1+ +#4556 +0+ +#4557 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10100100010 3 +b1010100010 & +b1010100010 5 +b1010100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4558 +0+ +#4559 +b10100100011 3 +b1010100011 & +b1010100011 5 +b1010100011 G +1+ +#4560 +0+ +#4561 +b101001001 4 +b10100100100 3 +b1010100100 & +b1010100100 5 +b1010100100 G +1+ +#4562 +0+ +#4563 +b101001001 . +b101001001 B +b101001001 : +b10100100101 3 +b1010100101 & +b1010100101 5 +b1010100101 G +1+ +#4564 +0+ +#4565 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10100100110 3 +b1010100110 & +b1010100110 5 +b1010100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4566 +0+ +#4567 +b10100100111 3 +b1010100111 & +b1010100111 5 +b1010100111 G +1+ +#4568 +0+ +#4569 +b101001010 4 +b10100101000 3 +b1010101000 & +b1010101000 5 +b1010101000 G +1+ +#4570 +0+ +#4571 +b101001010 . +b101001010 B +b101001010 : +b10100101001 3 +b1010101001 & +b1010101001 5 +b1010101001 G +1+ +#4572 +0+ +#4573 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10100101010 3 +b1010101010 & +b1010101010 5 +b1010101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4574 +0+ +#4575 +b10100101011 3 +b1010101011 & +b1010101011 5 +b1010101011 G +1+ +#4576 +0+ +#4577 +b101001011 4 +b10100101100 3 +b1010101100 & +b1010101100 5 +b1010101100 G +1+ +#4578 +0+ +#4579 +b101001011 . +b101001011 B +b101001011 : +b10100101101 3 +b1010101101 & +b1010101101 5 +b1010101101 G +1+ +#4580 +0+ +#4581 +b1000 ' +b1000 6 +b100010000000 < +b10100101110 3 +b1010101110 & +b1010101110 5 +b1010101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4582 +0+ +#4583 +b10100101111 3 +b1010101111 & +b1010101111 5 +b1010101111 G +1+ +#4584 +0+ +#4585 +b101001100 4 +b10100110000 3 +b1010110000 & +b1010110000 5 +b1010110000 G +1+ +#4586 +0+ +#4587 +b101001100 . +b101001100 B +b101001100 : +b10100110001 3 +b1010110001 & +b1010110001 5 +b1010110001 G +1+ +#4588 +0+ +#4589 +b0 $ +b0 2 +b10000000 < +b10100110010 3 +b1010110010 & +b1010110010 5 +b1010110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4590 +0+ +#4591 +b10100110011 3 +b1010110011 & +b1010110011 5 +b1010110011 G +1+ +#4592 +0+ +#4593 +b101001101 4 +b10100110100 3 +b1010110100 & +b1010110100 5 +b1010110100 G +1+ +#4594 +0+ +#4595 +b101001101 . +b101001101 B +b101001101 : +b10100110101 3 +b1010110101 & +b1010110101 5 +b1010110101 G +1+ +#4596 +0+ +#4597 +b1000 * +b1000 9 +b10001000 < +b10100110110 3 +b1010110110 & +b1010110110 5 +b1010110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4598 +0+ +#4599 +b10100110111 3 +b1010110111 & +b1010110111 5 +b1010110111 G +1+ +#4600 +0+ +#4601 +b101001110 4 +b10100111000 3 +b1010111000 & +b1010111000 5 +b1010111000 G +1+ +#4602 +0+ +#4603 +b101001110 . +b101001110 B +b101001110 : +b10100111001 3 +b1010111001 & +b1010111001 5 +b1010111001 G +1+ +#4604 +0+ +#4605 +b0 ' +b0 6 +b1000 < +b10100111010 3 +b1010111010 & +b1010111010 5 +b1010111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4606 +0+ +#4607 +b10100111011 3 +b1010111011 & +b1010111011 5 +b1010111011 G +1+ +#4608 +0+ +#4609 +b101001111 4 +b10100111100 3 +b1010111100 & +b1010111100 5 +b1010111100 G +1+ +#4610 +0+ +#4611 +b101001111 . +b101001111 B +b101001111 : +b10100111101 3 +b1010111101 & +b1010111101 5 +b1010111101 G +1+ +#4612 +0+ +#4613 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10100111110 3 +b1010111110 & +b1010111110 5 +b1010111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4614 +0+ +#4615 +b10100111111 3 +b1010111111 & +b1010111111 5 +b1010111111 G +1+ +#4616 +0+ +#4617 +b101010000 4 +b10101000000 3 +b1011000000 & +b1011000000 5 +b1011000000 G +1+ +#4618 +0+ +#4619 +b101010000 . +b101010000 B +b101010000 : +b10101000001 3 +b1011000001 & +b1011000001 5 +b1011000001 G +1+ +#4620 +0+ +#4621 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10101000010 3 +b1011000010 & +b1011000010 5 +b1011000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4622 +0+ +#4623 +b10101000011 3 +b1011000011 & +b1011000011 5 +b1011000011 G +1+ +#4624 +0+ +#4625 +b101010001 4 +b10101000100 3 +b1011000100 & +b1011000100 5 +b1011000100 G +1+ +#4626 +0+ +#4627 +b101010001 . +b101010001 B +b101010001 : +b10101000101 3 +b1011000101 & +b1011000101 5 +b1011000101 G +1+ +#4628 +0+ +#4629 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10101000110 3 +b1011000110 & +b1011000110 5 +b1011000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4630 +0+ +#4631 +b10101000111 3 +b1011000111 & +b1011000111 5 +b1011000111 G +1+ +#4632 +0+ +#4633 +b101010010 4 +b10101001000 3 +b1011001000 & +b1011001000 5 +b1011001000 G +1+ +#4634 +0+ +#4635 +b101010010 . +b101010010 B +b101010010 : +b10101001001 3 +b1011001001 & +b1011001001 5 +b1011001001 G +1+ +#4636 +0+ +#4637 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10101001010 3 +b1011001010 & +b1011001010 5 +b1011001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4638 +0+ +#4639 +b10101001011 3 +b1011001011 & +b1011001011 5 +b1011001011 G +1+ +#4640 +0+ +#4641 +b101010011 4 +b10101001100 3 +b1011001100 & +b1011001100 5 +b1011001100 G +1+ +#4642 +0+ +#4643 +b101010011 . +b101010011 B +b101010011 : +b10101001101 3 +b1011001101 & +b1011001101 5 +b1011001101 G +1+ +#4644 +0+ +#4645 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10101001110 3 +b1011001110 & +b1011001110 5 +b1011001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4646 +0+ +#4647 +b10101001111 3 +b1011001111 & +b1011001111 5 +b1011001111 G +1+ +#4648 +0+ +#4649 +b101010100 4 +b10101010000 3 +b1011010000 & +b1011010000 5 +b1011010000 G +1+ +#4650 +0+ +#4651 +b101010100 . +b101010100 B +b101010100 : +b10101010001 3 +b1011010001 & +b1011010001 5 +b1011010001 G +1+ +#4652 +0+ +#4653 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10101010010 3 +b1011010010 & +b1011010010 5 +b1011010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4654 +0+ +#4655 +b10101010011 3 +b1011010011 & +b1011010011 5 +b1011010011 G +1+ +#4656 +0+ +#4657 +b101010101 4 +b10101010100 3 +b1011010100 & +b1011010100 5 +b1011010100 G +1+ +#4658 +0+ +#4659 +b101010101 . +b101010101 B +b101010101 : +b10101010101 3 +b1011010101 & +b1011010101 5 +b1011010101 G +1+ +#4660 +0+ +#4661 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10101010110 3 +b1011010110 & +b1011010110 5 +b1011010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4662 +0+ +#4663 +b10101010111 3 +b1011010111 & +b1011010111 5 +b1011010111 G +1+ +#4664 +0+ +#4665 +b101010110 4 +b10101011000 3 +b1011011000 & +b1011011000 5 +b1011011000 G +1+ +#4666 +0+ +#4667 +b101010110 . +b101010110 B +b101010110 : +b10101011001 3 +b1011011001 & +b1011011001 5 +b1011011001 G +1+ +#4668 +0+ +#4669 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10101011010 3 +b1011011010 & +b1011011010 5 +b1011011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4670 +0+ +#4671 +b10101011011 3 +b1011011011 & +b1011011011 5 +b1011011011 G +1+ +#4672 +0+ +#4673 +b101010111 4 +b10101011100 3 +b1011011100 & +b1011011100 5 +b1011011100 G +1+ +#4674 +0+ +#4675 +b101010111 . +b101010111 B +b101010111 : +b10101011101 3 +b1011011101 & +b1011011101 5 +b1011011101 G +1+ +#4676 +0+ +#4677 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10101011110 3 +b1011011110 & +b1011011110 5 +b1011011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4678 +0+ +#4679 +b10101011111 3 +b1011011111 & +b1011011111 5 +b1011011111 G +1+ +#4680 +0+ +#4681 +b101011000 4 +b10101100000 3 +b1011100000 & +b1011100000 5 +b1011100000 G +1+ +#4682 +0+ +#4683 +b101011000 . +b101011000 B +b101011000 : +b10101100001 3 +b1011100001 & +b1011100001 5 +b1011100001 G +1+ +#4684 +0+ +#4685 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10101100010 3 +b1011100010 & +b1011100010 5 +b1011100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4686 +0+ +#4687 +b10101100011 3 +b1011100011 & +b1011100011 5 +b1011100011 G +1+ +#4688 +0+ +#4689 +b101011001 4 +b10101100100 3 +b1011100100 & +b1011100100 5 +b1011100100 G +1+ +#4690 +0+ +#4691 +b101011001 . +b101011001 B +b101011001 : +b10101100101 3 +b1011100101 & +b1011100101 5 +b1011100101 G +1+ +#4692 +0+ +#4693 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10101100110 3 +b1011100110 & +b1011100110 5 +b1011100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4694 +0+ +#4695 +b10101100111 3 +b1011100111 & +b1011100111 5 +b1011100111 G +1+ +#4696 +0+ +#4697 +b101011010 4 +b10101101000 3 +b1011101000 & +b1011101000 5 +b1011101000 G +1+ +#4698 +0+ +#4699 +b101011010 . +b101011010 B +b101011010 : +b10101101001 3 +b1011101001 & +b1011101001 5 +b1011101001 G +1+ +#4700 +0+ +#4701 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10101101010 3 +b1011101010 & +b1011101010 5 +b1011101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4702 +0+ +#4703 +b10101101011 3 +b1011101011 & +b1011101011 5 +b1011101011 G +1+ +#4704 +0+ +#4705 +b101011011 4 +b10101101100 3 +b1011101100 & +b1011101100 5 +b1011101100 G +1+ +#4706 +0+ +#4707 +b101011011 . +b101011011 B +b101011011 : +b10101101101 3 +b1011101101 & +b1011101101 5 +b1011101101 G +1+ +#4708 +0+ +#4709 +b1000 ' +b1000 6 +b100010000000 < +b10101101110 3 +b1011101110 & +b1011101110 5 +b1011101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4710 +0+ +#4711 +b10101101111 3 +b1011101111 & +b1011101111 5 +b1011101111 G +1+ +#4712 +0+ +#4713 +b101011100 4 +b10101110000 3 +b1011110000 & +b1011110000 5 +b1011110000 G +1+ +#4714 +0+ +#4715 +1% +b101011100 . +b101011100 B +b101011100 : +b10101110001 3 +b1011110001 & +b1011110001 5 +b1011110001 G +1+ +#4716 +0+ +#4717 +b0 $ +b0 2 +b10000000 < +b10101110010 3 +b1011110010 & +b1011110010 5 +b1011110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4718 +0+ +#4719 +b10101110011 3 +b1011110011 & +b1011110011 5 +b1011110011 G +1+ +#4720 +0+ +#4721 +b101011101 4 +b10101110100 3 +b1011110100 & +b1011110100 5 +b1011110100 G +1+ +#4722 +0+ +#4723 +b101011101 . +b101011101 B +b101011101 : +b10101110101 3 +b1011110101 & +b1011110101 5 +b1011110101 G +1+ +#4724 +0+ +#4725 +b1000 * +b1000 9 +b10001000 < +b10101110110 3 +b1011110110 & +b1011110110 5 +b1011110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4726 +0+ +#4727 +b10101110111 3 +b1011110111 & +b1011110111 5 +b1011110111 G +1+ +#4728 +0+ +#4729 +b101011110 4 +b10101111000 3 +b1011111000 & +b1011111000 5 +b1011111000 G +1+ +#4730 +0+ +#4731 +b101011110 . +b101011110 B +b101011110 : +b10101111001 3 +b1011111001 & +b1011111001 5 +b1011111001 G +1+ +#4732 +0+ +#4733 +b0 ' +b0 6 +b1000 < +b10101111010 3 +b1011111010 & +b1011111010 5 +b1011111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4734 +0+ +#4735 +b10101111011 3 +b1011111011 & +b1011111011 5 +b1011111011 G +1+ +#4736 +0+ +#4737 +b101011111 4 +b10101111100 3 +b1011111100 & +b1011111100 5 +b1011111100 G +1+ +#4738 +0+ +#4739 +b101011111 . +b101011111 B +b101011111 : +b10101111101 3 +b1011111101 & +b1011111101 5 +b1011111101 G +1+ +#4740 +0+ +#4741 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10101111110 3 +b1011111110 & +b1011111110 5 +b1011111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4742 +0+ +#4743 +b10101111111 3 +b1011111111 & +b1011111111 5 +b1011111111 G +1+ +#4744 +0+ +#4745 +b101100000 4 +b10110000000 3 +b1100000000 & +b1100000000 5 +b1100000000 G +1+ +#4746 +0+ +#4747 +b101100000 . +b101100000 B +b101100000 : +b10110000001 3 +b1100000001 & +b1100000001 5 +b1100000001 G +1+ +#4748 +0+ +#4749 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10110000010 3 +b1100000010 & +b1100000010 5 +b1100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4750 +0+ +#4751 +b10110000011 3 +b1100000011 & +b1100000011 5 +b1100000011 G +1+ +#4752 +0+ +#4753 +b101100001 4 +b10110000100 3 +b1100000100 & +b1100000100 5 +b1100000100 G +1+ +#4754 +0+ +#4755 +b101100001 . +b101100001 B +b101100001 : +b10110000101 3 +b1100000101 & +b1100000101 5 +b1100000101 G +1+ +#4756 +0+ +#4757 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10110000110 3 +b1100000110 & +b1100000110 5 +b1100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4758 +0+ +#4759 +b10110000111 3 +b1100000111 & +b1100000111 5 +b1100000111 G +1+ +#4760 +0+ +#4761 +b101100010 4 +b10110001000 3 +b1100001000 & +b1100001000 5 +b1100001000 G +1+ +#4762 +0+ +#4763 +b101100010 . +b101100010 B +b101100010 : +b10110001001 3 +b1100001001 & +b1100001001 5 +b1100001001 G +1+ +#4764 +0+ +#4765 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10110001010 3 +b1100001010 & +b1100001010 5 +b1100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4766 +0+ +#4767 +b10110001011 3 +b1100001011 & +b1100001011 5 +b1100001011 G +1+ +#4768 +0+ +#4769 +b101100011 4 +b10110001100 3 +b1100001100 & +b1100001100 5 +b1100001100 G +1+ +#4770 +0+ +#4771 +b101100011 . +b101100011 B +b101100011 : +b10110001101 3 +b1100001101 & +b1100001101 5 +b1100001101 G +1+ +#4772 +0+ +#4773 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10110001110 3 +b1100001110 & +b1100001110 5 +b1100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4774 +0+ +#4775 +b10110001111 3 +b1100001111 & +b1100001111 5 +b1100001111 G +1+ +#4776 +0+ +#4777 +b101100100 4 +b10110010000 3 +b1100010000 & +b1100010000 5 +b1100010000 G +1+ +#4778 +0+ +#4779 +b101100100 . +b101100100 B +b101100100 : +b10110010001 3 +b1100010001 & +b1100010001 5 +b1100010001 G +1+ +#4780 +0+ +#4781 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10110010010 3 +b1100010010 & +b1100010010 5 +b1100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4782 +0+ +#4783 +b10110010011 3 +b1100010011 & +b1100010011 5 +b1100010011 G +1+ +#4784 +0+ +#4785 +b101100101 4 +b10110010100 3 +b1100010100 & +b1100010100 5 +b1100010100 G +1+ +#4786 +0+ +#4787 +b101100101 . +b101100101 B +b101100101 : +b10110010101 3 +b1100010101 & +b1100010101 5 +b1100010101 G +1+ +#4788 +0+ +#4789 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10110010110 3 +b1100010110 & +b1100010110 5 +b1100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4790 +0+ +#4791 +b10110010111 3 +b1100010111 & +b1100010111 5 +b1100010111 G +1+ +#4792 +0+ +#4793 +b101100110 4 +b10110011000 3 +b1100011000 & +b1100011000 5 +b1100011000 G +1+ +#4794 +0+ +#4795 +b101100110 . +b101100110 B +b101100110 : +b10110011001 3 +b1100011001 & +b1100011001 5 +b1100011001 G +1+ +#4796 +0+ +#4797 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10110011010 3 +b1100011010 & +b1100011010 5 +b1100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4798 +0+ +#4799 +b10110011011 3 +b1100011011 & +b1100011011 5 +b1100011011 G +1+ +#4800 +0+ +#4801 +b101100111 4 +b10110011100 3 +b1100011100 & +b1100011100 5 +b1100011100 G +1+ +#4802 +0+ +#4803 +b101100111 . +b101100111 B +b101100111 : +b10110011101 3 +b1100011101 & +b1100011101 5 +b1100011101 G +1+ +#4804 +0+ +#4805 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10110011110 3 +b1100011110 & +b1100011110 5 +b1100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4806 +0+ +#4807 +b10110011111 3 +b1100011111 & +b1100011111 5 +b1100011111 G +1+ +#4808 +0+ +#4809 +1( +b11110000 4 +b11 # +b11 1 +b11 H +b1111000000 3 +b0 & +b0 5 +b0 G +1+ +#4810 +0+ +#4811 +b11110000 . +b11110000 B +b11110000 : +b1111000001 3 +b1 & +b1 5 +b1 G +1+ +#4812 +0+ +#4813 +b0 ' +b0 6 +b111100000000 < +b1111000010 3 +b10 & +b10 5 +b10 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4814 +0+ +#4815 +b1111000011 3 +b11 & +b11 5 +b11 G +1+ +#4816 +0+ +#4817 +b11110001 4 +b1111000100 3 +b100 & +b100 5 +b100 G +1+ +#4818 +0+ +#4819 +b11110001 . +b11110001 B +b11110001 : +b1111000101 3 +b101 & +b101 5 +b101 G +1+ +#4820 +0+ +#4821 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b1111000110 3 +b110 & +b110 5 +b110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4822 +0+ +#4823 +b1111000111 3 +b111 & +b111 5 +b111 G +1+ +#4824 +0+ +#4825 +b11110010 4 +b1111001000 3 +b1000 & +b1000 5 +b1000 G +1+ +#4826 +0+ +#4827 +b11110010 . +b11110010 B +b11110010 : +b1111001001 3 +b1001 & +b1001 5 +b1001 G +1+ +#4828 +0+ +#4829 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b1111001010 3 +b1010 & +b1010 5 +b1010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4830 +0+ +#4831 +b1111001011 3 +b1011 & +b1011 5 +b1011 G +1+ +#4832 +0+ +#4833 +b11110011 4 +b1111001100 3 +b1100 & +b1100 5 +b1100 G +1+ +#4834 +0+ +#4835 +b11110011 . +b11110011 B +b11110011 : +b1111001101 3 +b1101 & +b1101 5 +b1101 G +1+ +#4836 +0+ +#4837 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b1111001110 3 +b1110 & +b1110 5 +b1110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4838 +0+ +#4839 +b1111001111 3 +b1111 & +b1111 5 +b1111 G +1+ +#4840 +0+ +#4841 +b11110100 4 +b1111010000 3 +b10000 & +b10000 5 +b10000 G +1+ +#4842 +0+ +#4843 +b11110100 . +b11110100 B +b11110100 : +b1111010001 3 +b10001 & +b10001 5 +b10001 G +1+ +#4844 +0+ +#4845 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b1111010010 3 +b10010 & +b10010 5 +b10010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4846 +0+ +#4847 +b1111010011 3 +b10011 & +b10011 5 +b10011 G +1+ +#4848 +0+ +#4849 +b11110101 4 +b1111010100 3 +b10100 & +b10100 5 +b10100 G +1+ +#4850 +0+ +#4851 +b11110101 . +b11110101 B +b11110101 : +b1111010101 3 +b10101 & +b10101 5 +b10101 G +1+ +#4852 +0+ +#4853 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b1111010110 3 +b10110 & +b10110 5 +b10110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4854 +0+ +#4855 +b1111010111 3 +b10111 & +b10111 5 +b10111 G +1+ +#4856 +0+ +#4857 +b11110110 4 +b1111011000 3 +b11000 & +b11000 5 +b11000 G +1+ +#4858 +0+ +#4859 +b11110110 . +b11110110 B +b11110110 : +b1111011001 3 +b11001 & +b11001 5 +b11001 G +1+ +#4860 +0+ +#4861 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b1111011010 3 +b11010 & +b11010 5 +b11010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4862 +0+ +#4863 +b1111011011 3 +b11011 & +b11011 5 +b11011 G +1+ +#4864 +0+ +#4865 +b11110111 4 +b1111011100 3 +b11100 & +b11100 5 +b11100 G +1+ +#4866 +0+ +#4867 +b11110111 . +b11110111 B +b11110111 : +b1111011101 3 +b11101 & +b11101 5 +b11101 G +1+ +#4868 +0+ +#4869 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b1111011110 3 +b11110 & +b11110 5 +b11110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4870 +0+ +#4871 +b1111011111 3 +b11111 & +b11111 5 +b11111 G +1+ +#4872 +0+ +#4873 +b11111000 4 +b1111100000 3 +b100000 & +b100000 5 +b100000 G +1+ +#4874 +0+ +#4875 +b11111000 . +b11111000 B +b11111000 : +b1111100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#4876 +0+ +#4877 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b1111100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#4878 +0+ +#4879 +b1111100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#4880 +0+ +#4881 +b11111001 4 +b1111100100 3 +b100100 & +b100100 5 +b100100 G +1+ +#4882 +0+ +#4883 +b11111001 . +b11111001 B +b11111001 : +b1111100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#4884 +0+ +#4885 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b1111100110 3 +b100110 & +b100110 5 +b100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#4886 +0+ +#4887 +b1111100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#4888 +0+ +#4889 +b11111010 4 +b1111101000 3 +b101000 & +b101000 5 +b101000 G +1+ +#4890 +0+ +#4891 +b11111010 . +b11111010 B +b11111010 : +b1111101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#4892 +0+ +#4893 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b1111101010 3 +b101010 & +b101010 5 +b101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#4894 +0+ +#4895 +b1111101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#4896 +0+ +#4897 +b11111011 4 +b1111101100 3 +b101100 & +b101100 5 +b101100 G +1+ +#4898 +0+ +#4899 +b11111011 . +b11111011 B +b11111011 : +b1111101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#4900 +0+ +#4901 +b1000 ' +b1000 6 +b100010000000 < +b1111101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#4902 +0+ +#4903 +b1111101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#4904 +0+ +#4905 +b11111100 4 +b1111110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#4906 +0+ +#4907 +b11111100 . +b11111100 B +b11111100 : +b1111110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#4908 +0+ +#4909 +b0 $ +b0 2 +b10000000 < +b1111110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#4910 +0+ +#4911 +b1111110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#4912 +0+ +#4913 +b11111101 4 +b1111110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#4914 +0+ +#4915 +b11111101 . +b11111101 B +b11111101 : +b1111110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#4916 +0+ +#4917 +b1000 * +b1000 9 +b10001000 < +b1111110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#4918 +0+ +#4919 +b1111110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#4920 +0+ +#4921 +b11111110 4 +b1111111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#4922 +0+ +#4923 +b11111110 . +b11111110 B +b11111110 : +b1111111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#4924 +0+ +#4925 +b0 ' +b0 6 +b1000 < +b1111111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#4926 +0+ +#4927 +b1111111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#4928 +0+ +#4929 +b11111111 4 +b1111111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#4930 +0+ +#4931 +b11111111 . +b11111111 B +b11111111 : +b1111111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#4932 +0+ +#4933 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b1111111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#4934 +0+ +#4935 +b1111111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#4936 +0+ +#4937 +b100000000 4 +b10000000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#4938 +0+ +#4939 +b100000000 . +b100000000 B +b100000000 : +b10000000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#4940 +0+ +#4941 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10000000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#4942 +0+ +#4943 +b10000000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#4944 +0+ +#4945 +b100000001 4 +b10000000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#4946 +0+ +#4947 +b100000001 . +b100000001 B +b100000001 : +b10000000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#4948 +0+ +#4949 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10000000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#4950 +0+ +#4951 +b10000000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#4952 +0+ +#4953 +b100000010 4 +b10000001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#4954 +0+ +#4955 +b100000010 . +b100000010 B +b100000010 : +b10000001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#4956 +0+ +#4957 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10000001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#4958 +0+ +#4959 +b10000001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#4960 +0+ +#4961 +b100000011 4 +b10000001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#4962 +0+ +#4963 +b100000011 . +b100000011 B +b100000011 : +b10000001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#4964 +0+ +#4965 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10000001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#4966 +0+ +#4967 +b10000001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#4968 +0+ +#4969 +b100000100 4 +b10000010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#4970 +0+ +#4971 +b100000100 . +b100000100 B +b100000100 : +b10000010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#4972 +0+ +#4973 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10000010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#4974 +0+ +#4975 +b10000010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#4976 +0+ +#4977 +b100000101 4 +b10000010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#4978 +0+ +#4979 +b100000101 . +b100000101 B +b100000101 : +b10000010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#4980 +0+ +#4981 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10000010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#4982 +0+ +#4983 +b10000010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#4984 +0+ +#4985 +b100000110 4 +b10000011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#4986 +0+ +#4987 +b100000110 . +b100000110 B +b100000110 : +b10000011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#4988 +0+ +#4989 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10000011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#4990 +0+ +#4991 +b10000011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#4992 +0+ +#4993 +b100000111 4 +b10000011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#4994 +0+ +#4995 +b100000111 . +b100000111 B +b100000111 : +b10000011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#4996 +0+ +#4997 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10000011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#4998 +0+ +#4999 +b10000011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#5000 +0+ +#5001 +b100001000 4 +b10000100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#5002 +0+ +#5003 +b100001000 . +b100001000 B +b100001000 : +b10000100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#5004 +0+ +#5005 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10000100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5006 +0+ +#5007 +b10000100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#5008 +0+ +#5009 +b100001001 4 +b10000100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#5010 +0+ +#5011 +b100001001 . +b100001001 B +b100001001 : +b10000100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#5012 +0+ +#5013 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10000100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5014 +0+ +#5015 +b10000100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#5016 +0+ +#5017 +b100001010 4 +b10000101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#5018 +0+ +#5019 +b100001010 . +b100001010 B +b100001010 : +b10000101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#5020 +0+ +#5021 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10000101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5022 +0+ +#5023 +b10000101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#5024 +0+ +#5025 +b100001011 4 +b10000101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#5026 +0+ +#5027 +b100001011 . +b100001011 B +b100001011 : +b10000101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#5028 +0+ +#5029 +b1000 ' +b1000 6 +b100010000000 < +b10000101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5030 +0+ +#5031 +b10000101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#5032 +0+ +#5033 +b100001100 4 +b10000110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#5034 +0+ +#5035 +b100001100 . +b100001100 B +b100001100 : +b10000110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#5036 +0+ +#5037 +b0 $ +b0 2 +b10000000 < +b10000110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5038 +0+ +#5039 +b10000110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#5040 +0+ +#5041 +b100001101 4 +b10000110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#5042 +0+ +#5043 +b100001101 . +b100001101 B +b100001101 : +b10000110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#5044 +0+ +#5045 +b1000 * +b1000 9 +b10001000 < +b10000110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5046 +0+ +#5047 +b10000110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#5048 +0+ +#5049 +b100001110 4 +b10000111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#5050 +0+ +#5051 +b100001110 . +b100001110 B +b100001110 : +b10000111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#5052 +0+ +#5053 +b0 ' +b0 6 +b1000 < +b10000111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5054 +0+ +#5055 +b10000111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#5056 +0+ +#5057 +b100001111 4 +b10000111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#5058 +0+ +#5059 +b100001111 . +b100001111 B +b100001111 : +b10000111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#5060 +0+ +#5061 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10000111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5062 +0+ +#5063 +b10000111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#5064 +0+ +#5065 +b100010000 4 +b10001000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#5066 +0+ +#5067 +b100010000 . +b100010000 B +b100010000 : +b10001000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#5068 +0+ +#5069 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10001000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5070 +0+ +#5071 +b10001000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#5072 +0+ +#5073 +b100010001 4 +b10001000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#5074 +0+ +#5075 +b100010001 . +b100010001 B +b100010001 : +b10001000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#5076 +0+ +#5077 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10001000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5078 +0+ +#5079 +b10001000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#5080 +0+ +#5081 +b100010010 4 +b10001001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#5082 +0+ +#5083 +b100010010 . +b100010010 B +b100010010 : +b10001001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#5084 +0+ +#5085 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10001001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5086 +0+ +#5087 +b10001001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#5088 +0+ +#5089 +b100010011 4 +b10001001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#5090 +0+ +#5091 +b100010011 . +b100010011 B +b100010011 : +b10001001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#5092 +0+ +#5093 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10001001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5094 +0+ +#5095 +b10001001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#5096 +0+ +#5097 +b100010100 4 +b10001010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#5098 +0+ +#5099 +b100010100 . +b100010100 B +b100010100 : +b10001010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#5100 +0+ +#5101 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10001010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5102 +0+ +#5103 +b10001010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#5104 +0+ +#5105 +b100010101 4 +b10001010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#5106 +0+ +#5107 +b100010101 . +b100010101 B +b100010101 : +b10001010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#5108 +0+ +#5109 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10001010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5110 +0+ +#5111 +b10001010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#5112 +0+ +#5113 +b100010110 4 +b10001011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#5114 +0+ +#5115 +b100010110 . +b100010110 B +b100010110 : +b10001011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#5116 +0+ +#5117 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10001011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5118 +0+ +#5119 +b10001011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#5120 +0+ +#5121 +b100010111 4 +b10001011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#5122 +0+ +#5123 +b100010111 . +b100010111 B +b100010111 : +b10001011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#5124 +0+ +#5125 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10001011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5126 +0+ +#5127 +b10001011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#5128 +0+ +#5129 +b100011000 4 +b10001100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#5130 +0+ +#5131 +b100011000 . +b100011000 B +b100011000 : +b10001100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#5132 +0+ +#5133 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10001100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5134 +0+ +#5135 +b10001100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#5136 +0+ +#5137 +b100011001 4 +b10001100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#5138 +0+ +#5139 +b100011001 . +b100011001 B +b100011001 : +b10001100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#5140 +0+ +#5141 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10001100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5142 +0+ +#5143 +b10001100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#5144 +0+ +#5145 +b100011010 4 +b10001101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#5146 +0+ +#5147 +b100011010 . +b100011010 B +b100011010 : +b10001101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#5148 +0+ +#5149 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10001101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5150 +0+ +#5151 +b10001101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#5152 +0+ +#5153 +b100011011 4 +b10001101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#5154 +0+ +#5155 +b100011011 . +b100011011 B +b100011011 : +b10001101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#5156 +0+ +#5157 +b1000 ' +b1000 6 +b100010000000 < +b10001101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5158 +0+ +#5159 +b10001101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#5160 +0+ +#5161 +b100011100 4 +b10001110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#5162 +0+ +#5163 +b100011100 . +b100011100 B +b100011100 : +b10001110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#5164 +0+ +#5165 +b0 $ +b0 2 +b10000000 < +b10001110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5166 +0+ +#5167 +b10001110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#5168 +0+ +#5169 +b100011101 4 +b10001110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#5170 +0+ +#5171 +b100011101 . +b100011101 B +b100011101 : +b10001110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#5172 +0+ +#5173 +b1000 * +b1000 9 +b10001000 < +b10001110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5174 +0+ +#5175 +b10001110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#5176 +0+ +#5177 +b100011110 4 +b10001111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#5178 +0+ +#5179 +b100011110 . +b100011110 B +b100011110 : +b10001111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#5180 +0+ +#5181 +b0 ' +b0 6 +b1000 < +b10001111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5182 +0+ +#5183 +b10001111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#5184 +0+ +#5185 +b100011111 4 +b10001111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#5186 +0+ +#5187 +b100011111 . +b100011111 B +b100011111 : +b10001111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#5188 +0+ +#5189 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10001111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5190 +0+ +#5191 +b10001111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#5192 +0+ +#5193 +b100100000 4 +b10010000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#5194 +0+ +#5195 +b100100000 . +b100100000 B +b100100000 : +b10010000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#5196 +0+ +#5197 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10010000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5198 +0+ +#5199 +b10010000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#5200 +0+ +#5201 +b100100001 4 +b10010000100 3 +b11000100 & +b11000100 5 +b11000100 G +1+ +#5202 +0+ +#5203 +b100100001 . +b100100001 B +b100100001 : +b10010000101 3 +b11000101 & +b11000101 5 +b11000101 G +1+ +#5204 +0+ +#5205 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10010000110 3 +b11000110 & +b11000110 5 +b11000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5206 +0+ +#5207 +b10010000111 3 +b11000111 & +b11000111 5 +b11000111 G +1+ +#5208 +0+ +#5209 +b100100010 4 +b10010001000 3 +b11001000 & +b11001000 5 +b11001000 G +1+ +#5210 +0+ +#5211 +b100100010 . +b100100010 B +b100100010 : +b10010001001 3 +b11001001 & +b11001001 5 +b11001001 G +1+ +#5212 +0+ +#5213 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10010001010 3 +b11001010 & +b11001010 5 +b11001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5214 +0+ +#5215 +b10010001011 3 +b11001011 & +b11001011 5 +b11001011 G +1+ +#5216 +0+ +#5217 +b100100011 4 +b10010001100 3 +b11001100 & +b11001100 5 +b11001100 G +1+ +#5218 +0+ +#5219 +b100100011 . +b100100011 B +b100100011 : +b10010001101 3 +b11001101 & +b11001101 5 +b11001101 G +1+ +#5220 +0+ +#5221 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10010001110 3 +b11001110 & +b11001110 5 +b11001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5222 +0+ +#5223 +b10010001111 3 +b11001111 & +b11001111 5 +b11001111 G +1+ +#5224 +0+ +#5225 +b100100100 4 +b10010010000 3 +b11010000 & +b11010000 5 +b11010000 G +1+ +#5226 +0+ +#5227 +b100100100 . +b100100100 B +b100100100 : +b10010010001 3 +b11010001 & +b11010001 5 +b11010001 G +1+ +#5228 +0+ +#5229 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10010010010 3 +b11010010 & +b11010010 5 +b11010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5230 +0+ +#5231 +b10010010011 3 +b11010011 & +b11010011 5 +b11010011 G +1+ +#5232 +0+ +#5233 +b100100101 4 +b10010010100 3 +b11010100 & +b11010100 5 +b11010100 G +1+ +#5234 +0+ +#5235 +b100100101 . +b100100101 B +b100100101 : +b10010010101 3 +b11010101 & +b11010101 5 +b11010101 G +1+ +#5236 +0+ +#5237 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10010010110 3 +b11010110 & +b11010110 5 +b11010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5238 +0+ +#5239 +b10010010111 3 +b11010111 & +b11010111 5 +b11010111 G +1+ +#5240 +0+ +#5241 +b100100110 4 +b10010011000 3 +b11011000 & +b11011000 5 +b11011000 G +1+ +#5242 +0+ +#5243 +b100100110 . +b100100110 B +b100100110 : +b10010011001 3 +b11011001 & +b11011001 5 +b11011001 G +1+ +#5244 +0+ +#5245 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10010011010 3 +b11011010 & +b11011010 5 +b11011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5246 +0+ +#5247 +b10010011011 3 +b11011011 & +b11011011 5 +b11011011 G +1+ +#5248 +0+ +#5249 +b100100111 4 +b10010011100 3 +b11011100 & +b11011100 5 +b11011100 G +1+ +#5250 +0+ +#5251 +b100100111 . +b100100111 B +b100100111 : +b10010011101 3 +b11011101 & +b11011101 5 +b11011101 G +1+ +#5252 +0+ +#5253 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10010011110 3 +b11011110 & +b11011110 5 +b11011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5254 +0+ +#5255 +b10010011111 3 +b11011111 & +b11011111 5 +b11011111 G +1+ +#5256 +0+ +#5257 +b100101000 4 +b10010100000 3 +b11100000 & +b11100000 5 +b11100000 G +1+ +#5258 +0+ +#5259 +b100101000 . +b100101000 B +b100101000 : +b10010100001 3 +b11100001 & +b11100001 5 +b11100001 G +1+ +#5260 +0+ +#5261 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10010100010 3 +b11100010 & +b11100010 5 +b11100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5262 +0+ +#5263 +b10010100011 3 +b11100011 & +b11100011 5 +b11100011 G +1+ +#5264 +0+ +#5265 +b100101001 4 +b10010100100 3 +b11100100 & +b11100100 5 +b11100100 G +1+ +#5266 +0+ +#5267 +b100101001 . +b100101001 B +b100101001 : +b10010100101 3 +b11100101 & +b11100101 5 +b11100101 G +1+ +#5268 +0+ +#5269 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10010100110 3 +b11100110 & +b11100110 5 +b11100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5270 +0+ +#5271 +b10010100111 3 +b11100111 & +b11100111 5 +b11100111 G +1+ +#5272 +0+ +#5273 +b100101010 4 +b10010101000 3 +b11101000 & +b11101000 5 +b11101000 G +1+ +#5274 +0+ +#5275 +b100101010 . +b100101010 B +b100101010 : +b10010101001 3 +b11101001 & +b11101001 5 +b11101001 G +1+ +#5276 +0+ +#5277 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10010101010 3 +b11101010 & +b11101010 5 +b11101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5278 +0+ +#5279 +b10010101011 3 +b11101011 & +b11101011 5 +b11101011 G +1+ +#5280 +0+ +#5281 +b100101011 4 +b10010101100 3 +b11101100 & +b11101100 5 +b11101100 G +1+ +#5282 +0+ +#5283 +b100101011 . +b100101011 B +b100101011 : +b10010101101 3 +b11101101 & +b11101101 5 +b11101101 G +1+ +#5284 +0+ +#5285 +b1000 ' +b1000 6 +b100010000000 < +b10010101110 3 +b11101110 & +b11101110 5 +b11101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5286 +0+ +#5287 +b10010101111 3 +b11101111 & +b11101111 5 +b11101111 G +1+ +#5288 +0+ +#5289 +b100101100 4 +b10010110000 3 +b11110000 & +b11110000 5 +b11110000 G +1+ +#5290 +0+ +#5291 +b100101100 . +b100101100 B +b100101100 : +b10010110001 3 +b11110001 & +b11110001 5 +b11110001 G +1+ +#5292 +0+ +#5293 +b0 $ +b0 2 +b10000000 < +b10010110010 3 +b11110010 & +b11110010 5 +b11110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5294 +0+ +#5295 +b10010110011 3 +b11110011 & +b11110011 5 +b11110011 G +1+ +#5296 +0+ +#5297 +b100101101 4 +b10010110100 3 +b11110100 & +b11110100 5 +b11110100 G +1+ +#5298 +0+ +#5299 +b100101101 . +b100101101 B +b100101101 : +b10010110101 3 +b11110101 & +b11110101 5 +b11110101 G +1+ +#5300 +0+ +#5301 +b1000 * +b1000 9 +b10001000 < +b10010110110 3 +b11110110 & +b11110110 5 +b11110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5302 +0+ +#5303 +b10010110111 3 +b11110111 & +b11110111 5 +b11110111 G +1+ +#5304 +0+ +#5305 +b100101110 4 +b10010111000 3 +b11111000 & +b11111000 5 +b11111000 G +1+ +#5306 +0+ +#5307 +b100101110 . +b100101110 B +b100101110 : +b10010111001 3 +b11111001 & +b11111001 5 +b11111001 G +1+ +#5308 +0+ +#5309 +b0 ' +b0 6 +b1000 < +b10010111010 3 +b11111010 & +b11111010 5 +b11111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5310 +0+ +#5311 +b10010111011 3 +b11111011 & +b11111011 5 +b11111011 G +1+ +#5312 +0+ +#5313 +b100101111 4 +b10010111100 3 +b11111100 & +b11111100 5 +b11111100 G +1+ +#5314 +0+ +#5315 +b100101111 . +b100101111 B +b100101111 : +b10010111101 3 +b11111101 & +b11111101 5 +b11111101 G +1+ +#5316 +0+ +#5317 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10010111110 3 +b11111110 & +b11111110 5 +b11111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5318 +0+ +#5319 +b10010111111 3 +b11111111 & +b11111111 5 +b11111111 G +1+ +#5320 +0+ +#5321 +b100110000 4 +b10011000000 3 +b100000000 & +b100000000 5 +b100000000 G +1+ +#5322 +0+ +#5323 +b100110000 . +b100110000 B +b100110000 : +b10011000001 3 +b100000001 & +b100000001 5 +b100000001 G +1+ +#5324 +0+ +#5325 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10011000010 3 +b100000010 & +b100000010 5 +b100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5326 +0+ +#5327 +b10011000011 3 +b100000011 & +b100000011 5 +b100000011 G +1+ +#5328 +0+ +#5329 +b100110001 4 +b10011000100 3 +b100000100 & +b100000100 5 +b100000100 G +1+ +#5330 +0+ +#5331 +b100110001 . +b100110001 B +b100110001 : +b10011000101 3 +b100000101 & +b100000101 5 +b100000101 G +1+ +#5332 +0+ +#5333 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10011000110 3 +b100000110 & +b100000110 5 +b100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5334 +0+ +#5335 +b10011000111 3 +b100000111 & +b100000111 5 +b100000111 G +1+ +#5336 +0+ +#5337 +b100110010 4 +b10011001000 3 +b100001000 & +b100001000 5 +b100001000 G +1+ +#5338 +0+ +#5339 +b100110010 . +b100110010 B +b100110010 : +b10011001001 3 +b100001001 & +b100001001 5 +b100001001 G +1+ +#5340 +0+ +#5341 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10011001010 3 +b100001010 & +b100001010 5 +b100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5342 +0+ +#5343 +b10011001011 3 +b100001011 & +b100001011 5 +b100001011 G +1+ +#5344 +0+ +#5345 +b100110011 4 +b10011001100 3 +b100001100 & +b100001100 5 +b100001100 G +1+ +#5346 +0+ +#5347 +b100110011 . +b100110011 B +b100110011 : +b10011001101 3 +b100001101 & +b100001101 5 +b100001101 G +1+ +#5348 +0+ +#5349 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10011001110 3 +b100001110 & +b100001110 5 +b100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5350 +0+ +#5351 +b10011001111 3 +b100001111 & +b100001111 5 +b100001111 G +1+ +#5352 +0+ +#5353 +b100110100 4 +b10011010000 3 +b100010000 & +b100010000 5 +b100010000 G +1+ +#5354 +0+ +#5355 +b100110100 . +b100110100 B +b100110100 : +b10011010001 3 +b100010001 & +b100010001 5 +b100010001 G +1+ +#5356 +0+ +#5357 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10011010010 3 +b100010010 & +b100010010 5 +b100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5358 +0+ +#5359 +b10011010011 3 +b100010011 & +b100010011 5 +b100010011 G +1+ +#5360 +0+ +#5361 +b100110101 4 +b10011010100 3 +b100010100 & +b100010100 5 +b100010100 G +1+ +#5362 +0+ +#5363 +b100110101 . +b100110101 B +b100110101 : +b10011010101 3 +b100010101 & +b100010101 5 +b100010101 G +1+ +#5364 +0+ +#5365 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10011010110 3 +b100010110 & +b100010110 5 +b100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5366 +0+ +#5367 +b10011010111 3 +b100010111 & +b100010111 5 +b100010111 G +1+ +#5368 +0+ +#5369 +b100110110 4 +b10011011000 3 +b100011000 & +b100011000 5 +b100011000 G +1+ +#5370 +0+ +#5371 +b100110110 . +b100110110 B +b100110110 : +b10011011001 3 +b100011001 & +b100011001 5 +b100011001 G +1+ +#5372 +0+ +#5373 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10011011010 3 +b100011010 & +b100011010 5 +b100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5374 +0+ +#5375 +b10011011011 3 +b100011011 & +b100011011 5 +b100011011 G +1+ +#5376 +0+ +#5377 +b100110111 4 +b10011011100 3 +b100011100 & +b100011100 5 +b100011100 G +1+ +#5378 +0+ +#5379 +b100110111 . +b100110111 B +b100110111 : +b10011011101 3 +b100011101 & +b100011101 5 +b100011101 G +1+ +#5380 +0+ +#5381 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10011011110 3 +b100011110 & +b100011110 5 +b100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5382 +0+ +#5383 +b10011011111 3 +b100011111 & +b100011111 5 +b100011111 G +1+ +#5384 +0+ +#5385 +b100111000 4 +b10011100000 3 +b100100000 & +b100100000 5 +b100100000 G +1+ +#5386 +0+ +#5387 +b100111000 . +b100111000 B +b100111000 : +b10011100001 3 +b100100001 & +b100100001 5 +b100100001 G +1+ +#5388 +0+ +#5389 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10011100010 3 +b100100010 & +b100100010 5 +b100100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5390 +0+ +#5391 +b10011100011 3 +b100100011 & +b100100011 5 +b100100011 G +1+ +#5392 +0+ +#5393 +b100111001 4 +b10011100100 3 +b100100100 & +b100100100 5 +b100100100 G +1+ +#5394 +0+ +#5395 +b100111001 . +b100111001 B +b100111001 : +b10011100101 3 +b100100101 & +b100100101 5 +b100100101 G +1+ +#5396 +0+ +#5397 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10011100110 3 +b100100110 & +b100100110 5 +b100100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5398 +0+ +#5399 +b10011100111 3 +b100100111 & +b100100111 5 +b100100111 G +1+ +#5400 +0+ +#5401 +b100111010 4 +b10011101000 3 +b100101000 & +b100101000 5 +b100101000 G +1+ +#5402 +0+ +#5403 +b100111010 . +b100111010 B +b100111010 : +b10011101001 3 +b100101001 & +b100101001 5 +b100101001 G +1+ +#5404 +0+ +#5405 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10011101010 3 +b100101010 & +b100101010 5 +b100101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5406 +0+ +#5407 +b10011101011 3 +b100101011 & +b100101011 5 +b100101011 G +1+ +#5408 +0+ +#5409 +b100111011 4 +b10011101100 3 +b100101100 & +b100101100 5 +b100101100 G +1+ +#5410 +0+ +#5411 +b100111011 . +b100111011 B +b100111011 : +b10011101101 3 +b100101101 & +b100101101 5 +b100101101 G +1+ +#5412 +0+ +#5413 +b1000 ' +b1000 6 +b100010000000 < +b10011101110 3 +b100101110 & +b100101110 5 +b100101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5414 +0+ +#5415 +b10011101111 3 +b100101111 & +b100101111 5 +b100101111 G +1+ +#5416 +0+ +#5417 +b100111100 4 +b10011110000 3 +b100110000 & +b100110000 5 +b100110000 G +1+ +#5418 +0+ +#5419 +b100111100 . +b100111100 B +b100111100 : +b10011110001 3 +b100110001 & +b100110001 5 +b100110001 G +1+ +#5420 +0+ +#5421 +b0 $ +b0 2 +b10000000 < +b10011110010 3 +b100110010 & +b100110010 5 +b100110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5422 +0+ +#5423 +b10011110011 3 +b100110011 & +b100110011 5 +b100110011 G +1+ +#5424 +0+ +#5425 +b100111101 4 +b10011110100 3 +b100110100 & +b100110100 5 +b100110100 G +1+ +#5426 +0+ +#5427 +b100111101 . +b100111101 B +b100111101 : +b10011110101 3 +b100110101 & +b100110101 5 +b100110101 G +1+ +#5428 +0+ +#5429 +b1000 * +b1000 9 +b10001000 < +b10011110110 3 +b100110110 & +b100110110 5 +b100110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5430 +0+ +#5431 +b10011110111 3 +b100110111 & +b100110111 5 +b100110111 G +1+ +#5432 +0+ +#5433 +b100111110 4 +b10011111000 3 +b100111000 & +b100111000 5 +b100111000 G +1+ +#5434 +0+ +#5435 +b100111110 . +b100111110 B +b100111110 : +b10011111001 3 +b100111001 & +b100111001 5 +b100111001 G +1+ +#5436 +0+ +#5437 +b0 ' +b0 6 +b1000 < +b10011111010 3 +b100111010 & +b100111010 5 +b100111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5438 +0+ +#5439 +b10011111011 3 +b100111011 & +b100111011 5 +b100111011 G +1+ +#5440 +0+ +#5441 +b100111111 4 +b10011111100 3 +b100111100 & +b100111100 5 +b100111100 G +1+ +#5442 +0+ +#5443 +b100111111 . +b100111111 B +b100111111 : +b10011111101 3 +b100111101 & +b100111101 5 +b100111101 G +1+ +#5444 +0+ +#5445 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10011111110 3 +b100111110 & +b100111110 5 +b100111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5446 +0+ +#5447 +b10011111111 3 +b100111111 & +b100111111 5 +b100111111 G +1+ +#5448 +0+ +#5449 +b101000000 4 +b10100000000 3 +b101000000 & +b101000000 5 +b101000000 G +1+ +#5450 +0+ +#5451 +b101000000 . +b101000000 B +b101000000 : +b10100000001 3 +b101000001 & +b101000001 5 +b101000001 G +1+ +#5452 +0+ +#5453 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10100000010 3 +b101000010 & +b101000010 5 +b101000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5454 +0+ +#5455 +b10100000011 3 +b101000011 & +b101000011 5 +b101000011 G +1+ +#5456 +0+ +#5457 +b101000001 4 +b10100000100 3 +b101000100 & +b101000100 5 +b101000100 G +1+ +#5458 +0+ +#5459 +b101000001 . +b101000001 B +b101000001 : +b10100000101 3 +b101000101 & +b101000101 5 +b101000101 G +1+ +#5460 +0+ +#5461 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10100000110 3 +b101000110 & +b101000110 5 +b101000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5462 +0+ +#5463 +b10100000111 3 +b101000111 & +b101000111 5 +b101000111 G +1+ +#5464 +0+ +#5465 +b101000010 4 +b10100001000 3 +b101001000 & +b101001000 5 +b101001000 G +1+ +#5466 +0+ +#5467 +b101000010 . +b101000010 B +b101000010 : +b10100001001 3 +b101001001 & +b101001001 5 +b101001001 G +1+ +#5468 +0+ +#5469 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10100001010 3 +b101001010 & +b101001010 5 +b101001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5470 +0+ +#5471 +b10100001011 3 +b101001011 & +b101001011 5 +b101001011 G +1+ +#5472 +0+ +#5473 +b101000011 4 +b10100001100 3 +b101001100 & +b101001100 5 +b101001100 G +1+ +#5474 +0+ +#5475 +b101000011 . +b101000011 B +b101000011 : +b10100001101 3 +b101001101 & +b101001101 5 +b101001101 G +1+ +#5476 +0+ +#5477 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10100001110 3 +b101001110 & +b101001110 5 +b101001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5478 +0+ +#5479 +b10100001111 3 +b101001111 & +b101001111 5 +b101001111 G +1+ +#5480 +0+ +#5481 +b101000100 4 +b10100010000 3 +b101010000 & +b101010000 5 +b101010000 G +1+ +#5482 +0+ +#5483 +b101000100 . +b101000100 B +b101000100 : +b10100010001 3 +b101010001 & +b101010001 5 +b101010001 G +1+ +#5484 +0+ +#5485 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10100010010 3 +b101010010 & +b101010010 5 +b101010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5486 +0+ +#5487 +b10100010011 3 +b101010011 & +b101010011 5 +b101010011 G +1+ +#5488 +0+ +#5489 +b101000101 4 +b10100010100 3 +b101010100 & +b101010100 5 +b101010100 G +1+ +#5490 +0+ +#5491 +b101000101 . +b101000101 B +b101000101 : +b10100010101 3 +b101010101 & +b101010101 5 +b101010101 G +1+ +#5492 +0+ +#5493 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10100010110 3 +b101010110 & +b101010110 5 +b101010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5494 +0+ +#5495 +b10100010111 3 +b101010111 & +b101010111 5 +b101010111 G +1+ +#5496 +0+ +#5497 +b101000110 4 +b10100011000 3 +b101011000 & +b101011000 5 +b101011000 G +1+ +#5498 +0+ +#5499 +b101000110 . +b101000110 B +b101000110 : +b10100011001 3 +b101011001 & +b101011001 5 +b101011001 G +1+ +#5500 +0+ +#5501 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10100011010 3 +b101011010 & +b101011010 5 +b101011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5502 +0+ +#5503 +b10100011011 3 +b101011011 & +b101011011 5 +b101011011 G +1+ +#5504 +0+ +#5505 +b101000111 4 +b10100011100 3 +b101011100 & +b101011100 5 +b101011100 G +1+ +#5506 +0+ +#5507 +b101000111 . +b101000111 B +b101000111 : +b10100011101 3 +b101011101 & +b101011101 5 +b101011101 G +1+ +#5508 +0+ +#5509 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10100011110 3 +b101011110 & +b101011110 5 +b101011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5510 +0+ +#5511 +b10100011111 3 +b101011111 & +b101011111 5 +b101011111 G +1+ +#5512 +0+ +#5513 +b101001000 4 +b10100100000 3 +b101100000 & +b101100000 5 +b101100000 G +1+ +#5514 +0+ +#5515 +b101001000 . +b101001000 B +b101001000 : +b10100100001 3 +b101100001 & +b101100001 5 +b101100001 G +1+ +#5516 +0+ +#5517 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10100100010 3 +b101100010 & +b101100010 5 +b101100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5518 +0+ +#5519 +b10100100011 3 +b101100011 & +b101100011 5 +b101100011 G +1+ +#5520 +0+ +#5521 +b101001001 4 +b10100100100 3 +b101100100 & +b101100100 5 +b101100100 G +1+ +#5522 +0+ +#5523 +b101001001 . +b101001001 B +b101001001 : +b10100100101 3 +b101100101 & +b101100101 5 +b101100101 G +1+ +#5524 +0+ +#5525 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10100100110 3 +b101100110 & +b101100110 5 +b101100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5526 +0+ +#5527 +b10100100111 3 +b101100111 & +b101100111 5 +b101100111 G +1+ +#5528 +0+ +#5529 +b101001010 4 +b10100101000 3 +b101101000 & +b101101000 5 +b101101000 G +1+ +#5530 +0+ +#5531 +b101001010 . +b101001010 B +b101001010 : +b10100101001 3 +b101101001 & +b101101001 5 +b101101001 G +1+ +#5532 +0+ +#5533 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10100101010 3 +b101101010 & +b101101010 5 +b101101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5534 +0+ +#5535 +b10100101011 3 +b101101011 & +b101101011 5 +b101101011 G +1+ +#5536 +0+ +#5537 +b101001011 4 +b10100101100 3 +b101101100 & +b101101100 5 +b101101100 G +1+ +#5538 +0+ +#5539 +b101001011 . +b101001011 B +b101001011 : +b10100101101 3 +b101101101 & +b101101101 5 +b101101101 G +1+ +#5540 +0+ +#5541 +b1000 ' +b1000 6 +b100010000000 < +b10100101110 3 +b101101110 & +b101101110 5 +b101101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5542 +0+ +#5543 +b10100101111 3 +b101101111 & +b101101111 5 +b101101111 G +1+ +#5544 +0+ +#5545 +b101001100 4 +b10100110000 3 +b101110000 & +b101110000 5 +b101110000 G +1+ +#5546 +0+ +#5547 +b101001100 . +b101001100 B +b101001100 : +b10100110001 3 +b101110001 & +b101110001 5 +b101110001 G +1+ +#5548 +0+ +#5549 +b0 $ +b0 2 +b10000000 < +b10100110010 3 +b101110010 & +b101110010 5 +b101110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5550 +0+ +#5551 +b10100110011 3 +b101110011 & +b101110011 5 +b101110011 G +1+ +#5552 +0+ +#5553 +b101001101 4 +b10100110100 3 +b101110100 & +b101110100 5 +b101110100 G +1+ +#5554 +0+ +#5555 +b101001101 . +b101001101 B +b101001101 : +b10100110101 3 +b101110101 & +b101110101 5 +b101110101 G +1+ +#5556 +0+ +#5557 +b1000 * +b1000 9 +b10001000 < +b10100110110 3 +b101110110 & +b101110110 5 +b101110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5558 +0+ +#5559 +b10100110111 3 +b101110111 & +b101110111 5 +b101110111 G +1+ +#5560 +0+ +#5561 +b101001110 4 +b10100111000 3 +b101111000 & +b101111000 5 +b101111000 G +1+ +#5562 +0+ +#5563 +b101001110 . +b101001110 B +b101001110 : +b10100111001 3 +b101111001 & +b101111001 5 +b101111001 G +1+ +#5564 +0+ +#5565 +b0 ' +b0 6 +b1000 < +b10100111010 3 +b101111010 & +b101111010 5 +b101111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5566 +0+ +#5567 +b10100111011 3 +b101111011 & +b101111011 5 +b101111011 G +1+ +#5568 +0+ +#5569 +b101001111 4 +b10100111100 3 +b101111100 & +b101111100 5 +b101111100 G +1+ +#5570 +0+ +#5571 +b101001111 . +b101001111 B +b101001111 : +b10100111101 3 +b101111101 & +b101111101 5 +b101111101 G +1+ +#5572 +0+ +#5573 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10100111110 3 +b101111110 & +b101111110 5 +b101111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5574 +0+ +#5575 +b10100111111 3 +b101111111 & +b101111111 5 +b101111111 G +1+ +#5576 +0+ +#5577 +b101010000 4 +b10101000000 3 +b110000000 & +b110000000 5 +b110000000 G +1+ +#5578 +0+ +#5579 +b101010000 . +b101010000 B +b101010000 : +b10101000001 3 +b110000001 & +b110000001 5 +b110000001 G +1+ +#5580 +0+ +#5581 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10101000010 3 +b110000010 & +b110000010 5 +b110000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5582 +0+ +#5583 +b10101000011 3 +b110000011 & +b110000011 5 +b110000011 G +1+ +#5584 +0+ +#5585 +b101010001 4 +b10101000100 3 +b110000100 & +b110000100 5 +b110000100 G +1+ +#5586 +0+ +#5587 +b101010001 . +b101010001 B +b101010001 : +b10101000101 3 +b110000101 & +b110000101 5 +b110000101 G +1+ +#5588 +0+ +#5589 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10101000110 3 +b110000110 & +b110000110 5 +b110000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5590 +0+ +#5591 +b10101000111 3 +b110000111 & +b110000111 5 +b110000111 G +1+ +#5592 +0+ +#5593 +b101010010 4 +b10101001000 3 +b110001000 & +b110001000 5 +b110001000 G +1+ +#5594 +0+ +#5595 +b101010010 . +b101010010 B +b101010010 : +b10101001001 3 +b110001001 & +b110001001 5 +b110001001 G +1+ +#5596 +0+ +#5597 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10101001010 3 +b110001010 & +b110001010 5 +b110001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5598 +0+ +#5599 +b10101001011 3 +b110001011 & +b110001011 5 +b110001011 G +1+ +#5600 +0+ +#5601 +b101010011 4 +b10101001100 3 +b110001100 & +b110001100 5 +b110001100 G +1+ +#5602 +0+ +#5603 +b101010011 . +b101010011 B +b101010011 : +b10101001101 3 +b110001101 & +b110001101 5 +b110001101 G +1+ +#5604 +0+ +#5605 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10101001110 3 +b110001110 & +b110001110 5 +b110001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5606 +0+ +#5607 +b10101001111 3 +b110001111 & +b110001111 5 +b110001111 G +1+ +#5608 +0+ +#5609 +b101010100 4 +b10101010000 3 +b110010000 & +b110010000 5 +b110010000 G +1+ +#5610 +0+ +#5611 +b101010100 . +b101010100 B +b101010100 : +b10101010001 3 +b110010001 & +b110010001 5 +b110010001 G +1+ +#5612 +0+ +#5613 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10101010010 3 +b110010010 & +b110010010 5 +b110010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5614 +0+ +#5615 +b10101010011 3 +b110010011 & +b110010011 5 +b110010011 G +1+ +#5616 +0+ +#5617 +b101010101 4 +b10101010100 3 +b110010100 & +b110010100 5 +b110010100 G +1+ +#5618 +0+ +#5619 +b101010101 . +b101010101 B +b101010101 : +b10101010101 3 +b110010101 & +b110010101 5 +b110010101 G +1+ +#5620 +0+ +#5621 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10101010110 3 +b110010110 & +b110010110 5 +b110010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5622 +0+ +#5623 +b10101010111 3 +b110010111 & +b110010111 5 +b110010111 G +1+ +#5624 +0+ +#5625 +b101010110 4 +b10101011000 3 +b110011000 & +b110011000 5 +b110011000 G +1+ +#5626 +0+ +#5627 +b101010110 . +b101010110 B +b101010110 : +b10101011001 3 +b110011001 & +b110011001 5 +b110011001 G +1+ +#5628 +0+ +#5629 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10101011010 3 +b110011010 & +b110011010 5 +b110011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5630 +0+ +#5631 +b10101011011 3 +b110011011 & +b110011011 5 +b110011011 G +1+ +#5632 +0+ +#5633 +b101010111 4 +b10101011100 3 +b110011100 & +b110011100 5 +b110011100 G +1+ +#5634 +0+ +#5635 +b101010111 . +b101010111 B +b101010111 : +b10101011101 3 +b110011101 & +b110011101 5 +b110011101 G +1+ +#5636 +0+ +#5637 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10101011110 3 +b110011110 & +b110011110 5 +b110011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5638 +0+ +#5639 +b10101011111 3 +b110011111 & +b110011111 5 +b110011111 G +1+ +#5640 +0+ +#5641 +b101011000 4 +b10101100000 3 +b110100000 & +b110100000 5 +b110100000 G +1+ +#5642 +0+ +#5643 +b101011000 . +b101011000 B +b101011000 : +b10101100001 3 +b110100001 & +b110100001 5 +b110100001 G +1+ +#5644 +0+ +#5645 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10101100010 3 +b110100010 & +b110100010 5 +b110100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5646 +0+ +#5647 +b10101100011 3 +b110100011 & +b110100011 5 +b110100011 G +1+ +#5648 +0+ +#5649 +b101011001 4 +b10101100100 3 +b110100100 & +b110100100 5 +b110100100 G +1+ +#5650 +0+ +#5651 +b101011001 . +b101011001 B +b101011001 : +b10101100101 3 +b110100101 & +b110100101 5 +b110100101 G +1+ +#5652 +0+ +#5653 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10101100110 3 +b110100110 & +b110100110 5 +b110100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5654 +0+ +#5655 +b10101100111 3 +b110100111 & +b110100111 5 +b110100111 G +1+ +#5656 +0+ +#5657 +b101011010 4 +b10101101000 3 +b110101000 & +b110101000 5 +b110101000 G +1+ +#5658 +0+ +#5659 +b101011010 . +b101011010 B +b101011010 : +b10101101001 3 +b110101001 & +b110101001 5 +b110101001 G +1+ +#5660 +0+ +#5661 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10101101010 3 +b110101010 & +b110101010 5 +b110101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5662 +0+ +#5663 +b10101101011 3 +b110101011 & +b110101011 5 +b110101011 G +1+ +#5664 +0+ +#5665 +b101011011 4 +b10101101100 3 +b110101100 & +b110101100 5 +b110101100 G +1+ +#5666 +0+ +#5667 +b101011011 . +b101011011 B +b101011011 : +b10101101101 3 +b110101101 & +b110101101 5 +b110101101 G +1+ +#5668 +0+ +#5669 +b1000 ' +b1000 6 +b100010000000 < +b10101101110 3 +b110101110 & +b110101110 5 +b110101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5670 +0+ +#5671 +b10101101111 3 +b110101111 & +b110101111 5 +b110101111 G +1+ +#5672 +0+ +#5673 +b101011100 4 +b10101110000 3 +b110110000 & +b110110000 5 +b110110000 G +1+ +#5674 +0+ +#5675 +b101011100 . +b101011100 B +b101011100 : +b10101110001 3 +b110110001 & +b110110001 5 +b110110001 G +1+ +#5676 +0+ +#5677 +b0 $ +b0 2 +b10000000 < +b10101110010 3 +b110110010 & +b110110010 5 +b110110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5678 +0+ +#5679 +b10101110011 3 +b110110011 & +b110110011 5 +b110110011 G +1+ +#5680 +0+ +#5681 +b101011101 4 +b10101110100 3 +b110110100 & +b110110100 5 +b110110100 G +1+ +#5682 +0+ +#5683 +b101011101 . +b101011101 B +b101011101 : +b10101110101 3 +b110110101 & +b110110101 5 +b110110101 G +1+ +#5684 +0+ +#5685 +b1000 * +b1000 9 +b10001000 < +b10101110110 3 +b110110110 & +b110110110 5 +b110110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5686 +0+ +#5687 +b10101110111 3 +b110110111 & +b110110111 5 +b110110111 G +1+ +#5688 +0+ +#5689 +b101011110 4 +b10101111000 3 +b110111000 & +b110111000 5 +b110111000 G +1+ +#5690 +0+ +#5691 +b101011110 . +b101011110 B +b101011110 : +b10101111001 3 +b110111001 & +b110111001 5 +b110111001 G +1+ +#5692 +0+ +#5693 +b0 ' +b0 6 +b1000 < +b10101111010 3 +b110111010 & +b110111010 5 +b110111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5694 +0+ +#5695 +b10101111011 3 +b110111011 & +b110111011 5 +b110111011 G +1+ +#5696 +0+ +#5697 +b101011111 4 +b10101111100 3 +b110111100 & +b110111100 5 +b110111100 G +1+ +#5698 +0+ +#5699 +b101011111 . +b101011111 B +b101011111 : +b10101111101 3 +b110111101 & +b110111101 5 +b110111101 G +1+ +#5700 +0+ +#5701 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10101111110 3 +b110111110 & +b110111110 5 +b110111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5702 +0+ +#5703 +b10101111111 3 +b110111111 & +b110111111 5 +b110111111 G +1+ +#5704 +0+ +#5705 +b101100000 4 +b10110000000 3 +b111000000 & +b111000000 5 +b111000000 G +1+ +#5706 +0+ +#5707 +b101100000 . +b101100000 B +b101100000 : +b10110000001 3 +b111000001 & +b111000001 5 +b111000001 G +1+ +#5708 +0+ +#5709 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10110000010 3 +b111000010 & +b111000010 5 +b111000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5710 +0+ +#5711 +b10110000011 3 +b111000011 & +b111000011 5 +b111000011 G +1+ +#5712 +0+ +#5713 +b101100001 4 +b10110000100 3 +b111000100 & +b111000100 5 +b111000100 G +1+ +#5714 +0+ +#5715 +b101100001 . +b101100001 B +b101100001 : +b10110000101 3 +b111000101 & +b111000101 5 +b111000101 G +1+ +#5716 +0+ +#5717 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10110000110 3 +b111000110 & +b111000110 5 +b111000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5718 +0+ +#5719 +b10110000111 3 +b111000111 & +b111000111 5 +b111000111 G +1+ +#5720 +0+ +#5721 +b101100010 4 +b10110001000 3 +b111001000 & +b111001000 5 +b111001000 G +1+ +#5722 +0+ +#5723 +b101100010 . +b101100010 B +b101100010 : +b10110001001 3 +b111001001 & +b111001001 5 +b111001001 G +1+ +#5724 +0+ +#5725 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10110001010 3 +b111001010 & +b111001010 5 +b111001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5726 +0+ +#5727 +b10110001011 3 +b111001011 & +b111001011 5 +b111001011 G +1+ +#5728 +0+ +#5729 +b101100011 4 +b10110001100 3 +b111001100 & +b111001100 5 +b111001100 G +1+ +#5730 +0+ +#5731 +b101100011 . +b101100011 B +b101100011 : +b10110001101 3 +b111001101 & +b111001101 5 +b111001101 G +1+ +#5732 +0+ +#5733 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10110001110 3 +b111001110 & +b111001110 5 +b111001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5734 +0+ +#5735 +b10110001111 3 +b111001111 & +b111001111 5 +b111001111 G +1+ +#5736 +0+ +#5737 +b101100100 4 +b10110010000 3 +b111010000 & +b111010000 5 +b111010000 G +1+ +#5738 +0+ +#5739 +b101100100 . +b101100100 B +b101100100 : +b10110010001 3 +b111010001 & +b111010001 5 +b111010001 G +1+ +#5740 +0+ +#5741 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10110010010 3 +b111010010 & +b111010010 5 +b111010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5742 +0+ +#5743 +b10110010011 3 +b111010011 & +b111010011 5 +b111010011 G +1+ +#5744 +0+ +#5745 +b101100101 4 +b10110010100 3 +b111010100 & +b111010100 5 +b111010100 G +1+ +#5746 +0+ +#5747 +b101100101 . +b101100101 B +b101100101 : +b10110010101 3 +b111010101 & +b111010101 5 +b111010101 G +1+ +#5748 +0+ +#5749 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10110010110 3 +b111010110 & +b111010110 5 +b111010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5750 +0+ +#5751 +b10110010111 3 +b111010111 & +b111010111 5 +b111010111 G +1+ +#5752 +0+ +#5753 +b101100110 4 +b10110011000 3 +b111011000 & +b111011000 5 +b111011000 G +1+ +#5754 +0+ +#5755 +b101100110 . +b101100110 B +b101100110 : +b10110011001 3 +b111011001 & +b111011001 5 +b111011001 G +1+ +#5756 +0+ +#5757 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10110011010 3 +b111011010 & +b111011010 5 +b111011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5758 +0+ +#5759 +b10110011011 3 +b111011011 & +b111011011 5 +b111011011 G +1+ +#5760 +0+ +#5761 +b101100111 4 +b10110011100 3 +b111011100 & +b111011100 5 +b111011100 G +1+ +#5762 +0+ +#5763 +b101100111 . +b101100111 B +b101100111 : +b10110011101 3 +b111011101 & +b111011101 5 +b111011101 G +1+ +#5764 +0+ +#5765 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10110011110 3 +b111011110 & +b111011110 5 +b111011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5766 +0+ +#5767 +b10110011111 3 +b111011111 & +b111011111 5 +b111011111 G +1+ +#5768 +0+ +#5769 +b101101000 4 +b10110100000 3 +b111100000 & +b111100000 5 +b111100000 G +1+ +#5770 +0+ +#5771 +b101101000 . +b101101000 B +b101101000 : +b10110100001 3 +b111100001 & +b111100001 5 +b111100001 G +1+ +#5772 +0+ +#5773 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10110100010 3 +b111100010 & +b111100010 5 +b111100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5774 +0+ +#5775 +b10110100011 3 +b111100011 & +b111100011 5 +b111100011 G +1+ +#5776 +0+ +#5777 +b101101001 4 +b10110100100 3 +b111100100 & +b111100100 5 +b111100100 G +1+ +#5778 +0+ +#5779 +b101101001 . +b101101001 B +b101101001 : +b10110100101 3 +b111100101 & +b111100101 5 +b111100101 G +1+ +#5780 +0+ +#5781 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10110100110 3 +b111100110 & +b111100110 5 +b111100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5782 +0+ +#5783 +b10110100111 3 +b111100111 & +b111100111 5 +b111100111 G +1+ +#5784 +0+ +#5785 +b101101010 4 +b10110101000 3 +b111101000 & +b111101000 5 +b111101000 G +1+ +#5786 +0+ +#5787 +b101101010 . +b101101010 B +b101101010 : +b10110101001 3 +b111101001 & +b111101001 5 +b111101001 G +1+ +#5788 +0+ +#5789 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10110101010 3 +b111101010 & +b111101010 5 +b111101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5790 +0+ +#5791 +b10110101011 3 +b111101011 & +b111101011 5 +b111101011 G +1+ +#5792 +0+ +#5793 +b101101011 4 +b10110101100 3 +b111101100 & +b111101100 5 +b111101100 G +1+ +#5794 +0+ +#5795 +b101101011 . +b101101011 B +b101101011 : +b10110101101 3 +b111101101 & +b111101101 5 +b111101101 G +1+ +#5796 +0+ +#5797 +b1000 ' +b1000 6 +b100010000000 < +b10110101110 3 +b111101110 & +b111101110 5 +b111101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5798 +0+ +#5799 +b10110101111 3 +b111101111 & +b111101111 5 +b111101111 G +1+ +#5800 +0+ +#5801 +b101101100 4 +b10110110000 3 +b111110000 & +b111110000 5 +b111110000 G +1+ +#5802 +0+ +#5803 +b101101100 . +b101101100 B +b101101100 : +b10110110001 3 +b111110001 & +b111110001 5 +b111110001 G +1+ +#5804 +0+ +#5805 +b0 $ +b0 2 +b10000000 < +b10110110010 3 +b111110010 & +b111110010 5 +b111110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5806 +0+ +#5807 +b10110110011 3 +b111110011 & +b111110011 5 +b111110011 G +1+ +#5808 +0+ +#5809 +b101101101 4 +b10110110100 3 +b111110100 & +b111110100 5 +b111110100 G +1+ +#5810 +0+ +#5811 +b101101101 . +b101101101 B +b101101101 : +b10110110101 3 +b111110101 & +b111110101 5 +b111110101 G +1+ +#5812 +0+ +#5813 +b1000 * +b1000 9 +b10001000 < +b10110110110 3 +b111110110 & +b111110110 5 +b111110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5814 +0+ +#5815 +b10110110111 3 +b111110111 & +b111110111 5 +b111110111 G +1+ +#5816 +0+ +#5817 +b101101110 4 +b10110111000 3 +b111111000 & +b111111000 5 +b111111000 G +1+ +#5818 +0+ +#5819 +b101101110 . +b101101110 B +b101101110 : +b10110111001 3 +b111111001 & +b111111001 5 +b111111001 G +1+ +#5820 +0+ +#5821 +b0 ' +b0 6 +b1000 < +b10110111010 3 +b111111010 & +b111111010 5 +b111111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5822 +0+ +#5823 +b10110111011 3 +b111111011 & +b111111011 5 +b111111011 G +1+ +#5824 +0+ +#5825 +b101101111 4 +b10110111100 3 +b111111100 & +b111111100 5 +b111111100 G +1+ +#5826 +0+ +#5827 +b101101111 . +b101101111 B +b101101111 : +b10110111101 3 +b111111101 & +b111111101 5 +b111111101 G +1+ +#5828 +0+ +#5829 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10110111110 3 +b111111110 & +b111111110 5 +b111111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5830 +0+ +#5831 +b10110111111 3 +b111111111 & +b111111111 5 +b111111111 G +1+ +#5832 +0+ +#5833 +b101110000 4 +b10111000000 3 +b1000000000 & +b1000000000 5 +b1000000000 G +1+ +#5834 +0+ +#5835 +b101110000 . +b101110000 B +b101110000 : +b10111000001 3 +b1000000001 & +b1000000001 5 +b1000000001 G +1+ +#5836 +0+ +#5837 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10111000010 3 +b1000000010 & +b1000000010 5 +b1000000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5838 +0+ +#5839 +b10111000011 3 +b1000000011 & +b1000000011 5 +b1000000011 G +1+ +#5840 +0+ +#5841 +b101110001 4 +b10111000100 3 +b1000000100 & +b1000000100 5 +b1000000100 G +1+ +#5842 +0+ +#5843 +b101110001 . +b101110001 B +b101110001 : +b10111000101 3 +b1000000101 & +b1000000101 5 +b1000000101 G +1+ +#5844 +0+ +#5845 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10111000110 3 +b1000000110 & +b1000000110 5 +b1000000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5846 +0+ +#5847 +b10111000111 3 +b1000000111 & +b1000000111 5 +b1000000111 G +1+ +#5848 +0+ +#5849 +b101110010 4 +b10111001000 3 +b1000001000 & +b1000001000 5 +b1000001000 G +1+ +#5850 +0+ +#5851 +b101110010 . +b101110010 B +b101110010 : +b10111001001 3 +b1000001001 & +b1000001001 5 +b1000001001 G +1+ +#5852 +0+ +#5853 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10111001010 3 +b1000001010 & +b1000001010 5 +b1000001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5854 +0+ +#5855 +b10111001011 3 +b1000001011 & +b1000001011 5 +b1000001011 G +1+ +#5856 +0+ +#5857 +b101110011 4 +b10111001100 3 +b1000001100 & +b1000001100 5 +b1000001100 G +1+ +#5858 +0+ +#5859 +b101110011 . +b101110011 B +b101110011 : +b10111001101 3 +b1000001101 & +b1000001101 5 +b1000001101 G +1+ +#5860 +0+ +#5861 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10111001110 3 +b1000001110 & +b1000001110 5 +b1000001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5862 +0+ +#5863 +b10111001111 3 +b1000001111 & +b1000001111 5 +b1000001111 G +1+ +#5864 +0+ +#5865 +b101110100 4 +b10111010000 3 +b1000010000 & +b1000010000 5 +b1000010000 G +1+ +#5866 +0+ +#5867 +b101110100 . +b101110100 B +b101110100 : +b10111010001 3 +b1000010001 & +b1000010001 5 +b1000010001 G +1+ +#5868 +0+ +#5869 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10111010010 3 +b1000010010 & +b1000010010 5 +b1000010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5870 +0+ +#5871 +b10111010011 3 +b1000010011 & +b1000010011 5 +b1000010011 G +1+ +#5872 +0+ +#5873 +b101110101 4 +b10111010100 3 +b1000010100 & +b1000010100 5 +b1000010100 G +1+ +#5874 +0+ +#5875 +b101110101 . +b101110101 B +b101110101 : +b10111010101 3 +b1000010101 & +b1000010101 5 +b1000010101 G +1+ +#5876 +0+ +#5877 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10111010110 3 +b1000010110 & +b1000010110 5 +b1000010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#5878 +0+ +#5879 +b10111010111 3 +b1000010111 & +b1000010111 5 +b1000010111 G +1+ +#5880 +0+ +#5881 +b101110110 4 +b10111011000 3 +b1000011000 & +b1000011000 5 +b1000011000 G +1+ +#5882 +0+ +#5883 +b101110110 . +b101110110 B +b101110110 : +b10111011001 3 +b1000011001 & +b1000011001 5 +b1000011001 G +1+ +#5884 +0+ +#5885 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10111011010 3 +b1000011010 & +b1000011010 5 +b1000011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#5886 +0+ +#5887 +b10111011011 3 +b1000011011 & +b1000011011 5 +b1000011011 G +1+ +#5888 +0+ +#5889 +b101110111 4 +b10111011100 3 +b1000011100 & +b1000011100 5 +b1000011100 G +1+ +#5890 +0+ +#5891 +b101110111 . +b101110111 B +b101110111 : +b10111011101 3 +b1000011101 & +b1000011101 5 +b1000011101 G +1+ +#5892 +0+ +#5893 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10111011110 3 +b1000011110 & +b1000011110 5 +b1000011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#5894 +0+ +#5895 +b10111011111 3 +b1000011111 & +b1000011111 5 +b1000011111 G +1+ +#5896 +0+ +#5897 +b101111000 4 +b10111100000 3 +b1000100000 & +b1000100000 5 +b1000100000 G +1+ +#5898 +0+ +#5899 +b101111000 . +b101111000 B +b101111000 : +b10111100001 3 +b1000100001 & +b1000100001 5 +b1000100001 G +1+ +#5900 +0+ +#5901 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10111100010 3 +b1000100010 & +b1000100010 5 +b1000100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#5902 +0+ +#5903 +b10111100011 3 +b1000100011 & +b1000100011 5 +b1000100011 G +1+ +#5904 +0+ +#5905 +b101111001 4 +b10111100100 3 +b1000100100 & +b1000100100 5 +b1000100100 G +1+ +#5906 +0+ +#5907 +b101111001 . +b101111001 B +b101111001 : +b10111100101 3 +b1000100101 & +b1000100101 5 +b1000100101 G +1+ +#5908 +0+ +#5909 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10111100110 3 +b1000100110 & +b1000100110 5 +b1000100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#5910 +0+ +#5911 +b10111100111 3 +b1000100111 & +b1000100111 5 +b1000100111 G +1+ +#5912 +0+ +#5913 +b101111010 4 +b10111101000 3 +b1000101000 & +b1000101000 5 +b1000101000 G +1+ +#5914 +0+ +#5915 +b101111010 . +b101111010 B +b101111010 : +b10111101001 3 +b1000101001 & +b1000101001 5 +b1000101001 G +1+ +#5916 +0+ +#5917 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10111101010 3 +b1000101010 & +b1000101010 5 +b1000101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#5918 +0+ +#5919 +b10111101011 3 +b1000101011 & +b1000101011 5 +b1000101011 G +1+ +#5920 +0+ +#5921 +b101111011 4 +b10111101100 3 +b1000101100 & +b1000101100 5 +b1000101100 G +1+ +#5922 +0+ +#5923 +b101111011 . +b101111011 B +b101111011 : +b10111101101 3 +b1000101101 & +b1000101101 5 +b1000101101 G +1+ +#5924 +0+ +#5925 +b1000 ' +b1000 6 +b100010000000 < +b10111101110 3 +b1000101110 & +b1000101110 5 +b1000101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#5926 +0+ +#5927 +b10111101111 3 +b1000101111 & +b1000101111 5 +b1000101111 G +1+ +#5928 +0+ +#5929 +b101111100 4 +b10111110000 3 +b1000110000 & +b1000110000 5 +b1000110000 G +1+ +#5930 +0+ +#5931 +b101111100 . +b101111100 B +b101111100 : +b10111110001 3 +b1000110001 & +b1000110001 5 +b1000110001 G +1+ +#5932 +0+ +#5933 +b0 $ +b0 2 +b10000000 < +b10111110010 3 +b1000110010 & +b1000110010 5 +b1000110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#5934 +0+ +#5935 +b10111110011 3 +b1000110011 & +b1000110011 5 +b1000110011 G +1+ +#5936 +0+ +#5937 +b101111101 4 +b10111110100 3 +b1000110100 & +b1000110100 5 +b1000110100 G +1+ +#5938 +0+ +#5939 +b101111101 . +b101111101 B +b101111101 : +b10111110101 3 +b1000110101 & +b1000110101 5 +b1000110101 G +1+ +#5940 +0+ +#5941 +b1000 * +b1000 9 +b10001000 < +b10111110110 3 +b1000110110 & +b1000110110 5 +b1000110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#5942 +0+ +#5943 +b10111110111 3 +b1000110111 & +b1000110111 5 +b1000110111 G +1+ +#5944 +0+ +#5945 +b101111110 4 +b10111111000 3 +b1000111000 & +b1000111000 5 +b1000111000 G +1+ +#5946 +0+ +#5947 +b101111110 . +b101111110 B +b101111110 : +b10111111001 3 +b1000111001 & +b1000111001 5 +b1000111001 G +1+ +#5948 +0+ +#5949 +b0 ' +b0 6 +b1000 < +b10111111010 3 +b1000111010 & +b1000111010 5 +b1000111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#5950 +0+ +#5951 +b10111111011 3 +b1000111011 & +b1000111011 5 +b1000111011 G +1+ +#5952 +0+ +#5953 +b101111111 4 +b10111111100 3 +b1000111100 & +b1000111100 5 +b1000111100 G +1+ +#5954 +0+ +#5955 +b101111111 . +b101111111 B +b101111111 : +b10111111101 3 +b1000111101 & +b1000111101 5 +b1000111101 G +1+ +#5956 +0+ +#5957 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10111111110 3 +b1000111110 & +b1000111110 5 +b1000111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#5958 +0+ +#5959 +b10111111111 3 +b1000111111 & +b1000111111 5 +b1000111111 G +1+ +#5960 +0+ +#5961 +b110000000 4 +b11000000000 3 +b1001000000 & +b1001000000 5 +b1001000000 G +1+ +#5962 +0+ +#5963 +b110000000 . +b110000000 B +b110000000 : +b11000000001 3 +b1001000001 & +b1001000001 5 +b1001000001 G +1+ +#5964 +0+ +#5965 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11000000010 3 +b1001000010 & +b1001000010 5 +b1001000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#5966 +0+ +#5967 +b11000000011 3 +b1001000011 & +b1001000011 5 +b1001000011 G +1+ +#5968 +0+ +#5969 +b110000001 4 +b11000000100 3 +b1001000100 & +b1001000100 5 +b1001000100 G +1+ +#5970 +0+ +#5971 +b110000001 . +b110000001 B +b110000001 : +b11000000101 3 +b1001000101 & +b1001000101 5 +b1001000101 G +1+ +#5972 +0+ +#5973 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11000000110 3 +b1001000110 & +b1001000110 5 +b1001000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#5974 +0+ +#5975 +b11000000111 3 +b1001000111 & +b1001000111 5 +b1001000111 G +1+ +#5976 +0+ +#5977 +b110000010 4 +b11000001000 3 +b1001001000 & +b1001001000 5 +b1001001000 G +1+ +#5978 +0+ +#5979 +b110000010 . +b110000010 B +b110000010 : +b11000001001 3 +b1001001001 & +b1001001001 5 +b1001001001 G +1+ +#5980 +0+ +#5981 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11000001010 3 +b1001001010 & +b1001001010 5 +b1001001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#5982 +0+ +#5983 +b11000001011 3 +b1001001011 & +b1001001011 5 +b1001001011 G +1+ +#5984 +0+ +#5985 +b110000011 4 +b11000001100 3 +b1001001100 & +b1001001100 5 +b1001001100 G +1+ +#5986 +0+ +#5987 +b110000011 . +b110000011 B +b110000011 : +b11000001101 3 +b1001001101 & +b1001001101 5 +b1001001101 G +1+ +#5988 +0+ +#5989 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11000001110 3 +b1001001110 & +b1001001110 5 +b1001001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#5990 +0+ +#5991 +b11000001111 3 +b1001001111 & +b1001001111 5 +b1001001111 G +1+ +#5992 +0+ +#5993 +b110000100 4 +b11000010000 3 +b1001010000 & +b1001010000 5 +b1001010000 G +1+ +#5994 +0+ +#5995 +b110000100 . +b110000100 B +b110000100 : +b11000010001 3 +b1001010001 & +b1001010001 5 +b1001010001 G +1+ +#5996 +0+ +#5997 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11000010010 3 +b1001010010 & +b1001010010 5 +b1001010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#5998 +0+ +#5999 +b11000010011 3 +b1001010011 & +b1001010011 5 +b1001010011 G +1+ +#6000 +0+ +#6001 +b110000101 4 +b11000010100 3 +b1001010100 & +b1001010100 5 +b1001010100 G +1+ +#6002 +0+ +#6003 +b110000101 . +b110000101 B +b110000101 : +b11000010101 3 +b1001010101 & +b1001010101 5 +b1001010101 G +1+ +#6004 +0+ +#6005 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11000010110 3 +b1001010110 & +b1001010110 5 +b1001010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6006 +0+ +#6007 +b11000010111 3 +b1001010111 & +b1001010111 5 +b1001010111 G +1+ +#6008 +0+ +#6009 +b110000110 4 +b11000011000 3 +b1001011000 & +b1001011000 5 +b1001011000 G +1+ +#6010 +0+ +#6011 +b110000110 . +b110000110 B +b110000110 : +b11000011001 3 +b1001011001 & +b1001011001 5 +b1001011001 G +1+ +#6012 +0+ +#6013 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11000011010 3 +b1001011010 & +b1001011010 5 +b1001011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6014 +0+ +#6015 +b11000011011 3 +b1001011011 & +b1001011011 5 +b1001011011 G +1+ +#6016 +0+ +#6017 +b110000111 4 +b11000011100 3 +b1001011100 & +b1001011100 5 +b1001011100 G +1+ +#6018 +0+ +#6019 +b110000111 . +b110000111 B +b110000111 : +b11000011101 3 +b1001011101 & +b1001011101 5 +b1001011101 G +1+ +#6020 +0+ +#6021 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11000011110 3 +b1001011110 & +b1001011110 5 +b1001011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6022 +0+ +#6023 +b11000011111 3 +b1001011111 & +b1001011111 5 +b1001011111 G +1+ +#6024 +0+ +#6025 +b110001000 4 +b11000100000 3 +b1001100000 & +b1001100000 5 +b1001100000 G +1+ +#6026 +0+ +#6027 +b110001000 . +b110001000 B +b110001000 : +b11000100001 3 +b1001100001 & +b1001100001 5 +b1001100001 G +1+ +#6028 +0+ +#6029 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11000100010 3 +b1001100010 & +b1001100010 5 +b1001100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6030 +0+ +#6031 +b11000100011 3 +b1001100011 & +b1001100011 5 +b1001100011 G +1+ +#6032 +0+ +#6033 +b110001001 4 +b11000100100 3 +b1001100100 & +b1001100100 5 +b1001100100 G +1+ +#6034 +0+ +#6035 +b110001001 . +b110001001 B +b110001001 : +b11000100101 3 +b1001100101 & +b1001100101 5 +b1001100101 G +1+ +#6036 +0+ +#6037 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11000100110 3 +b1001100110 & +b1001100110 5 +b1001100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6038 +0+ +#6039 +b11000100111 3 +b1001100111 & +b1001100111 5 +b1001100111 G +1+ +#6040 +0+ +#6041 +b110001010 4 +b11000101000 3 +b1001101000 & +b1001101000 5 +b1001101000 G +1+ +#6042 +0+ +#6043 +b110001010 . +b110001010 B +b110001010 : +b11000101001 3 +b1001101001 & +b1001101001 5 +b1001101001 G +1+ +#6044 +0+ +#6045 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11000101010 3 +b1001101010 & +b1001101010 5 +b1001101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6046 +0+ +#6047 +b11000101011 3 +b1001101011 & +b1001101011 5 +b1001101011 G +1+ +#6048 +0+ +#6049 +b110001011 4 +b11000101100 3 +b1001101100 & +b1001101100 5 +b1001101100 G +1+ +#6050 +0+ +#6051 +b110001011 . +b110001011 B +b110001011 : +b11000101101 3 +b1001101101 & +b1001101101 5 +b1001101101 G +1+ +#6052 +0+ +#6053 +b1000 ' +b1000 6 +b100010000000 < +b11000101110 3 +b1001101110 & +b1001101110 5 +b1001101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6054 +0+ +#6055 +b11000101111 3 +b1001101111 & +b1001101111 5 +b1001101111 G +1+ +#6056 +0+ +#6057 +b110001100 4 +b11000110000 3 +b1001110000 & +b1001110000 5 +b1001110000 G +1+ +#6058 +0+ +#6059 +b110001100 . +b110001100 B +b110001100 : +b11000110001 3 +b1001110001 & +b1001110001 5 +b1001110001 G +1+ +#6060 +0+ +#6061 +b0 $ +b0 2 +b10000000 < +b11000110010 3 +b1001110010 & +b1001110010 5 +b1001110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6062 +0+ +#6063 +b11000110011 3 +b1001110011 & +b1001110011 5 +b1001110011 G +1+ +#6064 +0+ +#6065 +b110001101 4 +b11000110100 3 +b1001110100 & +b1001110100 5 +b1001110100 G +1+ +#6066 +0+ +#6067 +b110001101 . +b110001101 B +b110001101 : +b11000110101 3 +b1001110101 & +b1001110101 5 +b1001110101 G +1+ +#6068 +0+ +#6069 +b1000 * +b1000 9 +b10001000 < +b11000110110 3 +b1001110110 & +b1001110110 5 +b1001110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6070 +0+ +#6071 +b11000110111 3 +b1001110111 & +b1001110111 5 +b1001110111 G +1+ +#6072 +0+ +#6073 +b110001110 4 +b11000111000 3 +b1001111000 & +b1001111000 5 +b1001111000 G +1+ +#6074 +0+ +#6075 +b110001110 . +b110001110 B +b110001110 : +b11000111001 3 +b1001111001 & +b1001111001 5 +b1001111001 G +1+ +#6076 +0+ +#6077 +b0 ' +b0 6 +b1000 < +b11000111010 3 +b1001111010 & +b1001111010 5 +b1001111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6078 +0+ +#6079 +b11000111011 3 +b1001111011 & +b1001111011 5 +b1001111011 G +1+ +#6080 +0+ +#6081 +b110001111 4 +b11000111100 3 +b1001111100 & +b1001111100 5 +b1001111100 G +1+ +#6082 +0+ +#6083 +b110001111 . +b110001111 B +b110001111 : +b11000111101 3 +b1001111101 & +b1001111101 5 +b1001111101 G +1+ +#6084 +0+ +#6085 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11000111110 3 +b1001111110 & +b1001111110 5 +b1001111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6086 +0+ +#6087 +b11000111111 3 +b1001111111 & +b1001111111 5 +b1001111111 G +1+ +#6088 +0+ +#6089 +0( +b110010000 4 +b11001000000 3 +b1010000000 & +b1010000000 5 +b1010000000 G +1+ +#6090 +0+ +#6091 +b110010000 . +b110010000 B +b110010000 : +b11001000001 3 +b1010000001 & +b1010000001 5 +b1010000001 G +1+ +#6092 +0+ +#6093 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11001000010 3 +b1010000010 & +b1010000010 5 +b1010000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6094 +0+ +#6095 +b11001000011 3 +b1010000011 & +b1010000011 5 +b1010000011 G +1+ +#6096 +0+ +#6097 +b110010001 4 +b11001000100 3 +b1010000100 & +b1010000100 5 +b1010000100 G +1+ +#6098 +0+ +#6099 +b110010001 . +b110010001 B +b110010001 : +b11001000101 3 +b1010000101 & +b1010000101 5 +b1010000101 G +1+ +#6100 +0+ +#6101 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11001000110 3 +b1010000110 & +b1010000110 5 +b1010000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6102 +0+ +#6103 +b11001000111 3 +b1010000111 & +b1010000111 5 +b1010000111 G +1+ +#6104 +0+ +#6105 +b110010010 4 +b11001001000 3 +b1010001000 & +b1010001000 5 +b1010001000 G +1+ +#6106 +0+ +#6107 +b110010010 . +b110010010 B +b110010010 : +b11001001001 3 +b1010001001 & +b1010001001 5 +b1010001001 G +1+ +#6108 +0+ +#6109 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11001001010 3 +b1010001010 & +b1010001010 5 +b1010001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6110 +0+ +#6111 +b11001001011 3 +b1010001011 & +b1010001011 5 +b1010001011 G +1+ +#6112 +0+ +#6113 +b110010011 4 +b11001001100 3 +b1010001100 & +b1010001100 5 +b1010001100 G +1+ +#6114 +0+ +#6115 +b110010011 . +b110010011 B +b110010011 : +b11001001101 3 +b1010001101 & +b1010001101 5 +b1010001101 G +1+ +#6116 +0+ +#6117 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11001001110 3 +b1010001110 & +b1010001110 5 +b1010001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6118 +0+ +#6119 +b11001001111 3 +b1010001111 & +b1010001111 5 +b1010001111 G +1+ +#6120 +0+ +#6121 +0% +b110010100 4 +b11001010000 3 +b1010010000 & +b1010010000 5 +b1010010000 G +1+ +#6122 +0+ +#6123 +b110010100 . +b110010100 B +b110010100 : +b11001010001 3 +b1010010001 & +b1010010001 5 +b1010010001 G +1+ +#6124 +0+ +#6125 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11001010010 3 +b1010010010 & +b1010010010 5 +b1010010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6126 +0+ +#6127 +b11001010011 3 +b1010010011 & +b1010010011 5 +b1010010011 G +1+ +#6128 +0+ +#6129 +b110010101 4 +b11001010100 3 +b1010010100 & +b1010010100 5 +b1010010100 G +1+ +#6130 +0+ +#6131 +b110010101 . +b110010101 B +b110010101 : +b11001010101 3 +b1010010101 & +b1010010101 5 +b1010010101 G +1+ +#6132 +0+ +#6133 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11001010110 3 +b1010010110 & +b1010010110 5 +b1010010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6134 +0+ +#6135 +b11001010111 3 +b1010010111 & +b1010010111 5 +b1010010111 G +1+ +#6136 +0+ +#6137 +b110010110 4 +b11001011000 3 +b1010011000 & +b1010011000 5 +b1010011000 G +1+ +#6138 +0+ +#6139 +b110010110 . +b110010110 B +b110010110 : +b11001011001 3 +b1010011001 & +b1010011001 5 +b1010011001 G +1+ +#6140 +0+ +#6141 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11001011010 3 +b1010011010 & +b1010011010 5 +b1010011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6142 +0+ +#6143 +b11001011011 3 +b1010011011 & +b1010011011 5 +b1010011011 G +1+ +#6144 +0+ +#6145 +b110010111 4 +b11001011100 3 +b1010011100 & +b1010011100 5 +b1010011100 G +1+ +#6146 +0+ +#6147 +b110010111 . +b110010111 B +b110010111 : +b11001011101 3 +b1010011101 & +b1010011101 5 +b1010011101 G +1+ +#6148 +0+ +#6149 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11001011110 3 +b1010011110 & +b1010011110 5 +b1010011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6150 +0+ +#6151 +b11001011111 3 +b1010011111 & +b1010011111 5 +b1010011111 G +1+ +#6152 +0+ +#6153 +b110011000 4 +b11001100000 3 +b1010100000 & +b1010100000 5 +b1010100000 G +1+ +#6154 +0+ +#6155 +b110011000 . +b110011000 B +b110011000 : +b11001100001 3 +b1010100001 & +b1010100001 5 +b1010100001 G +1+ +#6156 +0+ +#6157 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11001100010 3 +b1010100010 & +b1010100010 5 +b1010100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6158 +0+ +#6159 +b11001100011 3 +b1010100011 & +b1010100011 5 +b1010100011 G +1+ +#6160 +0+ +#6161 +b110011001 4 +b11001100100 3 +b1010100100 & +b1010100100 5 +b1010100100 G +1+ +#6162 +0+ +#6163 +b110011001 . +b110011001 B +b110011001 : +b11001100101 3 +b1010100101 & +b1010100101 5 +b1010100101 G +1+ +#6164 +0+ +#6165 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11001100110 3 +b1010100110 & +b1010100110 5 +b1010100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6166 +0+ +#6167 +b11001100111 3 +b1010100111 & +b1010100111 5 +b1010100111 G +1+ +#6168 +0+ +#6169 +b110011010 4 +b11001101000 3 +b1010101000 & +b1010101000 5 +b1010101000 G +1+ +#6170 +0+ +#6171 +b110011010 . +b110011010 B +b110011010 : +b11001101001 3 +b1010101001 & +b1010101001 5 +b1010101001 G +1+ +#6172 +0+ +#6173 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11001101010 3 +b1010101010 & +b1010101010 5 +b1010101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6174 +0+ +#6175 +b11001101011 3 +b1010101011 & +b1010101011 5 +b1010101011 G +1+ +#6176 +0+ +#6177 +b110011011 4 +b11001101100 3 +b1010101100 & +b1010101100 5 +b1010101100 G +1+ +#6178 +0+ +#6179 +b110011011 . +b110011011 B +b110011011 : +b11001101101 3 +b1010101101 & +b1010101101 5 +b1010101101 G +1+ +#6180 +0+ +#6181 +b1000 ' +b1000 6 +b100010000000 < +b11001101110 3 +b1010101110 & +b1010101110 5 +b1010101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6182 +0+ +#6183 +b11001101111 3 +b1010101111 & +b1010101111 5 +b1010101111 G +1+ +#6184 +0+ +#6185 +b110011100 4 +b11001110000 3 +b1010110000 & +b1010110000 5 +b1010110000 G +1+ +#6186 +0+ +#6187 +b110011100 . +b110011100 B +b110011100 : +b11001110001 3 +b1010110001 & +b1010110001 5 +b1010110001 G +1+ +#6188 +0+ +#6189 +b0 $ +b0 2 +b10000000 < +b11001110010 3 +b1010110010 & +b1010110010 5 +b1010110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6190 +0+ +#6191 +b11001110011 3 +b1010110011 & +b1010110011 5 +b1010110011 G +1+ +#6192 +0+ +#6193 +b110011101 4 +b11001110100 3 +b1010110100 & +b1010110100 5 +b1010110100 G +1+ +#6194 +0+ +#6195 +b110011101 . +b110011101 B +b110011101 : +b11001110101 3 +b1010110101 & +b1010110101 5 +b1010110101 G +1+ +#6196 +0+ +#6197 +b1000 * +b1000 9 +b10001000 < +b11001110110 3 +b1010110110 & +b1010110110 5 +b1010110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6198 +0+ +#6199 +b11001110111 3 +b1010110111 & +b1010110111 5 +b1010110111 G +1+ +#6200 +0+ +#6201 +b110011110 4 +b11001111000 3 +b1010111000 & +b1010111000 5 +b1010111000 G +1+ +#6202 +0+ +#6203 +b110011110 . +b110011110 B +b110011110 : +b11001111001 3 +b1010111001 & +b1010111001 5 +b1010111001 G +1+ +#6204 +0+ +#6205 +b0 ' +b0 6 +b1000 < +b11001111010 3 +b1010111010 & +b1010111010 5 +b1010111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6206 +0+ +#6207 +b11001111011 3 +b1010111011 & +b1010111011 5 +b1010111011 G +1+ +#6208 +0+ +#6209 +b110011111 4 +b11001111100 3 +b1010111100 & +b1010111100 5 +b1010111100 G +1+ +#6210 +0+ +#6211 +b110011111 . +b110011111 B +b110011111 : +b11001111101 3 +b1010111101 & +b1010111101 5 +b1010111101 G +1+ +#6212 +0+ +#6213 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11001111110 3 +b1010111110 & +b1010111110 5 +b1010111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6214 +0+ +#6215 +b11001111111 3 +b1010111111 & +b1010111111 5 +b1010111111 G +1+ +#6216 +0+ +#6217 +b110100000 4 +b11010000000 3 +b1011000000 & +b1011000000 5 +b1011000000 G +1+ +#6218 +0+ +#6219 +b110100000 . +b110100000 B +b110100000 : +b11010000001 3 +b1011000001 & +b1011000001 5 +b1011000001 G +1+ +#6220 +0+ +#6221 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11010000010 3 +b1011000010 & +b1011000010 5 +b1011000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6222 +0+ +#6223 +b11010000011 3 +b1011000011 & +b1011000011 5 +b1011000011 G +1+ +#6224 +0+ +#6225 +b110100001 4 +b11010000100 3 +b1011000100 & +b1011000100 5 +b1011000100 G +1+ +#6226 +0+ +#6227 +b110100001 . +b110100001 B +b110100001 : +b11010000101 3 +b1011000101 & +b1011000101 5 +b1011000101 G +1+ +#6228 +0+ +#6229 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11010000110 3 +b1011000110 & +b1011000110 5 +b1011000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6230 +0+ +#6231 +b11010000111 3 +b1011000111 & +b1011000111 5 +b1011000111 G +1+ +#6232 +0+ +#6233 +b110100010 4 +b11010001000 3 +b1011001000 & +b1011001000 5 +b1011001000 G +1+ +#6234 +0+ +#6235 +b110100010 . +b110100010 B +b110100010 : +b11010001001 3 +b1011001001 & +b1011001001 5 +b1011001001 G +1+ +#6236 +0+ +#6237 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11010001010 3 +b1011001010 & +b1011001010 5 +b1011001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6238 +0+ +#6239 +b11010001011 3 +b1011001011 & +b1011001011 5 +b1011001011 G +1+ +#6240 +0+ +#6241 +b110100011 4 +b11010001100 3 +b1011001100 & +b1011001100 5 +b1011001100 G +1+ +#6242 +0+ +#6243 +b110100011 . +b110100011 B +b110100011 : +b11010001101 3 +b1011001101 & +b1011001101 5 +b1011001101 G +1+ +#6244 +0+ +#6245 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11010001110 3 +b1011001110 & +b1011001110 5 +b1011001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6246 +0+ +#6247 +b11010001111 3 +b1011001111 & +b1011001111 5 +b1011001111 G +1+ +#6248 +0+ +#6249 +b110100100 4 +b11010010000 3 +b1011010000 & +b1011010000 5 +b1011010000 G +1+ +#6250 +0+ +#6251 +b110100100 . +b110100100 B +b110100100 : +b11010010001 3 +b1011010001 & +b1011010001 5 +b1011010001 G +1+ +#6252 +0+ +#6253 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11010010010 3 +b1011010010 & +b1011010010 5 +b1011010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6254 +0+ +#6255 +b11010010011 3 +b1011010011 & +b1011010011 5 +b1011010011 G +1+ +#6256 +0+ +#6257 +b110100101 4 +b11010010100 3 +b1011010100 & +b1011010100 5 +b1011010100 G +1+ +#6258 +0+ +#6259 +b110100101 . +b110100101 B +b110100101 : +b11010010101 3 +b1011010101 & +b1011010101 5 +b1011010101 G +1+ +#6260 +0+ +#6261 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11010010110 3 +b1011010110 & +b1011010110 5 +b1011010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6262 +0+ +#6263 +b11010010111 3 +b1011010111 & +b1011010111 5 +b1011010111 G +1+ +#6264 +0+ +#6265 +b110100110 4 +b11010011000 3 +b1011011000 & +b1011011000 5 +b1011011000 G +1+ +#6266 +0+ +#6267 +b110100110 . +b110100110 B +b110100110 : +b11010011001 3 +b1011011001 & +b1011011001 5 +b1011011001 G +1+ +#6268 +0+ +#6269 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11010011010 3 +b1011011010 & +b1011011010 5 +b1011011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6270 +0+ +#6271 +b11010011011 3 +b1011011011 & +b1011011011 5 +b1011011011 G +1+ +#6272 +0+ +#6273 +b110100111 4 +b11010011100 3 +b1011011100 & +b1011011100 5 +b1011011100 G +1+ +#6274 +0+ +#6275 +b110100111 . +b110100111 B +b110100111 : +b11010011101 3 +b1011011101 & +b1011011101 5 +b1011011101 G +1+ +#6276 +0+ +#6277 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11010011110 3 +b1011011110 & +b1011011110 5 +b1011011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6278 +0+ +#6279 +b11010011111 3 +b1011011111 & +b1011011111 5 +b1011011111 G +1+ +#6280 +0+ +#6281 +b110101000 4 +b11010100000 3 +b1011100000 & +b1011100000 5 +b1011100000 G +1+ +#6282 +0+ +#6283 +b110101000 . +b110101000 B +b110101000 : +b11010100001 3 +b1011100001 & +b1011100001 5 +b1011100001 G +1+ +#6284 +0+ +#6285 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11010100010 3 +b1011100010 & +b1011100010 5 +b1011100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6286 +0+ +#6287 +b11010100011 3 +b1011100011 & +b1011100011 5 +b1011100011 G +1+ +#6288 +0+ +#6289 +b110101001 4 +b11010100100 3 +b1011100100 & +b1011100100 5 +b1011100100 G +1+ +#6290 +0+ +#6291 +b110101001 . +b110101001 B +b110101001 : +b11010100101 3 +b1011100101 & +b1011100101 5 +b1011100101 G +1+ +#6292 +0+ +#6293 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11010100110 3 +b1011100110 & +b1011100110 5 +b1011100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6294 +0+ +#6295 +b11010100111 3 +b1011100111 & +b1011100111 5 +b1011100111 G +1+ +#6296 +0+ +#6297 +b110101010 4 +b11010101000 3 +b1011101000 & +b1011101000 5 +b1011101000 G +1+ +#6298 +0+ +#6299 +b110101010 . +b110101010 B +b110101010 : +b11010101001 3 +b1011101001 & +b1011101001 5 +b1011101001 G +1+ +#6300 +0+ +#6301 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11010101010 3 +b1011101010 & +b1011101010 5 +b1011101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6302 +0+ +#6303 +b11010101011 3 +b1011101011 & +b1011101011 5 +b1011101011 G +1+ +#6304 +0+ +#6305 +b110101011 4 +b11010101100 3 +b1011101100 & +b1011101100 5 +b1011101100 G +1+ +#6306 +0+ +#6307 +b110101011 . +b110101011 B +b110101011 : +b11010101101 3 +b1011101101 & +b1011101101 5 +b1011101101 G +1+ +#6308 +0+ +#6309 +b1000 ' +b1000 6 +b100010000000 < +b11010101110 3 +b1011101110 & +b1011101110 5 +b1011101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6310 +0+ +#6311 +b11010101111 3 +b1011101111 & +b1011101111 5 +b1011101111 G +1+ +#6312 +0+ +#6313 +b110101100 4 +b11010110000 3 +b1011110000 & +b1011110000 5 +b1011110000 G +1+ +#6314 +0+ +#6315 +1% +b110101100 . +b110101100 B +b110101100 : +b11010110001 3 +b1011110001 & +b1011110001 5 +b1011110001 G +1+ +#6316 +0+ +#6317 +b0 $ +b0 2 +b10000000 < +b11010110010 3 +b1011110010 & +b1011110010 5 +b1011110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6318 +0+ +#6319 +b11010110011 3 +b1011110011 & +b1011110011 5 +b1011110011 G +1+ +#6320 +0+ +#6321 +b110101101 4 +b11010110100 3 +b1011110100 & +b1011110100 5 +b1011110100 G +1+ +#6322 +0+ +#6323 +b110101101 . +b110101101 B +b110101101 : +b11010110101 3 +b1011110101 & +b1011110101 5 +b1011110101 G +1+ +#6324 +0+ +#6325 +b1000 * +b1000 9 +b10001000 < +b11010110110 3 +b1011110110 & +b1011110110 5 +b1011110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6326 +0+ +#6327 +b11010110111 3 +b1011110111 & +b1011110111 5 +b1011110111 G +1+ +#6328 +0+ +#6329 +b110101110 4 +b11010111000 3 +b1011111000 & +b1011111000 5 +b1011111000 G +1+ +#6330 +0+ +#6331 +b110101110 . +b110101110 B +b110101110 : +b11010111001 3 +b1011111001 & +b1011111001 5 +b1011111001 G +1+ +#6332 +0+ +#6333 +b0 ' +b0 6 +b1000 < +b11010111010 3 +b1011111010 & +b1011111010 5 +b1011111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6334 +0+ +#6335 +b11010111011 3 +b1011111011 & +b1011111011 5 +b1011111011 G +1+ +#6336 +0+ +#6337 +b110101111 4 +b11010111100 3 +b1011111100 & +b1011111100 5 +b1011111100 G +1+ +#6338 +0+ +#6339 +b110101111 . +b110101111 B +b110101111 : +b11010111101 3 +b1011111101 & +b1011111101 5 +b1011111101 G +1+ +#6340 +0+ +#6341 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11010111110 3 +b1011111110 & +b1011111110 5 +b1011111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6342 +0+ +#6343 +b11010111111 3 +b1011111111 & +b1011111111 5 +b1011111111 G +1+ +#6344 +0+ +#6345 +b110110000 4 +b11011000000 3 +b1100000000 & +b1100000000 5 +b1100000000 G +1+ +#6346 +0+ +#6347 +b110110000 . +b110110000 B +b110110000 : +b11011000001 3 +b1100000001 & +b1100000001 5 +b1100000001 G +1+ +#6348 +0+ +#6349 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11011000010 3 +b1100000010 & +b1100000010 5 +b1100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6350 +0+ +#6351 +b11011000011 3 +b1100000011 & +b1100000011 5 +b1100000011 G +1+ +#6352 +0+ +#6353 +b110110001 4 +b11011000100 3 +b1100000100 & +b1100000100 5 +b1100000100 G +1+ +#6354 +0+ +#6355 +b110110001 . +b110110001 B +b110110001 : +b11011000101 3 +b1100000101 & +b1100000101 5 +b1100000101 G +1+ +#6356 +0+ +#6357 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11011000110 3 +b1100000110 & +b1100000110 5 +b1100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6358 +0+ +#6359 +b11011000111 3 +b1100000111 & +b1100000111 5 +b1100000111 G +1+ +#6360 +0+ +#6361 +b110110010 4 +b11011001000 3 +b1100001000 & +b1100001000 5 +b1100001000 G +1+ +#6362 +0+ +#6363 +b110110010 . +b110110010 B +b110110010 : +b11011001001 3 +b1100001001 & +b1100001001 5 +b1100001001 G +1+ +#6364 +0+ +#6365 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11011001010 3 +b1100001010 & +b1100001010 5 +b1100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6366 +0+ +#6367 +b11011001011 3 +b1100001011 & +b1100001011 5 +b1100001011 G +1+ +#6368 +0+ +#6369 +b110110011 4 +b11011001100 3 +b1100001100 & +b1100001100 5 +b1100001100 G +1+ +#6370 +0+ +#6371 +b110110011 . +b110110011 B +b110110011 : +b11011001101 3 +b1100001101 & +b1100001101 5 +b1100001101 G +1+ +#6372 +0+ +#6373 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11011001110 3 +b1100001110 & +b1100001110 5 +b1100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6374 +0+ +#6375 +b11011001111 3 +b1100001111 & +b1100001111 5 +b1100001111 G +1+ +#6376 +0+ +#6377 +b110110100 4 +b11011010000 3 +b1100010000 & +b1100010000 5 +b1100010000 G +1+ +#6378 +0+ +#6379 +b110110100 . +b110110100 B +b110110100 : +b11011010001 3 +b1100010001 & +b1100010001 5 +b1100010001 G +1+ +#6380 +0+ +#6381 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11011010010 3 +b1100010010 & +b1100010010 5 +b1100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6382 +0+ +#6383 +b11011010011 3 +b1100010011 & +b1100010011 5 +b1100010011 G +1+ +#6384 +0+ +#6385 +b110110101 4 +b11011010100 3 +b1100010100 & +b1100010100 5 +b1100010100 G +1+ +#6386 +0+ +#6387 +b110110101 . +b110110101 B +b110110101 : +b11011010101 3 +b1100010101 & +b1100010101 5 +b1100010101 G +1+ +#6388 +0+ +#6389 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11011010110 3 +b1100010110 & +b1100010110 5 +b1100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6390 +0+ +#6391 +b11011010111 3 +b1100010111 & +b1100010111 5 +b1100010111 G +1+ +#6392 +0+ +#6393 +b110110110 4 +b11011011000 3 +b1100011000 & +b1100011000 5 +b1100011000 G +1+ +#6394 +0+ +#6395 +b110110110 . +b110110110 B +b110110110 : +b11011011001 3 +b1100011001 & +b1100011001 5 +b1100011001 G +1+ +#6396 +0+ +#6397 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11011011010 3 +b1100011010 & +b1100011010 5 +b1100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6398 +0+ +#6399 +b11011011011 3 +b1100011011 & +b1100011011 5 +b1100011011 G +1+ +#6400 +0+ +#6401 +b110110111 4 +b11011011100 3 +b1100011100 & +b1100011100 5 +b1100011100 G +1+ +#6402 +0+ +#6403 +b110110111 . +b110110111 B +b110110111 : +b11011011101 3 +b1100011101 & +b1100011101 5 +b1100011101 G +1+ +#6404 +0+ +#6405 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11011011110 3 +b1100011110 & +b1100011110 5 +b1100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6406 +0+ +#6407 +b11011011111 3 +b1100011111 & +b1100011111 5 +b1100011111 G +1+ +#6408 +0+ +#6409 +1( +b101000000 4 +b100 # +b100 1 +b100 H +b10100000000 3 +b0 & +b0 5 +b0 G +1+ +#6410 +0+ +#6411 +b101000000 . +b101000000 B +b101000000 : +b10100000001 3 +b1 & +b1 5 +b1 G +1+ +#6412 +0+ +#6413 +b0 ' +b0 6 +b111100000000 < +b10100000010 3 +b10 & +b10 5 +b10 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6414 +0+ +#6415 +b10100000011 3 +b11 & +b11 5 +b11 G +1+ +#6416 +0+ +#6417 +b101000001 4 +b10100000100 3 +b100 & +b100 5 +b100 G +1+ +#6418 +0+ +#6419 +b101000001 . +b101000001 B +b101000001 : +b10100000101 3 +b101 & +b101 5 +b101 G +1+ +#6420 +0+ +#6421 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10100000110 3 +b110 & +b110 5 +b110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6422 +0+ +#6423 +b10100000111 3 +b111 & +b111 5 +b111 G +1+ +#6424 +0+ +#6425 +b101000010 4 +b10100001000 3 +b1000 & +b1000 5 +b1000 G +1+ +#6426 +0+ +#6427 +b101000010 . +b101000010 B +b101000010 : +b10100001001 3 +b1001 & +b1001 5 +b1001 G +1+ +#6428 +0+ +#6429 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10100001010 3 +b1010 & +b1010 5 +b1010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6430 +0+ +#6431 +b10100001011 3 +b1011 & +b1011 5 +b1011 G +1+ +#6432 +0+ +#6433 +b101000011 4 +b10100001100 3 +b1100 & +b1100 5 +b1100 G +1+ +#6434 +0+ +#6435 +b101000011 . +b101000011 B +b101000011 : +b10100001101 3 +b1101 & +b1101 5 +b1101 G +1+ +#6436 +0+ +#6437 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10100001110 3 +b1110 & +b1110 5 +b1110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6438 +0+ +#6439 +b10100001111 3 +b1111 & +b1111 5 +b1111 G +1+ +#6440 +0+ +#6441 +b101000100 4 +b10100010000 3 +b10000 & +b10000 5 +b10000 G +1+ +#6442 +0+ +#6443 +b101000100 . +b101000100 B +b101000100 : +b10100010001 3 +b10001 & +b10001 5 +b10001 G +1+ +#6444 +0+ +#6445 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10100010010 3 +b10010 & +b10010 5 +b10010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6446 +0+ +#6447 +b10100010011 3 +b10011 & +b10011 5 +b10011 G +1+ +#6448 +0+ +#6449 +b101000101 4 +b10100010100 3 +b10100 & +b10100 5 +b10100 G +1+ +#6450 +0+ +#6451 +b101000101 . +b101000101 B +b101000101 : +b10100010101 3 +b10101 & +b10101 5 +b10101 G +1+ +#6452 +0+ +#6453 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10100010110 3 +b10110 & +b10110 5 +b10110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6454 +0+ +#6455 +b10100010111 3 +b10111 & +b10111 5 +b10111 G +1+ +#6456 +0+ +#6457 +b101000110 4 +b10100011000 3 +b11000 & +b11000 5 +b11000 G +1+ +#6458 +0+ +#6459 +b101000110 . +b101000110 B +b101000110 : +b10100011001 3 +b11001 & +b11001 5 +b11001 G +1+ +#6460 +0+ +#6461 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10100011010 3 +b11010 & +b11010 5 +b11010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6462 +0+ +#6463 +b10100011011 3 +b11011 & +b11011 5 +b11011 G +1+ +#6464 +0+ +#6465 +b101000111 4 +b10100011100 3 +b11100 & +b11100 5 +b11100 G +1+ +#6466 +0+ +#6467 +b101000111 . +b101000111 B +b101000111 : +b10100011101 3 +b11101 & +b11101 5 +b11101 G +1+ +#6468 +0+ +#6469 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10100011110 3 +b11110 & +b11110 5 +b11110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6470 +0+ +#6471 +b10100011111 3 +b11111 & +b11111 5 +b11111 G +1+ +#6472 +0+ +#6473 +b101001000 4 +b10100100000 3 +b100000 & +b100000 5 +b100000 G +1+ +#6474 +0+ +#6475 +b101001000 . +b101001000 B +b101001000 : +b10100100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#6476 +0+ +#6477 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10100100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6478 +0+ +#6479 +b10100100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#6480 +0+ +#6481 +b101001001 4 +b10100100100 3 +b100100 & +b100100 5 +b100100 G +1+ +#6482 +0+ +#6483 +b101001001 . +b101001001 B +b101001001 : +b10100100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#6484 +0+ +#6485 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10100100110 3 +b100110 & +b100110 5 +b100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6486 +0+ +#6487 +b10100100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#6488 +0+ +#6489 +b101001010 4 +b10100101000 3 +b101000 & +b101000 5 +b101000 G +1+ +#6490 +0+ +#6491 +b101001010 . +b101001010 B +b101001010 : +b10100101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#6492 +0+ +#6493 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10100101010 3 +b101010 & +b101010 5 +b101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6494 +0+ +#6495 +b10100101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#6496 +0+ +#6497 +b101001011 4 +b10100101100 3 +b101100 & +b101100 5 +b101100 G +1+ +#6498 +0+ +#6499 +b101001011 . +b101001011 B +b101001011 : +b10100101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#6500 +0+ +#6501 +b1000 ' +b1000 6 +b100010000000 < +b10100101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6502 +0+ +#6503 +b10100101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#6504 +0+ +#6505 +b101001100 4 +b10100110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#6506 +0+ +#6507 +b101001100 . +b101001100 B +b101001100 : +b10100110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#6508 +0+ +#6509 +b0 $ +b0 2 +b10000000 < +b10100110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6510 +0+ +#6511 +b10100110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#6512 +0+ +#6513 +b101001101 4 +b10100110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#6514 +0+ +#6515 +b101001101 . +b101001101 B +b101001101 : +b10100110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#6516 +0+ +#6517 +b1000 * +b1000 9 +b10001000 < +b10100110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6518 +0+ +#6519 +b10100110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#6520 +0+ +#6521 +b101001110 4 +b10100111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#6522 +0+ +#6523 +b101001110 . +b101001110 B +b101001110 : +b10100111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#6524 +0+ +#6525 +b0 ' +b0 6 +b1000 < +b10100111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6526 +0+ +#6527 +b10100111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#6528 +0+ +#6529 +b101001111 4 +b10100111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#6530 +0+ +#6531 +b101001111 . +b101001111 B +b101001111 : +b10100111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#6532 +0+ +#6533 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10100111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6534 +0+ +#6535 +b10100111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#6536 +0+ +#6537 +b101010000 4 +b10101000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#6538 +0+ +#6539 +b101010000 . +b101010000 B +b101010000 : +b10101000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#6540 +0+ +#6541 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10101000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6542 +0+ +#6543 +b10101000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#6544 +0+ +#6545 +b101010001 4 +b10101000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#6546 +0+ +#6547 +b101010001 . +b101010001 B +b101010001 : +b10101000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#6548 +0+ +#6549 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10101000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6550 +0+ +#6551 +b10101000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#6552 +0+ +#6553 +b101010010 4 +b10101001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#6554 +0+ +#6555 +b101010010 . +b101010010 B +b101010010 : +b10101001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#6556 +0+ +#6557 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10101001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6558 +0+ +#6559 +b10101001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#6560 +0+ +#6561 +b101010011 4 +b10101001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#6562 +0+ +#6563 +b101010011 . +b101010011 B +b101010011 : +b10101001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#6564 +0+ +#6565 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10101001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6566 +0+ +#6567 +b10101001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#6568 +0+ +#6569 +b101010100 4 +b10101010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#6570 +0+ +#6571 +b101010100 . +b101010100 B +b101010100 : +b10101010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#6572 +0+ +#6573 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10101010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6574 +0+ +#6575 +b10101010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#6576 +0+ +#6577 +b101010101 4 +b10101010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#6578 +0+ +#6579 +b101010101 . +b101010101 B +b101010101 : +b10101010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#6580 +0+ +#6581 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10101010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6582 +0+ +#6583 +b10101010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#6584 +0+ +#6585 +b101010110 4 +b10101011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#6586 +0+ +#6587 +b101010110 . +b101010110 B +b101010110 : +b10101011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#6588 +0+ +#6589 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10101011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6590 +0+ +#6591 +b10101011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#6592 +0+ +#6593 +b101010111 4 +b10101011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#6594 +0+ +#6595 +b101010111 . +b101010111 B +b101010111 : +b10101011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#6596 +0+ +#6597 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10101011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6598 +0+ +#6599 +b10101011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#6600 +0+ +#6601 +b101011000 4 +b10101100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#6602 +0+ +#6603 +b101011000 . +b101011000 B +b101011000 : +b10101100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#6604 +0+ +#6605 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10101100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6606 +0+ +#6607 +b10101100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#6608 +0+ +#6609 +b101011001 4 +b10101100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#6610 +0+ +#6611 +b101011001 . +b101011001 B +b101011001 : +b10101100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#6612 +0+ +#6613 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10101100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6614 +0+ +#6615 +b10101100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#6616 +0+ +#6617 +b101011010 4 +b10101101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#6618 +0+ +#6619 +b101011010 . +b101011010 B +b101011010 : +b10101101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#6620 +0+ +#6621 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10101101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6622 +0+ +#6623 +b10101101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#6624 +0+ +#6625 +b101011011 4 +b10101101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#6626 +0+ +#6627 +b101011011 . +b101011011 B +b101011011 : +b10101101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#6628 +0+ +#6629 +b1000 ' +b1000 6 +b100010000000 < +b10101101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6630 +0+ +#6631 +b10101101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#6632 +0+ +#6633 +b101011100 4 +b10101110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#6634 +0+ +#6635 +b101011100 . +b101011100 B +b101011100 : +b10101110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#6636 +0+ +#6637 +b0 $ +b0 2 +b10000000 < +b10101110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6638 +0+ +#6639 +b10101110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#6640 +0+ +#6641 +b101011101 4 +b10101110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#6642 +0+ +#6643 +b101011101 . +b101011101 B +b101011101 : +b10101110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#6644 +0+ +#6645 +b1000 * +b1000 9 +b10001000 < +b10101110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6646 +0+ +#6647 +b10101110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#6648 +0+ +#6649 +b101011110 4 +b10101111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#6650 +0+ +#6651 +b101011110 . +b101011110 B +b101011110 : +b10101111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#6652 +0+ +#6653 +b0 ' +b0 6 +b1000 < +b10101111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6654 +0+ +#6655 +b10101111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#6656 +0+ +#6657 +b101011111 4 +b10101111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#6658 +0+ +#6659 +b101011111 . +b101011111 B +b101011111 : +b10101111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#6660 +0+ +#6661 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10101111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6662 +0+ +#6663 +b10101111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#6664 +0+ +#6665 +b101100000 4 +b10110000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#6666 +0+ +#6667 +b101100000 . +b101100000 B +b101100000 : +b10110000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#6668 +0+ +#6669 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10110000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6670 +0+ +#6671 +b10110000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#6672 +0+ +#6673 +b101100001 4 +b10110000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#6674 +0+ +#6675 +b101100001 . +b101100001 B +b101100001 : +b10110000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#6676 +0+ +#6677 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10110000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6678 +0+ +#6679 +b10110000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#6680 +0+ +#6681 +b101100010 4 +b10110001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#6682 +0+ +#6683 +b101100010 . +b101100010 B +b101100010 : +b10110001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#6684 +0+ +#6685 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10110001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6686 +0+ +#6687 +b10110001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#6688 +0+ +#6689 +b101100011 4 +b10110001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#6690 +0+ +#6691 +b101100011 . +b101100011 B +b101100011 : +b10110001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#6692 +0+ +#6693 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10110001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6694 +0+ +#6695 +b10110001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#6696 +0+ +#6697 +b101100100 4 +b10110010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#6698 +0+ +#6699 +b101100100 . +b101100100 B +b101100100 : +b10110010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#6700 +0+ +#6701 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10110010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6702 +0+ +#6703 +b10110010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#6704 +0+ +#6705 +b101100101 4 +b10110010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#6706 +0+ +#6707 +b101100101 . +b101100101 B +b101100101 : +b10110010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#6708 +0+ +#6709 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10110010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6710 +0+ +#6711 +b10110010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#6712 +0+ +#6713 +b101100110 4 +b10110011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#6714 +0+ +#6715 +b101100110 . +b101100110 B +b101100110 : +b10110011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#6716 +0+ +#6717 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10110011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6718 +0+ +#6719 +b10110011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#6720 +0+ +#6721 +b101100111 4 +b10110011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#6722 +0+ +#6723 +b101100111 . +b101100111 B +b101100111 : +b10110011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#6724 +0+ +#6725 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10110011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6726 +0+ +#6727 +b10110011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#6728 +0+ +#6729 +b101101000 4 +b10110100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#6730 +0+ +#6731 +b101101000 . +b101101000 B +b101101000 : +b10110100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#6732 +0+ +#6733 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10110100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6734 +0+ +#6735 +b10110100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#6736 +0+ +#6737 +b101101001 4 +b10110100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#6738 +0+ +#6739 +b101101001 . +b101101001 B +b101101001 : +b10110100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#6740 +0+ +#6741 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10110100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6742 +0+ +#6743 +b10110100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#6744 +0+ +#6745 +b101101010 4 +b10110101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#6746 +0+ +#6747 +b101101010 . +b101101010 B +b101101010 : +b10110101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#6748 +0+ +#6749 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10110101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6750 +0+ +#6751 +b10110101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#6752 +0+ +#6753 +b101101011 4 +b10110101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#6754 +0+ +#6755 +b101101011 . +b101101011 B +b101101011 : +b10110101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#6756 +0+ +#6757 +b1000 ' +b1000 6 +b100010000000 < +b10110101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6758 +0+ +#6759 +b10110101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#6760 +0+ +#6761 +b101101100 4 +b10110110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#6762 +0+ +#6763 +b101101100 . +b101101100 B +b101101100 : +b10110110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#6764 +0+ +#6765 +b0 $ +b0 2 +b10000000 < +b10110110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6766 +0+ +#6767 +b10110110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#6768 +0+ +#6769 +b101101101 4 +b10110110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#6770 +0+ +#6771 +b101101101 . +b101101101 B +b101101101 : +b10110110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#6772 +0+ +#6773 +b1000 * +b1000 9 +b10001000 < +b10110110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6774 +0+ +#6775 +b10110110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#6776 +0+ +#6777 +b101101110 4 +b10110111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#6778 +0+ +#6779 +b101101110 . +b101101110 B +b101101110 : +b10110111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#6780 +0+ +#6781 +b0 ' +b0 6 +b1000 < +b10110111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6782 +0+ +#6783 +b10110111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#6784 +0+ +#6785 +b101101111 4 +b10110111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#6786 +0+ +#6787 +b101101111 . +b101101111 B +b101101111 : +b10110111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#6788 +0+ +#6789 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10110111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6790 +0+ +#6791 +b10110111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#6792 +0+ +#6793 +b101110000 4 +b10111000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#6794 +0+ +#6795 +b101110000 . +b101110000 B +b101110000 : +b10111000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#6796 +0+ +#6797 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b10111000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6798 +0+ +#6799 +b10111000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#6800 +0+ +#6801 +b101110001 4 +b10111000100 3 +b11000100 & +b11000100 5 +b11000100 G +1+ +#6802 +0+ +#6803 +b101110001 . +b101110001 B +b101110001 : +b10111000101 3 +b11000101 & +b11000101 5 +b11000101 G +1+ +#6804 +0+ +#6805 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b10111000110 3 +b11000110 & +b11000110 5 +b11000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6806 +0+ +#6807 +b10111000111 3 +b11000111 & +b11000111 5 +b11000111 G +1+ +#6808 +0+ +#6809 +b101110010 4 +b10111001000 3 +b11001000 & +b11001000 5 +b11001000 G +1+ +#6810 +0+ +#6811 +b101110010 . +b101110010 B +b101110010 : +b10111001001 3 +b11001001 & +b11001001 5 +b11001001 G +1+ +#6812 +0+ +#6813 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b10111001010 3 +b11001010 & +b11001010 5 +b11001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6814 +0+ +#6815 +b10111001011 3 +b11001011 & +b11001011 5 +b11001011 G +1+ +#6816 +0+ +#6817 +b101110011 4 +b10111001100 3 +b11001100 & +b11001100 5 +b11001100 G +1+ +#6818 +0+ +#6819 +b101110011 . +b101110011 B +b101110011 : +b10111001101 3 +b11001101 & +b11001101 5 +b11001101 G +1+ +#6820 +0+ +#6821 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b10111001110 3 +b11001110 & +b11001110 5 +b11001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6822 +0+ +#6823 +b10111001111 3 +b11001111 & +b11001111 5 +b11001111 G +1+ +#6824 +0+ +#6825 +b101110100 4 +b10111010000 3 +b11010000 & +b11010000 5 +b11010000 G +1+ +#6826 +0+ +#6827 +b101110100 . +b101110100 B +b101110100 : +b10111010001 3 +b11010001 & +b11010001 5 +b11010001 G +1+ +#6828 +0+ +#6829 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b10111010010 3 +b11010010 & +b11010010 5 +b11010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6830 +0+ +#6831 +b10111010011 3 +b11010011 & +b11010011 5 +b11010011 G +1+ +#6832 +0+ +#6833 +b101110101 4 +b10111010100 3 +b11010100 & +b11010100 5 +b11010100 G +1+ +#6834 +0+ +#6835 +b101110101 . +b101110101 B +b101110101 : +b10111010101 3 +b11010101 & +b11010101 5 +b11010101 G +1+ +#6836 +0+ +#6837 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b10111010110 3 +b11010110 & +b11010110 5 +b11010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6838 +0+ +#6839 +b10111010111 3 +b11010111 & +b11010111 5 +b11010111 G +1+ +#6840 +0+ +#6841 +b101110110 4 +b10111011000 3 +b11011000 & +b11011000 5 +b11011000 G +1+ +#6842 +0+ +#6843 +b101110110 . +b101110110 B +b101110110 : +b10111011001 3 +b11011001 & +b11011001 5 +b11011001 G +1+ +#6844 +0+ +#6845 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b10111011010 3 +b11011010 & +b11011010 5 +b11011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6846 +0+ +#6847 +b10111011011 3 +b11011011 & +b11011011 5 +b11011011 G +1+ +#6848 +0+ +#6849 +b101110111 4 +b10111011100 3 +b11011100 & +b11011100 5 +b11011100 G +1+ +#6850 +0+ +#6851 +b101110111 . +b101110111 B +b101110111 : +b10111011101 3 +b11011101 & +b11011101 5 +b11011101 G +1+ +#6852 +0+ +#6853 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b10111011110 3 +b11011110 & +b11011110 5 +b11011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6854 +0+ +#6855 +b10111011111 3 +b11011111 & +b11011111 5 +b11011111 G +1+ +#6856 +0+ +#6857 +b101111000 4 +b10111100000 3 +b11100000 & +b11100000 5 +b11100000 G +1+ +#6858 +0+ +#6859 +b101111000 . +b101111000 B +b101111000 : +b10111100001 3 +b11100001 & +b11100001 5 +b11100001 G +1+ +#6860 +0+ +#6861 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b10111100010 3 +b11100010 & +b11100010 5 +b11100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6862 +0+ +#6863 +b10111100011 3 +b11100011 & +b11100011 5 +b11100011 G +1+ +#6864 +0+ +#6865 +b101111001 4 +b10111100100 3 +b11100100 & +b11100100 5 +b11100100 G +1+ +#6866 +0+ +#6867 +b101111001 . +b101111001 B +b101111001 : +b10111100101 3 +b11100101 & +b11100101 5 +b11100101 G +1+ +#6868 +0+ +#6869 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b10111100110 3 +b11100110 & +b11100110 5 +b11100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6870 +0+ +#6871 +b10111100111 3 +b11100111 & +b11100111 5 +b11100111 G +1+ +#6872 +0+ +#6873 +b101111010 4 +b10111101000 3 +b11101000 & +b11101000 5 +b11101000 G +1+ +#6874 +0+ +#6875 +b101111010 . +b101111010 B +b101111010 : +b10111101001 3 +b11101001 & +b11101001 5 +b11101001 G +1+ +#6876 +0+ +#6877 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b10111101010 3 +b11101010 & +b11101010 5 +b11101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#6878 +0+ +#6879 +b10111101011 3 +b11101011 & +b11101011 5 +b11101011 G +1+ +#6880 +0+ +#6881 +b101111011 4 +b10111101100 3 +b11101100 & +b11101100 5 +b11101100 G +1+ +#6882 +0+ +#6883 +b101111011 . +b101111011 B +b101111011 : +b10111101101 3 +b11101101 & +b11101101 5 +b11101101 G +1+ +#6884 +0+ +#6885 +b1000 ' +b1000 6 +b100010000000 < +b10111101110 3 +b11101110 & +b11101110 5 +b11101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#6886 +0+ +#6887 +b10111101111 3 +b11101111 & +b11101111 5 +b11101111 G +1+ +#6888 +0+ +#6889 +b101111100 4 +b10111110000 3 +b11110000 & +b11110000 5 +b11110000 G +1+ +#6890 +0+ +#6891 +b101111100 . +b101111100 B +b101111100 : +b10111110001 3 +b11110001 & +b11110001 5 +b11110001 G +1+ +#6892 +0+ +#6893 +b0 $ +b0 2 +b10000000 < +b10111110010 3 +b11110010 & +b11110010 5 +b11110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#6894 +0+ +#6895 +b10111110011 3 +b11110011 & +b11110011 5 +b11110011 G +1+ +#6896 +0+ +#6897 +b101111101 4 +b10111110100 3 +b11110100 & +b11110100 5 +b11110100 G +1+ +#6898 +0+ +#6899 +b101111101 . +b101111101 B +b101111101 : +b10111110101 3 +b11110101 & +b11110101 5 +b11110101 G +1+ +#6900 +0+ +#6901 +b1000 * +b1000 9 +b10001000 < +b10111110110 3 +b11110110 & +b11110110 5 +b11110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#6902 +0+ +#6903 +b10111110111 3 +b11110111 & +b11110111 5 +b11110111 G +1+ +#6904 +0+ +#6905 +b101111110 4 +b10111111000 3 +b11111000 & +b11111000 5 +b11111000 G +1+ +#6906 +0+ +#6907 +b101111110 . +b101111110 B +b101111110 : +b10111111001 3 +b11111001 & +b11111001 5 +b11111001 G +1+ +#6908 +0+ +#6909 +b0 ' +b0 6 +b1000 < +b10111111010 3 +b11111010 & +b11111010 5 +b11111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#6910 +0+ +#6911 +b10111111011 3 +b11111011 & +b11111011 5 +b11111011 G +1+ +#6912 +0+ +#6913 +b101111111 4 +b10111111100 3 +b11111100 & +b11111100 5 +b11111100 G +1+ +#6914 +0+ +#6915 +b101111111 . +b101111111 B +b101111111 : +b10111111101 3 +b11111101 & +b11111101 5 +b11111101 G +1+ +#6916 +0+ +#6917 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b10111111110 3 +b11111110 & +b11111110 5 +b11111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#6918 +0+ +#6919 +b10111111111 3 +b11111111 & +b11111111 5 +b11111111 G +1+ +#6920 +0+ +#6921 +b110000000 4 +b11000000000 3 +b100000000 & +b100000000 5 +b100000000 G +1+ +#6922 +0+ +#6923 +b110000000 . +b110000000 B +b110000000 : +b11000000001 3 +b100000001 & +b100000001 5 +b100000001 G +1+ +#6924 +0+ +#6925 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11000000010 3 +b100000010 & +b100000010 5 +b100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#6926 +0+ +#6927 +b11000000011 3 +b100000011 & +b100000011 5 +b100000011 G +1+ +#6928 +0+ +#6929 +b110000001 4 +b11000000100 3 +b100000100 & +b100000100 5 +b100000100 G +1+ +#6930 +0+ +#6931 +b110000001 . +b110000001 B +b110000001 : +b11000000101 3 +b100000101 & +b100000101 5 +b100000101 G +1+ +#6932 +0+ +#6933 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11000000110 3 +b100000110 & +b100000110 5 +b100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#6934 +0+ +#6935 +b11000000111 3 +b100000111 & +b100000111 5 +b100000111 G +1+ +#6936 +0+ +#6937 +b110000010 4 +b11000001000 3 +b100001000 & +b100001000 5 +b100001000 G +1+ +#6938 +0+ +#6939 +b110000010 . +b110000010 B +b110000010 : +b11000001001 3 +b100001001 & +b100001001 5 +b100001001 G +1+ +#6940 +0+ +#6941 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11000001010 3 +b100001010 & +b100001010 5 +b100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#6942 +0+ +#6943 +b11000001011 3 +b100001011 & +b100001011 5 +b100001011 G +1+ +#6944 +0+ +#6945 +b110000011 4 +b11000001100 3 +b100001100 & +b100001100 5 +b100001100 G +1+ +#6946 +0+ +#6947 +b110000011 . +b110000011 B +b110000011 : +b11000001101 3 +b100001101 & +b100001101 5 +b100001101 G +1+ +#6948 +0+ +#6949 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11000001110 3 +b100001110 & +b100001110 5 +b100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#6950 +0+ +#6951 +b11000001111 3 +b100001111 & +b100001111 5 +b100001111 G +1+ +#6952 +0+ +#6953 +b110000100 4 +b11000010000 3 +b100010000 & +b100010000 5 +b100010000 G +1+ +#6954 +0+ +#6955 +b110000100 . +b110000100 B +b110000100 : +b11000010001 3 +b100010001 & +b100010001 5 +b100010001 G +1+ +#6956 +0+ +#6957 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11000010010 3 +b100010010 & +b100010010 5 +b100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#6958 +0+ +#6959 +b11000010011 3 +b100010011 & +b100010011 5 +b100010011 G +1+ +#6960 +0+ +#6961 +b110000101 4 +b11000010100 3 +b100010100 & +b100010100 5 +b100010100 G +1+ +#6962 +0+ +#6963 +b110000101 . +b110000101 B +b110000101 : +b11000010101 3 +b100010101 & +b100010101 5 +b100010101 G +1+ +#6964 +0+ +#6965 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11000010110 3 +b100010110 & +b100010110 5 +b100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#6966 +0+ +#6967 +b11000010111 3 +b100010111 & +b100010111 5 +b100010111 G +1+ +#6968 +0+ +#6969 +b110000110 4 +b11000011000 3 +b100011000 & +b100011000 5 +b100011000 G +1+ +#6970 +0+ +#6971 +b110000110 . +b110000110 B +b110000110 : +b11000011001 3 +b100011001 & +b100011001 5 +b100011001 G +1+ +#6972 +0+ +#6973 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11000011010 3 +b100011010 & +b100011010 5 +b100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#6974 +0+ +#6975 +b11000011011 3 +b100011011 & +b100011011 5 +b100011011 G +1+ +#6976 +0+ +#6977 +b110000111 4 +b11000011100 3 +b100011100 & +b100011100 5 +b100011100 G +1+ +#6978 +0+ +#6979 +b110000111 . +b110000111 B +b110000111 : +b11000011101 3 +b100011101 & +b100011101 5 +b100011101 G +1+ +#6980 +0+ +#6981 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11000011110 3 +b100011110 & +b100011110 5 +b100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#6982 +0+ +#6983 +b11000011111 3 +b100011111 & +b100011111 5 +b100011111 G +1+ +#6984 +0+ +#6985 +b110001000 4 +b11000100000 3 +b100100000 & +b100100000 5 +b100100000 G +1+ +#6986 +0+ +#6987 +b110001000 . +b110001000 B +b110001000 : +b11000100001 3 +b100100001 & +b100100001 5 +b100100001 G +1+ +#6988 +0+ +#6989 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11000100010 3 +b100100010 & +b100100010 5 +b100100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#6990 +0+ +#6991 +b11000100011 3 +b100100011 & +b100100011 5 +b100100011 G +1+ +#6992 +0+ +#6993 +b110001001 4 +b11000100100 3 +b100100100 & +b100100100 5 +b100100100 G +1+ +#6994 +0+ +#6995 +b110001001 . +b110001001 B +b110001001 : +b11000100101 3 +b100100101 & +b100100101 5 +b100100101 G +1+ +#6996 +0+ +#6997 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11000100110 3 +b100100110 & +b100100110 5 +b100100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#6998 +0+ +#6999 +b11000100111 3 +b100100111 & +b100100111 5 +b100100111 G +1+ +#7000 +0+ +#7001 +b110001010 4 +b11000101000 3 +b100101000 & +b100101000 5 +b100101000 G +1+ +#7002 +0+ +#7003 +b110001010 . +b110001010 B +b110001010 : +b11000101001 3 +b100101001 & +b100101001 5 +b100101001 G +1+ +#7004 +0+ +#7005 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11000101010 3 +b100101010 & +b100101010 5 +b100101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7006 +0+ +#7007 +b11000101011 3 +b100101011 & +b100101011 5 +b100101011 G +1+ +#7008 +0+ +#7009 +b110001011 4 +b11000101100 3 +b100101100 & +b100101100 5 +b100101100 G +1+ +#7010 +0+ +#7011 +b110001011 . +b110001011 B +b110001011 : +b11000101101 3 +b100101101 & +b100101101 5 +b100101101 G +1+ +#7012 +0+ +#7013 +b1000 ' +b1000 6 +b100010000000 < +b11000101110 3 +b100101110 & +b100101110 5 +b100101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7014 +0+ +#7015 +b11000101111 3 +b100101111 & +b100101111 5 +b100101111 G +1+ +#7016 +0+ +#7017 +b110001100 4 +b11000110000 3 +b100110000 & +b100110000 5 +b100110000 G +1+ +#7018 +0+ +#7019 +b110001100 . +b110001100 B +b110001100 : +b11000110001 3 +b100110001 & +b100110001 5 +b100110001 G +1+ +#7020 +0+ +#7021 +b0 $ +b0 2 +b10000000 < +b11000110010 3 +b100110010 & +b100110010 5 +b100110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7022 +0+ +#7023 +b11000110011 3 +b100110011 & +b100110011 5 +b100110011 G +1+ +#7024 +0+ +#7025 +b110001101 4 +b11000110100 3 +b100110100 & +b100110100 5 +b100110100 G +1+ +#7026 +0+ +#7027 +b110001101 . +b110001101 B +b110001101 : +b11000110101 3 +b100110101 & +b100110101 5 +b100110101 G +1+ +#7028 +0+ +#7029 +b1000 * +b1000 9 +b10001000 < +b11000110110 3 +b100110110 & +b100110110 5 +b100110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7030 +0+ +#7031 +b11000110111 3 +b100110111 & +b100110111 5 +b100110111 G +1+ +#7032 +0+ +#7033 +b110001110 4 +b11000111000 3 +b100111000 & +b100111000 5 +b100111000 G +1+ +#7034 +0+ +#7035 +b110001110 . +b110001110 B +b110001110 : +b11000111001 3 +b100111001 & +b100111001 5 +b100111001 G +1+ +#7036 +0+ +#7037 +b0 ' +b0 6 +b1000 < +b11000111010 3 +b100111010 & +b100111010 5 +b100111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7038 +0+ +#7039 +b11000111011 3 +b100111011 & +b100111011 5 +b100111011 G +1+ +#7040 +0+ +#7041 +b110001111 4 +b11000111100 3 +b100111100 & +b100111100 5 +b100111100 G +1+ +#7042 +0+ +#7043 +b110001111 . +b110001111 B +b110001111 : +b11000111101 3 +b100111101 & +b100111101 5 +b100111101 G +1+ +#7044 +0+ +#7045 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11000111110 3 +b100111110 & +b100111110 5 +b100111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7046 +0+ +#7047 +b11000111111 3 +b100111111 & +b100111111 5 +b100111111 G +1+ +#7048 +0+ +#7049 +b110010000 4 +b11001000000 3 +b101000000 & +b101000000 5 +b101000000 G +1+ +#7050 +0+ +#7051 +b110010000 . +b110010000 B +b110010000 : +b11001000001 3 +b101000001 & +b101000001 5 +b101000001 G +1+ +#7052 +0+ +#7053 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11001000010 3 +b101000010 & +b101000010 5 +b101000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7054 +0+ +#7055 +b11001000011 3 +b101000011 & +b101000011 5 +b101000011 G +1+ +#7056 +0+ +#7057 +b110010001 4 +b11001000100 3 +b101000100 & +b101000100 5 +b101000100 G +1+ +#7058 +0+ +#7059 +b110010001 . +b110010001 B +b110010001 : +b11001000101 3 +b101000101 & +b101000101 5 +b101000101 G +1+ +#7060 +0+ +#7061 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11001000110 3 +b101000110 & +b101000110 5 +b101000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7062 +0+ +#7063 +b11001000111 3 +b101000111 & +b101000111 5 +b101000111 G +1+ +#7064 +0+ +#7065 +b110010010 4 +b11001001000 3 +b101001000 & +b101001000 5 +b101001000 G +1+ +#7066 +0+ +#7067 +b110010010 . +b110010010 B +b110010010 : +b11001001001 3 +b101001001 & +b101001001 5 +b101001001 G +1+ +#7068 +0+ +#7069 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11001001010 3 +b101001010 & +b101001010 5 +b101001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7070 +0+ +#7071 +b11001001011 3 +b101001011 & +b101001011 5 +b101001011 G +1+ +#7072 +0+ +#7073 +b110010011 4 +b11001001100 3 +b101001100 & +b101001100 5 +b101001100 G +1+ +#7074 +0+ +#7075 +b110010011 . +b110010011 B +b110010011 : +b11001001101 3 +b101001101 & +b101001101 5 +b101001101 G +1+ +#7076 +0+ +#7077 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11001001110 3 +b101001110 & +b101001110 5 +b101001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7078 +0+ +#7079 +b11001001111 3 +b101001111 & +b101001111 5 +b101001111 G +1+ +#7080 +0+ +#7081 +b110010100 4 +b11001010000 3 +b101010000 & +b101010000 5 +b101010000 G +1+ +#7082 +0+ +#7083 +b110010100 . +b110010100 B +b110010100 : +b11001010001 3 +b101010001 & +b101010001 5 +b101010001 G +1+ +#7084 +0+ +#7085 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11001010010 3 +b101010010 & +b101010010 5 +b101010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7086 +0+ +#7087 +b11001010011 3 +b101010011 & +b101010011 5 +b101010011 G +1+ +#7088 +0+ +#7089 +b110010101 4 +b11001010100 3 +b101010100 & +b101010100 5 +b101010100 G +1+ +#7090 +0+ +#7091 +b110010101 . +b110010101 B +b110010101 : +b11001010101 3 +b101010101 & +b101010101 5 +b101010101 G +1+ +#7092 +0+ +#7093 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11001010110 3 +b101010110 & +b101010110 5 +b101010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7094 +0+ +#7095 +b11001010111 3 +b101010111 & +b101010111 5 +b101010111 G +1+ +#7096 +0+ +#7097 +b110010110 4 +b11001011000 3 +b101011000 & +b101011000 5 +b101011000 G +1+ +#7098 +0+ +#7099 +b110010110 . +b110010110 B +b110010110 : +b11001011001 3 +b101011001 & +b101011001 5 +b101011001 G +1+ +#7100 +0+ +#7101 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11001011010 3 +b101011010 & +b101011010 5 +b101011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7102 +0+ +#7103 +b11001011011 3 +b101011011 & +b101011011 5 +b101011011 G +1+ +#7104 +0+ +#7105 +b110010111 4 +b11001011100 3 +b101011100 & +b101011100 5 +b101011100 G +1+ +#7106 +0+ +#7107 +b110010111 . +b110010111 B +b110010111 : +b11001011101 3 +b101011101 & +b101011101 5 +b101011101 G +1+ +#7108 +0+ +#7109 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11001011110 3 +b101011110 & +b101011110 5 +b101011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7110 +0+ +#7111 +b11001011111 3 +b101011111 & +b101011111 5 +b101011111 G +1+ +#7112 +0+ +#7113 +b110011000 4 +b11001100000 3 +b101100000 & +b101100000 5 +b101100000 G +1+ +#7114 +0+ +#7115 +b110011000 . +b110011000 B +b110011000 : +b11001100001 3 +b101100001 & +b101100001 5 +b101100001 G +1+ +#7116 +0+ +#7117 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11001100010 3 +b101100010 & +b101100010 5 +b101100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7118 +0+ +#7119 +b11001100011 3 +b101100011 & +b101100011 5 +b101100011 G +1+ +#7120 +0+ +#7121 +b110011001 4 +b11001100100 3 +b101100100 & +b101100100 5 +b101100100 G +1+ +#7122 +0+ +#7123 +b110011001 . +b110011001 B +b110011001 : +b11001100101 3 +b101100101 & +b101100101 5 +b101100101 G +1+ +#7124 +0+ +#7125 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11001100110 3 +b101100110 & +b101100110 5 +b101100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7126 +0+ +#7127 +b11001100111 3 +b101100111 & +b101100111 5 +b101100111 G +1+ +#7128 +0+ +#7129 +b110011010 4 +b11001101000 3 +b101101000 & +b101101000 5 +b101101000 G +1+ +#7130 +0+ +#7131 +b110011010 . +b110011010 B +b110011010 : +b11001101001 3 +b101101001 & +b101101001 5 +b101101001 G +1+ +#7132 +0+ +#7133 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11001101010 3 +b101101010 & +b101101010 5 +b101101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7134 +0+ +#7135 +b11001101011 3 +b101101011 & +b101101011 5 +b101101011 G +1+ +#7136 +0+ +#7137 +b110011011 4 +b11001101100 3 +b101101100 & +b101101100 5 +b101101100 G +1+ +#7138 +0+ +#7139 +b110011011 . +b110011011 B +b110011011 : +b11001101101 3 +b101101101 & +b101101101 5 +b101101101 G +1+ +#7140 +0+ +#7141 +b1000 ' +b1000 6 +b100010000000 < +b11001101110 3 +b101101110 & +b101101110 5 +b101101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7142 +0+ +#7143 +b11001101111 3 +b101101111 & +b101101111 5 +b101101111 G +1+ +#7144 +0+ +#7145 +b110011100 4 +b11001110000 3 +b101110000 & +b101110000 5 +b101110000 G +1+ +#7146 +0+ +#7147 +b110011100 . +b110011100 B +b110011100 : +b11001110001 3 +b101110001 & +b101110001 5 +b101110001 G +1+ +#7148 +0+ +#7149 +b0 $ +b0 2 +b10000000 < +b11001110010 3 +b101110010 & +b101110010 5 +b101110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7150 +0+ +#7151 +b11001110011 3 +b101110011 & +b101110011 5 +b101110011 G +1+ +#7152 +0+ +#7153 +b110011101 4 +b11001110100 3 +b101110100 & +b101110100 5 +b101110100 G +1+ +#7154 +0+ +#7155 +b110011101 . +b110011101 B +b110011101 : +b11001110101 3 +b101110101 & +b101110101 5 +b101110101 G +1+ +#7156 +0+ +#7157 +b1000 * +b1000 9 +b10001000 < +b11001110110 3 +b101110110 & +b101110110 5 +b101110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7158 +0+ +#7159 +b11001110111 3 +b101110111 & +b101110111 5 +b101110111 G +1+ +#7160 +0+ +#7161 +b110011110 4 +b11001111000 3 +b101111000 & +b101111000 5 +b101111000 G +1+ +#7162 +0+ +#7163 +b110011110 . +b110011110 B +b110011110 : +b11001111001 3 +b101111001 & +b101111001 5 +b101111001 G +1+ +#7164 +0+ +#7165 +b0 ' +b0 6 +b1000 < +b11001111010 3 +b101111010 & +b101111010 5 +b101111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7166 +0+ +#7167 +b11001111011 3 +b101111011 & +b101111011 5 +b101111011 G +1+ +#7168 +0+ +#7169 +b110011111 4 +b11001111100 3 +b101111100 & +b101111100 5 +b101111100 G +1+ +#7170 +0+ +#7171 +b110011111 . +b110011111 B +b110011111 : +b11001111101 3 +b101111101 & +b101111101 5 +b101111101 G +1+ +#7172 +0+ +#7173 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11001111110 3 +b101111110 & +b101111110 5 +b101111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7174 +0+ +#7175 +b11001111111 3 +b101111111 & +b101111111 5 +b101111111 G +1+ +#7176 +0+ +#7177 +b110100000 4 +b11010000000 3 +b110000000 & +b110000000 5 +b110000000 G +1+ +#7178 +0+ +#7179 +b110100000 . +b110100000 B +b110100000 : +b11010000001 3 +b110000001 & +b110000001 5 +b110000001 G +1+ +#7180 +0+ +#7181 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11010000010 3 +b110000010 & +b110000010 5 +b110000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7182 +0+ +#7183 +b11010000011 3 +b110000011 & +b110000011 5 +b110000011 G +1+ +#7184 +0+ +#7185 +b110100001 4 +b11010000100 3 +b110000100 & +b110000100 5 +b110000100 G +1+ +#7186 +0+ +#7187 +b110100001 . +b110100001 B +b110100001 : +b11010000101 3 +b110000101 & +b110000101 5 +b110000101 G +1+ +#7188 +0+ +#7189 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11010000110 3 +b110000110 & +b110000110 5 +b110000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7190 +0+ +#7191 +b11010000111 3 +b110000111 & +b110000111 5 +b110000111 G +1+ +#7192 +0+ +#7193 +b110100010 4 +b11010001000 3 +b110001000 & +b110001000 5 +b110001000 G +1+ +#7194 +0+ +#7195 +b110100010 . +b110100010 B +b110100010 : +b11010001001 3 +b110001001 & +b110001001 5 +b110001001 G +1+ +#7196 +0+ +#7197 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11010001010 3 +b110001010 & +b110001010 5 +b110001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7198 +0+ +#7199 +b11010001011 3 +b110001011 & +b110001011 5 +b110001011 G +1+ +#7200 +0+ +#7201 +b110100011 4 +b11010001100 3 +b110001100 & +b110001100 5 +b110001100 G +1+ +#7202 +0+ +#7203 +b110100011 . +b110100011 B +b110100011 : +b11010001101 3 +b110001101 & +b110001101 5 +b110001101 G +1+ +#7204 +0+ +#7205 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11010001110 3 +b110001110 & +b110001110 5 +b110001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7206 +0+ +#7207 +b11010001111 3 +b110001111 & +b110001111 5 +b110001111 G +1+ +#7208 +0+ +#7209 +b110100100 4 +b11010010000 3 +b110010000 & +b110010000 5 +b110010000 G +1+ +#7210 +0+ +#7211 +b110100100 . +b110100100 B +b110100100 : +b11010010001 3 +b110010001 & +b110010001 5 +b110010001 G +1+ +#7212 +0+ +#7213 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11010010010 3 +b110010010 & +b110010010 5 +b110010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7214 +0+ +#7215 +b11010010011 3 +b110010011 & +b110010011 5 +b110010011 G +1+ +#7216 +0+ +#7217 +b110100101 4 +b11010010100 3 +b110010100 & +b110010100 5 +b110010100 G +1+ +#7218 +0+ +#7219 +b110100101 . +b110100101 B +b110100101 : +b11010010101 3 +b110010101 & +b110010101 5 +b110010101 G +1+ +#7220 +0+ +#7221 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11010010110 3 +b110010110 & +b110010110 5 +b110010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7222 +0+ +#7223 +b11010010111 3 +b110010111 & +b110010111 5 +b110010111 G +1+ +#7224 +0+ +#7225 +b110100110 4 +b11010011000 3 +b110011000 & +b110011000 5 +b110011000 G +1+ +#7226 +0+ +#7227 +b110100110 . +b110100110 B +b110100110 : +b11010011001 3 +b110011001 & +b110011001 5 +b110011001 G +1+ +#7228 +0+ +#7229 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11010011010 3 +b110011010 & +b110011010 5 +b110011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7230 +0+ +#7231 +b11010011011 3 +b110011011 & +b110011011 5 +b110011011 G +1+ +#7232 +0+ +#7233 +b110100111 4 +b11010011100 3 +b110011100 & +b110011100 5 +b110011100 G +1+ +#7234 +0+ +#7235 +b110100111 . +b110100111 B +b110100111 : +b11010011101 3 +b110011101 & +b110011101 5 +b110011101 G +1+ +#7236 +0+ +#7237 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11010011110 3 +b110011110 & +b110011110 5 +b110011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7238 +0+ +#7239 +b11010011111 3 +b110011111 & +b110011111 5 +b110011111 G +1+ +#7240 +0+ +#7241 +b110101000 4 +b11010100000 3 +b110100000 & +b110100000 5 +b110100000 G +1+ +#7242 +0+ +#7243 +b110101000 . +b110101000 B +b110101000 : +b11010100001 3 +b110100001 & +b110100001 5 +b110100001 G +1+ +#7244 +0+ +#7245 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11010100010 3 +b110100010 & +b110100010 5 +b110100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7246 +0+ +#7247 +b11010100011 3 +b110100011 & +b110100011 5 +b110100011 G +1+ +#7248 +0+ +#7249 +b110101001 4 +b11010100100 3 +b110100100 & +b110100100 5 +b110100100 G +1+ +#7250 +0+ +#7251 +b110101001 . +b110101001 B +b110101001 : +b11010100101 3 +b110100101 & +b110100101 5 +b110100101 G +1+ +#7252 +0+ +#7253 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11010100110 3 +b110100110 & +b110100110 5 +b110100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7254 +0+ +#7255 +b11010100111 3 +b110100111 & +b110100111 5 +b110100111 G +1+ +#7256 +0+ +#7257 +b110101010 4 +b11010101000 3 +b110101000 & +b110101000 5 +b110101000 G +1+ +#7258 +0+ +#7259 +b110101010 . +b110101010 B +b110101010 : +b11010101001 3 +b110101001 & +b110101001 5 +b110101001 G +1+ +#7260 +0+ +#7261 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11010101010 3 +b110101010 & +b110101010 5 +b110101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7262 +0+ +#7263 +b11010101011 3 +b110101011 & +b110101011 5 +b110101011 G +1+ +#7264 +0+ +#7265 +b110101011 4 +b11010101100 3 +b110101100 & +b110101100 5 +b110101100 G +1+ +#7266 +0+ +#7267 +b110101011 . +b110101011 B +b110101011 : +b11010101101 3 +b110101101 & +b110101101 5 +b110101101 G +1+ +#7268 +0+ +#7269 +b1000 ' +b1000 6 +b100010000000 < +b11010101110 3 +b110101110 & +b110101110 5 +b110101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7270 +0+ +#7271 +b11010101111 3 +b110101111 & +b110101111 5 +b110101111 G +1+ +#7272 +0+ +#7273 +b110101100 4 +b11010110000 3 +b110110000 & +b110110000 5 +b110110000 G +1+ +#7274 +0+ +#7275 +b110101100 . +b110101100 B +b110101100 : +b11010110001 3 +b110110001 & +b110110001 5 +b110110001 G +1+ +#7276 +0+ +#7277 +b0 $ +b0 2 +b10000000 < +b11010110010 3 +b110110010 & +b110110010 5 +b110110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7278 +0+ +#7279 +b11010110011 3 +b110110011 & +b110110011 5 +b110110011 G +1+ +#7280 +0+ +#7281 +b110101101 4 +b11010110100 3 +b110110100 & +b110110100 5 +b110110100 G +1+ +#7282 +0+ +#7283 +b110101101 . +b110101101 B +b110101101 : +b11010110101 3 +b110110101 & +b110110101 5 +b110110101 G +1+ +#7284 +0+ +#7285 +b1000 * +b1000 9 +b10001000 < +b11010110110 3 +b110110110 & +b110110110 5 +b110110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7286 +0+ +#7287 +b11010110111 3 +b110110111 & +b110110111 5 +b110110111 G +1+ +#7288 +0+ +#7289 +b110101110 4 +b11010111000 3 +b110111000 & +b110111000 5 +b110111000 G +1+ +#7290 +0+ +#7291 +b110101110 . +b110101110 B +b110101110 : +b11010111001 3 +b110111001 & +b110111001 5 +b110111001 G +1+ +#7292 +0+ +#7293 +b0 ' +b0 6 +b1000 < +b11010111010 3 +b110111010 & +b110111010 5 +b110111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7294 +0+ +#7295 +b11010111011 3 +b110111011 & +b110111011 5 +b110111011 G +1+ +#7296 +0+ +#7297 +b110101111 4 +b11010111100 3 +b110111100 & +b110111100 5 +b110111100 G +1+ +#7298 +0+ +#7299 +b110101111 . +b110101111 B +b110101111 : +b11010111101 3 +b110111101 & +b110111101 5 +b110111101 G +1+ +#7300 +0+ +#7301 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11010111110 3 +b110111110 & +b110111110 5 +b110111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7302 +0+ +#7303 +b11010111111 3 +b110111111 & +b110111111 5 +b110111111 G +1+ +#7304 +0+ +#7305 +b110110000 4 +b11011000000 3 +b111000000 & +b111000000 5 +b111000000 G +1+ +#7306 +0+ +#7307 +b110110000 . +b110110000 B +b110110000 : +b11011000001 3 +b111000001 & +b111000001 5 +b111000001 G +1+ +#7308 +0+ +#7309 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11011000010 3 +b111000010 & +b111000010 5 +b111000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7310 +0+ +#7311 +b11011000011 3 +b111000011 & +b111000011 5 +b111000011 G +1+ +#7312 +0+ +#7313 +b110110001 4 +b11011000100 3 +b111000100 & +b111000100 5 +b111000100 G +1+ +#7314 +0+ +#7315 +b110110001 . +b110110001 B +b110110001 : +b11011000101 3 +b111000101 & +b111000101 5 +b111000101 G +1+ +#7316 +0+ +#7317 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11011000110 3 +b111000110 & +b111000110 5 +b111000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7318 +0+ +#7319 +b11011000111 3 +b111000111 & +b111000111 5 +b111000111 G +1+ +#7320 +0+ +#7321 +b110110010 4 +b11011001000 3 +b111001000 & +b111001000 5 +b111001000 G +1+ +#7322 +0+ +#7323 +b110110010 . +b110110010 B +b110110010 : +b11011001001 3 +b111001001 & +b111001001 5 +b111001001 G +1+ +#7324 +0+ +#7325 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11011001010 3 +b111001010 & +b111001010 5 +b111001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7326 +0+ +#7327 +b11011001011 3 +b111001011 & +b111001011 5 +b111001011 G +1+ +#7328 +0+ +#7329 +b110110011 4 +b11011001100 3 +b111001100 & +b111001100 5 +b111001100 G +1+ +#7330 +0+ +#7331 +b110110011 . +b110110011 B +b110110011 : +b11011001101 3 +b111001101 & +b111001101 5 +b111001101 G +1+ +#7332 +0+ +#7333 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11011001110 3 +b111001110 & +b111001110 5 +b111001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7334 +0+ +#7335 +b11011001111 3 +b111001111 & +b111001111 5 +b111001111 G +1+ +#7336 +0+ +#7337 +b110110100 4 +b11011010000 3 +b111010000 & +b111010000 5 +b111010000 G +1+ +#7338 +0+ +#7339 +b110110100 . +b110110100 B +b110110100 : +b11011010001 3 +b111010001 & +b111010001 5 +b111010001 G +1+ +#7340 +0+ +#7341 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11011010010 3 +b111010010 & +b111010010 5 +b111010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7342 +0+ +#7343 +b11011010011 3 +b111010011 & +b111010011 5 +b111010011 G +1+ +#7344 +0+ +#7345 +b110110101 4 +b11011010100 3 +b111010100 & +b111010100 5 +b111010100 G +1+ +#7346 +0+ +#7347 +b110110101 . +b110110101 B +b110110101 : +b11011010101 3 +b111010101 & +b111010101 5 +b111010101 G +1+ +#7348 +0+ +#7349 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11011010110 3 +b111010110 & +b111010110 5 +b111010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7350 +0+ +#7351 +b11011010111 3 +b111010111 & +b111010111 5 +b111010111 G +1+ +#7352 +0+ +#7353 +b110110110 4 +b11011011000 3 +b111011000 & +b111011000 5 +b111011000 G +1+ +#7354 +0+ +#7355 +b110110110 . +b110110110 B +b110110110 : +b11011011001 3 +b111011001 & +b111011001 5 +b111011001 G +1+ +#7356 +0+ +#7357 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11011011010 3 +b111011010 & +b111011010 5 +b111011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7358 +0+ +#7359 +b11011011011 3 +b111011011 & +b111011011 5 +b111011011 G +1+ +#7360 +0+ +#7361 +b110110111 4 +b11011011100 3 +b111011100 & +b111011100 5 +b111011100 G +1+ +#7362 +0+ +#7363 +b110110111 . +b110110111 B +b110110111 : +b11011011101 3 +b111011101 & +b111011101 5 +b111011101 G +1+ +#7364 +0+ +#7365 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11011011110 3 +b111011110 & +b111011110 5 +b111011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7366 +0+ +#7367 +b11011011111 3 +b111011111 & +b111011111 5 +b111011111 G +1+ +#7368 +0+ +#7369 +b110111000 4 +b11011100000 3 +b111100000 & +b111100000 5 +b111100000 G +1+ +#7370 +0+ +#7371 +b110111000 . +b110111000 B +b110111000 : +b11011100001 3 +b111100001 & +b111100001 5 +b111100001 G +1+ +#7372 +0+ +#7373 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11011100010 3 +b111100010 & +b111100010 5 +b111100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7374 +0+ +#7375 +b11011100011 3 +b111100011 & +b111100011 5 +b111100011 G +1+ +#7376 +0+ +#7377 +b110111001 4 +b11011100100 3 +b111100100 & +b111100100 5 +b111100100 G +1+ +#7378 +0+ +#7379 +b110111001 . +b110111001 B +b110111001 : +b11011100101 3 +b111100101 & +b111100101 5 +b111100101 G +1+ +#7380 +0+ +#7381 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11011100110 3 +b111100110 & +b111100110 5 +b111100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7382 +0+ +#7383 +b11011100111 3 +b111100111 & +b111100111 5 +b111100111 G +1+ +#7384 +0+ +#7385 +b110111010 4 +b11011101000 3 +b111101000 & +b111101000 5 +b111101000 G +1+ +#7386 +0+ +#7387 +b110111010 . +b110111010 B +b110111010 : +b11011101001 3 +b111101001 & +b111101001 5 +b111101001 G +1+ +#7388 +0+ +#7389 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11011101010 3 +b111101010 & +b111101010 5 +b111101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7390 +0+ +#7391 +b11011101011 3 +b111101011 & +b111101011 5 +b111101011 G +1+ +#7392 +0+ +#7393 +b110111011 4 +b11011101100 3 +b111101100 & +b111101100 5 +b111101100 G +1+ +#7394 +0+ +#7395 +b110111011 . +b110111011 B +b110111011 : +b11011101101 3 +b111101101 & +b111101101 5 +b111101101 G +1+ +#7396 +0+ +#7397 +b1000 ' +b1000 6 +b100010000000 < +b11011101110 3 +b111101110 & +b111101110 5 +b111101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7398 +0+ +#7399 +b11011101111 3 +b111101111 & +b111101111 5 +b111101111 G +1+ +#7400 +0+ +#7401 +b110111100 4 +b11011110000 3 +b111110000 & +b111110000 5 +b111110000 G +1+ +#7402 +0+ +#7403 +b110111100 . +b110111100 B +b110111100 : +b11011110001 3 +b111110001 & +b111110001 5 +b111110001 G +1+ +#7404 +0+ +#7405 +b0 $ +b0 2 +b10000000 < +b11011110010 3 +b111110010 & +b111110010 5 +b111110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7406 +0+ +#7407 +b11011110011 3 +b111110011 & +b111110011 5 +b111110011 G +1+ +#7408 +0+ +#7409 +b110111101 4 +b11011110100 3 +b111110100 & +b111110100 5 +b111110100 G +1+ +#7410 +0+ +#7411 +b110111101 . +b110111101 B +b110111101 : +b11011110101 3 +b111110101 & +b111110101 5 +b111110101 G +1+ +#7412 +0+ +#7413 +b1000 * +b1000 9 +b10001000 < +b11011110110 3 +b111110110 & +b111110110 5 +b111110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7414 +0+ +#7415 +b11011110111 3 +b111110111 & +b111110111 5 +b111110111 G +1+ +#7416 +0+ +#7417 +b110111110 4 +b11011111000 3 +b111111000 & +b111111000 5 +b111111000 G +1+ +#7418 +0+ +#7419 +b110111110 . +b110111110 B +b110111110 : +b11011111001 3 +b111111001 & +b111111001 5 +b111111001 G +1+ +#7420 +0+ +#7421 +b0 ' +b0 6 +b1000 < +b11011111010 3 +b111111010 & +b111111010 5 +b111111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7422 +0+ +#7423 +b11011111011 3 +b111111011 & +b111111011 5 +b111111011 G +1+ +#7424 +0+ +#7425 +b110111111 4 +b11011111100 3 +b111111100 & +b111111100 5 +b111111100 G +1+ +#7426 +0+ +#7427 +b110111111 . +b110111111 B +b110111111 : +b11011111101 3 +b111111101 & +b111111101 5 +b111111101 G +1+ +#7428 +0+ +#7429 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11011111110 3 +b111111110 & +b111111110 5 +b111111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7430 +0+ +#7431 +b11011111111 3 +b111111111 & +b111111111 5 +b111111111 G +1+ +#7432 +0+ +#7433 +b111000000 4 +b11100000000 3 +b1000000000 & +b1000000000 5 +b1000000000 G +1+ +#7434 +0+ +#7435 +b111000000 . +b111000000 B +b111000000 : +b11100000001 3 +b1000000001 & +b1000000001 5 +b1000000001 G +1+ +#7436 +0+ +#7437 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11100000010 3 +b1000000010 & +b1000000010 5 +b1000000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7438 +0+ +#7439 +b11100000011 3 +b1000000011 & +b1000000011 5 +b1000000011 G +1+ +#7440 +0+ +#7441 +b111000001 4 +b11100000100 3 +b1000000100 & +b1000000100 5 +b1000000100 G +1+ +#7442 +0+ +#7443 +b111000001 . +b111000001 B +b111000001 : +b11100000101 3 +b1000000101 & +b1000000101 5 +b1000000101 G +1+ +#7444 +0+ +#7445 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11100000110 3 +b1000000110 & +b1000000110 5 +b1000000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7446 +0+ +#7447 +b11100000111 3 +b1000000111 & +b1000000111 5 +b1000000111 G +1+ +#7448 +0+ +#7449 +b111000010 4 +b11100001000 3 +b1000001000 & +b1000001000 5 +b1000001000 G +1+ +#7450 +0+ +#7451 +b111000010 . +b111000010 B +b111000010 : +b11100001001 3 +b1000001001 & +b1000001001 5 +b1000001001 G +1+ +#7452 +0+ +#7453 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11100001010 3 +b1000001010 & +b1000001010 5 +b1000001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7454 +0+ +#7455 +b11100001011 3 +b1000001011 & +b1000001011 5 +b1000001011 G +1+ +#7456 +0+ +#7457 +b111000011 4 +b11100001100 3 +b1000001100 & +b1000001100 5 +b1000001100 G +1+ +#7458 +0+ +#7459 +b111000011 . +b111000011 B +b111000011 : +b11100001101 3 +b1000001101 & +b1000001101 5 +b1000001101 G +1+ +#7460 +0+ +#7461 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11100001110 3 +b1000001110 & +b1000001110 5 +b1000001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7462 +0+ +#7463 +b11100001111 3 +b1000001111 & +b1000001111 5 +b1000001111 G +1+ +#7464 +0+ +#7465 +b111000100 4 +b11100010000 3 +b1000010000 & +b1000010000 5 +b1000010000 G +1+ +#7466 +0+ +#7467 +b111000100 . +b111000100 B +b111000100 : +b11100010001 3 +b1000010001 & +b1000010001 5 +b1000010001 G +1+ +#7468 +0+ +#7469 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11100010010 3 +b1000010010 & +b1000010010 5 +b1000010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7470 +0+ +#7471 +b11100010011 3 +b1000010011 & +b1000010011 5 +b1000010011 G +1+ +#7472 +0+ +#7473 +b111000101 4 +b11100010100 3 +b1000010100 & +b1000010100 5 +b1000010100 G +1+ +#7474 +0+ +#7475 +b111000101 . +b111000101 B +b111000101 : +b11100010101 3 +b1000010101 & +b1000010101 5 +b1000010101 G +1+ +#7476 +0+ +#7477 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11100010110 3 +b1000010110 & +b1000010110 5 +b1000010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7478 +0+ +#7479 +b11100010111 3 +b1000010111 & +b1000010111 5 +b1000010111 G +1+ +#7480 +0+ +#7481 +b111000110 4 +b11100011000 3 +b1000011000 & +b1000011000 5 +b1000011000 G +1+ +#7482 +0+ +#7483 +b111000110 . +b111000110 B +b111000110 : +b11100011001 3 +b1000011001 & +b1000011001 5 +b1000011001 G +1+ +#7484 +0+ +#7485 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11100011010 3 +b1000011010 & +b1000011010 5 +b1000011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7486 +0+ +#7487 +b11100011011 3 +b1000011011 & +b1000011011 5 +b1000011011 G +1+ +#7488 +0+ +#7489 +b111000111 4 +b11100011100 3 +b1000011100 & +b1000011100 5 +b1000011100 G +1+ +#7490 +0+ +#7491 +b111000111 . +b111000111 B +b111000111 : +b11100011101 3 +b1000011101 & +b1000011101 5 +b1000011101 G +1+ +#7492 +0+ +#7493 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11100011110 3 +b1000011110 & +b1000011110 5 +b1000011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7494 +0+ +#7495 +b11100011111 3 +b1000011111 & +b1000011111 5 +b1000011111 G +1+ +#7496 +0+ +#7497 +b111001000 4 +b11100100000 3 +b1000100000 & +b1000100000 5 +b1000100000 G +1+ +#7498 +0+ +#7499 +b111001000 . +b111001000 B +b111001000 : +b11100100001 3 +b1000100001 & +b1000100001 5 +b1000100001 G +1+ +#7500 +0+ +#7501 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11100100010 3 +b1000100010 & +b1000100010 5 +b1000100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7502 +0+ +#7503 +b11100100011 3 +b1000100011 & +b1000100011 5 +b1000100011 G +1+ +#7504 +0+ +#7505 +b111001001 4 +b11100100100 3 +b1000100100 & +b1000100100 5 +b1000100100 G +1+ +#7506 +0+ +#7507 +b111001001 . +b111001001 B +b111001001 : +b11100100101 3 +b1000100101 & +b1000100101 5 +b1000100101 G +1+ +#7508 +0+ +#7509 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11100100110 3 +b1000100110 & +b1000100110 5 +b1000100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7510 +0+ +#7511 +b11100100111 3 +b1000100111 & +b1000100111 5 +b1000100111 G +1+ +#7512 +0+ +#7513 +b111001010 4 +b11100101000 3 +b1000101000 & +b1000101000 5 +b1000101000 G +1+ +#7514 +0+ +#7515 +b111001010 . +b111001010 B +b111001010 : +b11100101001 3 +b1000101001 & +b1000101001 5 +b1000101001 G +1+ +#7516 +0+ +#7517 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11100101010 3 +b1000101010 & +b1000101010 5 +b1000101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7518 +0+ +#7519 +b11100101011 3 +b1000101011 & +b1000101011 5 +b1000101011 G +1+ +#7520 +0+ +#7521 +b111001011 4 +b11100101100 3 +b1000101100 & +b1000101100 5 +b1000101100 G +1+ +#7522 +0+ +#7523 +b111001011 . +b111001011 B +b111001011 : +b11100101101 3 +b1000101101 & +b1000101101 5 +b1000101101 G +1+ +#7524 +0+ +#7525 +b1000 ' +b1000 6 +b100010000000 < +b11100101110 3 +b1000101110 & +b1000101110 5 +b1000101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7526 +0+ +#7527 +b11100101111 3 +b1000101111 & +b1000101111 5 +b1000101111 G +1+ +#7528 +0+ +#7529 +b111001100 4 +b11100110000 3 +b1000110000 & +b1000110000 5 +b1000110000 G +1+ +#7530 +0+ +#7531 +b111001100 . +b111001100 B +b111001100 : +b11100110001 3 +b1000110001 & +b1000110001 5 +b1000110001 G +1+ +#7532 +0+ +#7533 +b0 $ +b0 2 +b10000000 < +b11100110010 3 +b1000110010 & +b1000110010 5 +b1000110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7534 +0+ +#7535 +b11100110011 3 +b1000110011 & +b1000110011 5 +b1000110011 G +1+ +#7536 +0+ +#7537 +b111001101 4 +b11100110100 3 +b1000110100 & +b1000110100 5 +b1000110100 G +1+ +#7538 +0+ +#7539 +b111001101 . +b111001101 B +b111001101 : +b11100110101 3 +b1000110101 & +b1000110101 5 +b1000110101 G +1+ +#7540 +0+ +#7541 +b1000 * +b1000 9 +b10001000 < +b11100110110 3 +b1000110110 & +b1000110110 5 +b1000110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7542 +0+ +#7543 +b11100110111 3 +b1000110111 & +b1000110111 5 +b1000110111 G +1+ +#7544 +0+ +#7545 +b111001110 4 +b11100111000 3 +b1000111000 & +b1000111000 5 +b1000111000 G +1+ +#7546 +0+ +#7547 +b111001110 . +b111001110 B +b111001110 : +b11100111001 3 +b1000111001 & +b1000111001 5 +b1000111001 G +1+ +#7548 +0+ +#7549 +b0 ' +b0 6 +b1000 < +b11100111010 3 +b1000111010 & +b1000111010 5 +b1000111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7550 +0+ +#7551 +b11100111011 3 +b1000111011 & +b1000111011 5 +b1000111011 G +1+ +#7552 +0+ +#7553 +b111001111 4 +b11100111100 3 +b1000111100 & +b1000111100 5 +b1000111100 G +1+ +#7554 +0+ +#7555 +b111001111 . +b111001111 B +b111001111 : +b11100111101 3 +b1000111101 & +b1000111101 5 +b1000111101 G +1+ +#7556 +0+ +#7557 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11100111110 3 +b1000111110 & +b1000111110 5 +b1000111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7558 +0+ +#7559 +b11100111111 3 +b1000111111 & +b1000111111 5 +b1000111111 G +1+ +#7560 +0+ +#7561 +b111010000 4 +b11101000000 3 +b1001000000 & +b1001000000 5 +b1001000000 G +1+ +#7562 +0+ +#7563 +b111010000 . +b111010000 B +b111010000 : +b11101000001 3 +b1001000001 & +b1001000001 5 +b1001000001 G +1+ +#7564 +0+ +#7565 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11101000010 3 +b1001000010 & +b1001000010 5 +b1001000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7566 +0+ +#7567 +b11101000011 3 +b1001000011 & +b1001000011 5 +b1001000011 G +1+ +#7568 +0+ +#7569 +b111010001 4 +b11101000100 3 +b1001000100 & +b1001000100 5 +b1001000100 G +1+ +#7570 +0+ +#7571 +b111010001 . +b111010001 B +b111010001 : +b11101000101 3 +b1001000101 & +b1001000101 5 +b1001000101 G +1+ +#7572 +0+ +#7573 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11101000110 3 +b1001000110 & +b1001000110 5 +b1001000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7574 +0+ +#7575 +b11101000111 3 +b1001000111 & +b1001000111 5 +b1001000111 G +1+ +#7576 +0+ +#7577 +b111010010 4 +b11101001000 3 +b1001001000 & +b1001001000 5 +b1001001000 G +1+ +#7578 +0+ +#7579 +b111010010 . +b111010010 B +b111010010 : +b11101001001 3 +b1001001001 & +b1001001001 5 +b1001001001 G +1+ +#7580 +0+ +#7581 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11101001010 3 +b1001001010 & +b1001001010 5 +b1001001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7582 +0+ +#7583 +b11101001011 3 +b1001001011 & +b1001001011 5 +b1001001011 G +1+ +#7584 +0+ +#7585 +b111010011 4 +b11101001100 3 +b1001001100 & +b1001001100 5 +b1001001100 G +1+ +#7586 +0+ +#7587 +b111010011 . +b111010011 B +b111010011 : +b11101001101 3 +b1001001101 & +b1001001101 5 +b1001001101 G +1+ +#7588 +0+ +#7589 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11101001110 3 +b1001001110 & +b1001001110 5 +b1001001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7590 +0+ +#7591 +b11101001111 3 +b1001001111 & +b1001001111 5 +b1001001111 G +1+ +#7592 +0+ +#7593 +b111010100 4 +b11101010000 3 +b1001010000 & +b1001010000 5 +b1001010000 G +1+ +#7594 +0+ +#7595 +b111010100 . +b111010100 B +b111010100 : +b11101010001 3 +b1001010001 & +b1001010001 5 +b1001010001 G +1+ +#7596 +0+ +#7597 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11101010010 3 +b1001010010 & +b1001010010 5 +b1001010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7598 +0+ +#7599 +b11101010011 3 +b1001010011 & +b1001010011 5 +b1001010011 G +1+ +#7600 +0+ +#7601 +b111010101 4 +b11101010100 3 +b1001010100 & +b1001010100 5 +b1001010100 G +1+ +#7602 +0+ +#7603 +b111010101 . +b111010101 B +b111010101 : +b11101010101 3 +b1001010101 & +b1001010101 5 +b1001010101 G +1+ +#7604 +0+ +#7605 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11101010110 3 +b1001010110 & +b1001010110 5 +b1001010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7606 +0+ +#7607 +b11101010111 3 +b1001010111 & +b1001010111 5 +b1001010111 G +1+ +#7608 +0+ +#7609 +b111010110 4 +b11101011000 3 +b1001011000 & +b1001011000 5 +b1001011000 G +1+ +#7610 +0+ +#7611 +b111010110 . +b111010110 B +b111010110 : +b11101011001 3 +b1001011001 & +b1001011001 5 +b1001011001 G +1+ +#7612 +0+ +#7613 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11101011010 3 +b1001011010 & +b1001011010 5 +b1001011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7614 +0+ +#7615 +b11101011011 3 +b1001011011 & +b1001011011 5 +b1001011011 G +1+ +#7616 +0+ +#7617 +b111010111 4 +b11101011100 3 +b1001011100 & +b1001011100 5 +b1001011100 G +1+ +#7618 +0+ +#7619 +b111010111 . +b111010111 B +b111010111 : +b11101011101 3 +b1001011101 & +b1001011101 5 +b1001011101 G +1+ +#7620 +0+ +#7621 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11101011110 3 +b1001011110 & +b1001011110 5 +b1001011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7622 +0+ +#7623 +b11101011111 3 +b1001011111 & +b1001011111 5 +b1001011111 G +1+ +#7624 +0+ +#7625 +b111011000 4 +b11101100000 3 +b1001100000 & +b1001100000 5 +b1001100000 G +1+ +#7626 +0+ +#7627 +b111011000 . +b111011000 B +b111011000 : +b11101100001 3 +b1001100001 & +b1001100001 5 +b1001100001 G +1+ +#7628 +0+ +#7629 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11101100010 3 +b1001100010 & +b1001100010 5 +b1001100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7630 +0+ +#7631 +b11101100011 3 +b1001100011 & +b1001100011 5 +b1001100011 G +1+ +#7632 +0+ +#7633 +b111011001 4 +b11101100100 3 +b1001100100 & +b1001100100 5 +b1001100100 G +1+ +#7634 +0+ +#7635 +b111011001 . +b111011001 B +b111011001 : +b11101100101 3 +b1001100101 & +b1001100101 5 +b1001100101 G +1+ +#7636 +0+ +#7637 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11101100110 3 +b1001100110 & +b1001100110 5 +b1001100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7638 +0+ +#7639 +b11101100111 3 +b1001100111 & +b1001100111 5 +b1001100111 G +1+ +#7640 +0+ +#7641 +b111011010 4 +b11101101000 3 +b1001101000 & +b1001101000 5 +b1001101000 G +1+ +#7642 +0+ +#7643 +b111011010 . +b111011010 B +b111011010 : +b11101101001 3 +b1001101001 & +b1001101001 5 +b1001101001 G +1+ +#7644 +0+ +#7645 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11101101010 3 +b1001101010 & +b1001101010 5 +b1001101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7646 +0+ +#7647 +b11101101011 3 +b1001101011 & +b1001101011 5 +b1001101011 G +1+ +#7648 +0+ +#7649 +b111011011 4 +b11101101100 3 +b1001101100 & +b1001101100 5 +b1001101100 G +1+ +#7650 +0+ +#7651 +b111011011 . +b111011011 B +b111011011 : +b11101101101 3 +b1001101101 & +b1001101101 5 +b1001101101 G +1+ +#7652 +0+ +#7653 +b1000 ' +b1000 6 +b100010000000 < +b11101101110 3 +b1001101110 & +b1001101110 5 +b1001101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7654 +0+ +#7655 +b11101101111 3 +b1001101111 & +b1001101111 5 +b1001101111 G +1+ +#7656 +0+ +#7657 +b111011100 4 +b11101110000 3 +b1001110000 & +b1001110000 5 +b1001110000 G +1+ +#7658 +0+ +#7659 +b111011100 . +b111011100 B +b111011100 : +b11101110001 3 +b1001110001 & +b1001110001 5 +b1001110001 G +1+ +#7660 +0+ +#7661 +b0 $ +b0 2 +b10000000 < +b11101110010 3 +b1001110010 & +b1001110010 5 +b1001110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7662 +0+ +#7663 +b11101110011 3 +b1001110011 & +b1001110011 5 +b1001110011 G +1+ +#7664 +0+ +#7665 +b111011101 4 +b11101110100 3 +b1001110100 & +b1001110100 5 +b1001110100 G +1+ +#7666 +0+ +#7667 +b111011101 . +b111011101 B +b111011101 : +b11101110101 3 +b1001110101 & +b1001110101 5 +b1001110101 G +1+ +#7668 +0+ +#7669 +b1000 * +b1000 9 +b10001000 < +b11101110110 3 +b1001110110 & +b1001110110 5 +b1001110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7670 +0+ +#7671 +b11101110111 3 +b1001110111 & +b1001110111 5 +b1001110111 G +1+ +#7672 +0+ +#7673 +b111011110 4 +b11101111000 3 +b1001111000 & +b1001111000 5 +b1001111000 G +1+ +#7674 +0+ +#7675 +b111011110 . +b111011110 B +b111011110 : +b11101111001 3 +b1001111001 & +b1001111001 5 +b1001111001 G +1+ +#7676 +0+ +#7677 +b0 ' +b0 6 +b1000 < +b11101111010 3 +b1001111010 & +b1001111010 5 +b1001111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7678 +0+ +#7679 +b11101111011 3 +b1001111011 & +b1001111011 5 +b1001111011 G +1+ +#7680 +0+ +#7681 +b111011111 4 +b11101111100 3 +b1001111100 & +b1001111100 5 +b1001111100 G +1+ +#7682 +0+ +#7683 +b111011111 . +b111011111 B +b111011111 : +b11101111101 3 +b1001111101 & +b1001111101 5 +b1001111101 G +1+ +#7684 +0+ +#7685 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11101111110 3 +b1001111110 & +b1001111110 5 +b1001111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7686 +0+ +#7687 +b11101111111 3 +b1001111111 & +b1001111111 5 +b1001111111 G +1+ +#7688 +0+ +#7689 +0( +b111100000 4 +b11110000000 3 +b1010000000 & +b1010000000 5 +b1010000000 G +1+ +#7690 +0+ +#7691 +b111100000 . +b111100000 B +b111100000 : +b11110000001 3 +b1010000001 & +b1010000001 5 +b1010000001 G +1+ +#7692 +0+ +#7693 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11110000010 3 +b1010000010 & +b1010000010 5 +b1010000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7694 +0+ +#7695 +b11110000011 3 +b1010000011 & +b1010000011 5 +b1010000011 G +1+ +#7696 +0+ +#7697 +b111100001 4 +b11110000100 3 +b1010000100 & +b1010000100 5 +b1010000100 G +1+ +#7698 +0+ +#7699 +b111100001 . +b111100001 B +b111100001 : +b11110000101 3 +b1010000101 & +b1010000101 5 +b1010000101 G +1+ +#7700 +0+ +#7701 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11110000110 3 +b1010000110 & +b1010000110 5 +b1010000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7702 +0+ +#7703 +b11110000111 3 +b1010000111 & +b1010000111 5 +b1010000111 G +1+ +#7704 +0+ +#7705 +b111100010 4 +b11110001000 3 +b1010001000 & +b1010001000 5 +b1010001000 G +1+ +#7706 +0+ +#7707 +b111100010 . +b111100010 B +b111100010 : +b11110001001 3 +b1010001001 & +b1010001001 5 +b1010001001 G +1+ +#7708 +0+ +#7709 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11110001010 3 +b1010001010 & +b1010001010 5 +b1010001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7710 +0+ +#7711 +b11110001011 3 +b1010001011 & +b1010001011 5 +b1010001011 G +1+ +#7712 +0+ +#7713 +b111100011 4 +b11110001100 3 +b1010001100 & +b1010001100 5 +b1010001100 G +1+ +#7714 +0+ +#7715 +b111100011 . +b111100011 B +b111100011 : +b11110001101 3 +b1010001101 & +b1010001101 5 +b1010001101 G +1+ +#7716 +0+ +#7717 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11110001110 3 +b1010001110 & +b1010001110 5 +b1010001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7718 +0+ +#7719 +b11110001111 3 +b1010001111 & +b1010001111 5 +b1010001111 G +1+ +#7720 +0+ +#7721 +0% +b111100100 4 +b11110010000 3 +b1010010000 & +b1010010000 5 +b1010010000 G +1+ +#7722 +0+ +#7723 +b111100100 . +b111100100 B +b111100100 : +b11110010001 3 +b1010010001 & +b1010010001 5 +b1010010001 G +1+ +#7724 +0+ +#7725 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11110010010 3 +b1010010010 & +b1010010010 5 +b1010010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7726 +0+ +#7727 +b11110010011 3 +b1010010011 & +b1010010011 5 +b1010010011 G +1+ +#7728 +0+ +#7729 +b111100101 4 +b11110010100 3 +b1010010100 & +b1010010100 5 +b1010010100 G +1+ +#7730 +0+ +#7731 +b111100101 . +b111100101 B +b111100101 : +b11110010101 3 +b1010010101 & +b1010010101 5 +b1010010101 G +1+ +#7732 +0+ +#7733 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11110010110 3 +b1010010110 & +b1010010110 5 +b1010010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7734 +0+ +#7735 +b11110010111 3 +b1010010111 & +b1010010111 5 +b1010010111 G +1+ +#7736 +0+ +#7737 +b111100110 4 +b11110011000 3 +b1010011000 & +b1010011000 5 +b1010011000 G +1+ +#7738 +0+ +#7739 +b111100110 . +b111100110 B +b111100110 : +b11110011001 3 +b1010011001 & +b1010011001 5 +b1010011001 G +1+ +#7740 +0+ +#7741 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11110011010 3 +b1010011010 & +b1010011010 5 +b1010011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7742 +0+ +#7743 +b11110011011 3 +b1010011011 & +b1010011011 5 +b1010011011 G +1+ +#7744 +0+ +#7745 +b111100111 4 +b11110011100 3 +b1010011100 & +b1010011100 5 +b1010011100 G +1+ +#7746 +0+ +#7747 +b111100111 . +b111100111 B +b111100111 : +b11110011101 3 +b1010011101 & +b1010011101 5 +b1010011101 G +1+ +#7748 +0+ +#7749 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11110011110 3 +b1010011110 & +b1010011110 5 +b1010011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7750 +0+ +#7751 +b11110011111 3 +b1010011111 & +b1010011111 5 +b1010011111 G +1+ +#7752 +0+ +#7753 +b111101000 4 +b11110100000 3 +b1010100000 & +b1010100000 5 +b1010100000 G +1+ +#7754 +0+ +#7755 +b111101000 . +b111101000 B +b111101000 : +b11110100001 3 +b1010100001 & +b1010100001 5 +b1010100001 G +1+ +#7756 +0+ +#7757 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11110100010 3 +b1010100010 & +b1010100010 5 +b1010100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7758 +0+ +#7759 +b11110100011 3 +b1010100011 & +b1010100011 5 +b1010100011 G +1+ +#7760 +0+ +#7761 +b111101001 4 +b11110100100 3 +b1010100100 & +b1010100100 5 +b1010100100 G +1+ +#7762 +0+ +#7763 +b111101001 . +b111101001 B +b111101001 : +b11110100101 3 +b1010100101 & +b1010100101 5 +b1010100101 G +1+ +#7764 +0+ +#7765 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11110100110 3 +b1010100110 & +b1010100110 5 +b1010100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7766 +0+ +#7767 +b11110100111 3 +b1010100111 & +b1010100111 5 +b1010100111 G +1+ +#7768 +0+ +#7769 +b111101010 4 +b11110101000 3 +b1010101000 & +b1010101000 5 +b1010101000 G +1+ +#7770 +0+ +#7771 +b111101010 . +b111101010 B +b111101010 : +b11110101001 3 +b1010101001 & +b1010101001 5 +b1010101001 G +1+ +#7772 +0+ +#7773 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11110101010 3 +b1010101010 & +b1010101010 5 +b1010101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7774 +0+ +#7775 +b11110101011 3 +b1010101011 & +b1010101011 5 +b1010101011 G +1+ +#7776 +0+ +#7777 +b111101011 4 +b11110101100 3 +b1010101100 & +b1010101100 5 +b1010101100 G +1+ +#7778 +0+ +#7779 +b111101011 . +b111101011 B +b111101011 : +b11110101101 3 +b1010101101 & +b1010101101 5 +b1010101101 G +1+ +#7780 +0+ +#7781 +b1000 ' +b1000 6 +b100010000000 < +b11110101110 3 +b1010101110 & +b1010101110 5 +b1010101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7782 +0+ +#7783 +b11110101111 3 +b1010101111 & +b1010101111 5 +b1010101111 G +1+ +#7784 +0+ +#7785 +b111101100 4 +b11110110000 3 +b1010110000 & +b1010110000 5 +b1010110000 G +1+ +#7786 +0+ +#7787 +b111101100 . +b111101100 B +b111101100 : +b11110110001 3 +b1010110001 & +b1010110001 5 +b1010110001 G +1+ +#7788 +0+ +#7789 +b0 $ +b0 2 +b10000000 < +b11110110010 3 +b1010110010 & +b1010110010 5 +b1010110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7790 +0+ +#7791 +b11110110011 3 +b1010110011 & +b1010110011 5 +b1010110011 G +1+ +#7792 +0+ +#7793 +b111101101 4 +b11110110100 3 +b1010110100 & +b1010110100 5 +b1010110100 G +1+ +#7794 +0+ +#7795 +b111101101 . +b111101101 B +b111101101 : +b11110110101 3 +b1010110101 & +b1010110101 5 +b1010110101 G +1+ +#7796 +0+ +#7797 +b1000 * +b1000 9 +b10001000 < +b11110110110 3 +b1010110110 & +b1010110110 5 +b1010110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7798 +0+ +#7799 +b11110110111 3 +b1010110111 & +b1010110111 5 +b1010110111 G +1+ +#7800 +0+ +#7801 +b111101110 4 +b11110111000 3 +b1010111000 & +b1010111000 5 +b1010111000 G +1+ +#7802 +0+ +#7803 +b111101110 . +b111101110 B +b111101110 : +b11110111001 3 +b1010111001 & +b1010111001 5 +b1010111001 G +1+ +#7804 +0+ +#7805 +b0 ' +b0 6 +b1000 < +b11110111010 3 +b1010111010 & +b1010111010 5 +b1010111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7806 +0+ +#7807 +b11110111011 3 +b1010111011 & +b1010111011 5 +b1010111011 G +1+ +#7808 +0+ +#7809 +b111101111 4 +b11110111100 3 +b1010111100 & +b1010111100 5 +b1010111100 G +1+ +#7810 +0+ +#7811 +b111101111 . +b111101111 B +b111101111 : +b11110111101 3 +b1010111101 & +b1010111101 5 +b1010111101 G +1+ +#7812 +0+ +#7813 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11110111110 3 +b1010111110 & +b1010111110 5 +b1010111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7814 +0+ +#7815 +b11110111111 3 +b1010111111 & +b1010111111 5 +b1010111111 G +1+ +#7816 +0+ +#7817 +b111110000 4 +b11111000000 3 +b1011000000 & +b1011000000 5 +b1011000000 G +1+ +#7818 +0+ +#7819 +b111110000 . +b111110000 B +b111110000 : +b11111000001 3 +b1011000001 & +b1011000001 5 +b1011000001 G +1+ +#7820 +0+ +#7821 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11111000010 3 +b1011000010 & +b1011000010 5 +b1011000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7822 +0+ +#7823 +b11111000011 3 +b1011000011 & +b1011000011 5 +b1011000011 G +1+ +#7824 +0+ +#7825 +b111110001 4 +b11111000100 3 +b1011000100 & +b1011000100 5 +b1011000100 G +1+ +#7826 +0+ +#7827 +b111110001 . +b111110001 B +b111110001 : +b11111000101 3 +b1011000101 & +b1011000101 5 +b1011000101 G +1+ +#7828 +0+ +#7829 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11111000110 3 +b1011000110 & +b1011000110 5 +b1011000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7830 +0+ +#7831 +b11111000111 3 +b1011000111 & +b1011000111 5 +b1011000111 G +1+ +#7832 +0+ +#7833 +b111110010 4 +b11111001000 3 +b1011001000 & +b1011001000 5 +b1011001000 G +1+ +#7834 +0+ +#7835 +b111110010 . +b111110010 B +b111110010 : +b11111001001 3 +b1011001001 & +b1011001001 5 +b1011001001 G +1+ +#7836 +0+ +#7837 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11111001010 3 +b1011001010 & +b1011001010 5 +b1011001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7838 +0+ +#7839 +b11111001011 3 +b1011001011 & +b1011001011 5 +b1011001011 G +1+ +#7840 +0+ +#7841 +b111110011 4 +b11111001100 3 +b1011001100 & +b1011001100 5 +b1011001100 G +1+ +#7842 +0+ +#7843 +b111110011 . +b111110011 B +b111110011 : +b11111001101 3 +b1011001101 & +b1011001101 5 +b1011001101 G +1+ +#7844 +0+ +#7845 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11111001110 3 +b1011001110 & +b1011001110 5 +b1011001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7846 +0+ +#7847 +b11111001111 3 +b1011001111 & +b1011001111 5 +b1011001111 G +1+ +#7848 +0+ +#7849 +b111110100 4 +b11111010000 3 +b1011010000 & +b1011010000 5 +b1011010000 G +1+ +#7850 +0+ +#7851 +b111110100 . +b111110100 B +b111110100 : +b11111010001 3 +b1011010001 & +b1011010001 5 +b1011010001 G +1+ +#7852 +0+ +#7853 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11111010010 3 +b1011010010 & +b1011010010 5 +b1011010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7854 +0+ +#7855 +b11111010011 3 +b1011010011 & +b1011010011 5 +b1011010011 G +1+ +#7856 +0+ +#7857 +b111110101 4 +b11111010100 3 +b1011010100 & +b1011010100 5 +b1011010100 G +1+ +#7858 +0+ +#7859 +b111110101 . +b111110101 B +b111110101 : +b11111010101 3 +b1011010101 & +b1011010101 5 +b1011010101 G +1+ +#7860 +0+ +#7861 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11111010110 3 +b1011010110 & +b1011010110 5 +b1011010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7862 +0+ +#7863 +b11111010111 3 +b1011010111 & +b1011010111 5 +b1011010111 G +1+ +#7864 +0+ +#7865 +b111110110 4 +b11111011000 3 +b1011011000 & +b1011011000 5 +b1011011000 G +1+ +#7866 +0+ +#7867 +b111110110 . +b111110110 B +b111110110 : +b11111011001 3 +b1011011001 & +b1011011001 5 +b1011011001 G +1+ +#7868 +0+ +#7869 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11111011010 3 +b1011011010 & +b1011011010 5 +b1011011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7870 +0+ +#7871 +b11111011011 3 +b1011011011 & +b1011011011 5 +b1011011011 G +1+ +#7872 +0+ +#7873 +b111110111 4 +b11111011100 3 +b1011011100 & +b1011011100 5 +b1011011100 G +1+ +#7874 +0+ +#7875 +b111110111 . +b111110111 B +b111110111 : +b11111011101 3 +b1011011101 & +b1011011101 5 +b1011011101 G +1+ +#7876 +0+ +#7877 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11111011110 3 +b1011011110 & +b1011011110 5 +b1011011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#7878 +0+ +#7879 +b11111011111 3 +b1011011111 & +b1011011111 5 +b1011011111 G +1+ +#7880 +0+ +#7881 +b111111000 4 +b11111100000 3 +b1011100000 & +b1011100000 5 +b1011100000 G +1+ +#7882 +0+ +#7883 +b111111000 . +b111111000 B +b111111000 : +b11111100001 3 +b1011100001 & +b1011100001 5 +b1011100001 G +1+ +#7884 +0+ +#7885 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11111100010 3 +b1011100010 & +b1011100010 5 +b1011100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#7886 +0+ +#7887 +b11111100011 3 +b1011100011 & +b1011100011 5 +b1011100011 G +1+ +#7888 +0+ +#7889 +b111111001 4 +b11111100100 3 +b1011100100 & +b1011100100 5 +b1011100100 G +1+ +#7890 +0+ +#7891 +b111111001 . +b111111001 B +b111111001 : +b11111100101 3 +b1011100101 & +b1011100101 5 +b1011100101 G +1+ +#7892 +0+ +#7893 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11111100110 3 +b1011100110 & +b1011100110 5 +b1011100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#7894 +0+ +#7895 +b11111100111 3 +b1011100111 & +b1011100111 5 +b1011100111 G +1+ +#7896 +0+ +#7897 +b111111010 4 +b11111101000 3 +b1011101000 & +b1011101000 5 +b1011101000 G +1+ +#7898 +0+ +#7899 +b111111010 . +b111111010 B +b111111010 : +b11111101001 3 +b1011101001 & +b1011101001 5 +b1011101001 G +1+ +#7900 +0+ +#7901 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11111101010 3 +b1011101010 & +b1011101010 5 +b1011101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#7902 +0+ +#7903 +b11111101011 3 +b1011101011 & +b1011101011 5 +b1011101011 G +1+ +#7904 +0+ +#7905 +b111111011 4 +b11111101100 3 +b1011101100 & +b1011101100 5 +b1011101100 G +1+ +#7906 +0+ +#7907 +b111111011 . +b111111011 B +b111111011 : +b11111101101 3 +b1011101101 & +b1011101101 5 +b1011101101 G +1+ +#7908 +0+ +#7909 +b1000 ' +b1000 6 +b100010000000 < +b11111101110 3 +b1011101110 & +b1011101110 5 +b1011101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#7910 +0+ +#7911 +b11111101111 3 +b1011101111 & +b1011101111 5 +b1011101111 G +1+ +#7912 +0+ +#7913 +b111111100 4 +b11111110000 3 +b1011110000 & +b1011110000 5 +b1011110000 G +1+ +#7914 +0+ +#7915 +1% +b111111100 . +b111111100 B +b111111100 : +b11111110001 3 +b1011110001 & +b1011110001 5 +b1011110001 G +1+ +#7916 +0+ +#7917 +b0 $ +b0 2 +b10000000 < +b11111110010 3 +b1011110010 & +b1011110010 5 +b1011110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#7918 +0+ +#7919 +b11111110011 3 +b1011110011 & +b1011110011 5 +b1011110011 G +1+ +#7920 +0+ +#7921 +b111111101 4 +b11111110100 3 +b1011110100 & +b1011110100 5 +b1011110100 G +1+ +#7922 +0+ +#7923 +b111111101 . +b111111101 B +b111111101 : +b11111110101 3 +b1011110101 & +b1011110101 5 +b1011110101 G +1+ +#7924 +0+ +#7925 +b1000 * +b1000 9 +b10001000 < +b11111110110 3 +b1011110110 & +b1011110110 5 +b1011110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#7926 +0+ +#7927 +b11111110111 3 +b1011110111 & +b1011110111 5 +b1011110111 G +1+ +#7928 +0+ +#7929 +b111111110 4 +b11111111000 3 +b1011111000 & +b1011111000 5 +b1011111000 G +1+ +#7930 +0+ +#7931 +b111111110 . +b111111110 B +b111111110 : +b11111111001 3 +b1011111001 & +b1011111001 5 +b1011111001 G +1+ +#7932 +0+ +#7933 +b0 ' +b0 6 +b1000 < +b11111111010 3 +b1011111010 & +b1011111010 5 +b1011111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#7934 +0+ +#7935 +b11111111011 3 +b1011111011 & +b1011111011 5 +b1011111011 G +1+ +#7936 +0+ +#7937 +b111111111 4 +b11111111100 3 +b1011111100 & +b1011111100 5 +b1011111100 G +1+ +#7938 +0+ +#7939 +b111111111 . +b111111111 B +b111111111 : +b11111111101 3 +b1011111101 & +b1011111101 5 +b1011111101 G +1+ +#7940 +0+ +#7941 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11111111110 3 +b1011111110 & +b1011111110 5 +b1011111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#7942 +0+ +#7943 +b11111111111 3 +b1011111111 & +b1011111111 5 +b1011111111 G +1+ +#7944 +0+ +#7945 +b1000000000 4 +b100000000000 3 +b1100000000 & +b1100000000 5 +b1100000000 G +1+ +#7946 +0+ +#7947 +b1000000000 . +b1000000000 B +b1000000000 : +b100000000001 3 +b1100000001 & +b1100000001 5 +b1100000001 G +1+ +#7948 +0+ +#7949 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100000000010 3 +b1100000010 & +b1100000010 5 +b1100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#7950 +0+ +#7951 +b100000000011 3 +b1100000011 & +b1100000011 5 +b1100000011 G +1+ +#7952 +0+ +#7953 +b1000000001 4 +b100000000100 3 +b1100000100 & +b1100000100 5 +b1100000100 G +1+ +#7954 +0+ +#7955 +b1000000001 . +b1000000001 B +b1000000001 : +b100000000101 3 +b1100000101 & +b1100000101 5 +b1100000101 G +1+ +#7956 +0+ +#7957 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100000000110 3 +b1100000110 & +b1100000110 5 +b1100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#7958 +0+ +#7959 +b100000000111 3 +b1100000111 & +b1100000111 5 +b1100000111 G +1+ +#7960 +0+ +#7961 +b1000000010 4 +b100000001000 3 +b1100001000 & +b1100001000 5 +b1100001000 G +1+ +#7962 +0+ +#7963 +b1000000010 . +b1000000010 B +b1000000010 : +b100000001001 3 +b1100001001 & +b1100001001 5 +b1100001001 G +1+ +#7964 +0+ +#7965 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100000001010 3 +b1100001010 & +b1100001010 5 +b1100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#7966 +0+ +#7967 +b100000001011 3 +b1100001011 & +b1100001011 5 +b1100001011 G +1+ +#7968 +0+ +#7969 +b1000000011 4 +b100000001100 3 +b1100001100 & +b1100001100 5 +b1100001100 G +1+ +#7970 +0+ +#7971 +b1000000011 . +b1000000011 B +b1000000011 : +b100000001101 3 +b1100001101 & +b1100001101 5 +b1100001101 G +1+ +#7972 +0+ +#7973 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100000001110 3 +b1100001110 & +b1100001110 5 +b1100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#7974 +0+ +#7975 +b100000001111 3 +b1100001111 & +b1100001111 5 +b1100001111 G +1+ +#7976 +0+ +#7977 +b1000000100 4 +b100000010000 3 +b1100010000 & +b1100010000 5 +b1100010000 G +1+ +#7978 +0+ +#7979 +b1000000100 . +b1000000100 B +b1000000100 : +b100000010001 3 +b1100010001 & +b1100010001 5 +b1100010001 G +1+ +#7980 +0+ +#7981 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100000010010 3 +b1100010010 & +b1100010010 5 +b1100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#7982 +0+ +#7983 +b100000010011 3 +b1100010011 & +b1100010011 5 +b1100010011 G +1+ +#7984 +0+ +#7985 +b1000000101 4 +b100000010100 3 +b1100010100 & +b1100010100 5 +b1100010100 G +1+ +#7986 +0+ +#7987 +b1000000101 . +b1000000101 B +b1000000101 : +b100000010101 3 +b1100010101 & +b1100010101 5 +b1100010101 G +1+ +#7988 +0+ +#7989 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100000010110 3 +b1100010110 & +b1100010110 5 +b1100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#7990 +0+ +#7991 +b100000010111 3 +b1100010111 & +b1100010111 5 +b1100010111 G +1+ +#7992 +0+ +#7993 +b1000000110 4 +b100000011000 3 +b1100011000 & +b1100011000 5 +b1100011000 G +1+ +#7994 +0+ +#7995 +b1000000110 . +b1000000110 B +b1000000110 : +b100000011001 3 +b1100011001 & +b1100011001 5 +b1100011001 G +1+ +#7996 +0+ +#7997 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100000011010 3 +b1100011010 & +b1100011010 5 +b1100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#7998 +0+ +#7999 +b100000011011 3 +b1100011011 & +b1100011011 5 +b1100011011 G +1+ +#8000 +0+ +#8001 +b1000000111 4 +b100000011100 3 +b1100011100 & +b1100011100 5 +b1100011100 G +1+ +#8002 +0+ +#8003 +b1000000111 . +b1000000111 B +b1000000111 : +b100000011101 3 +b1100011101 & +b1100011101 5 +b1100011101 G +1+ +#8004 +0+ +#8005 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100000011110 3 +b1100011110 & +b1100011110 5 +b1100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8006 +0+ +#8007 +b100000011111 3 +b1100011111 & +b1100011111 5 +b1100011111 G +1+ +#8008 +0+ +#8009 +1( +b110010000 4 +b101 # +b101 1 +b101 H +b11001000000 3 +b0 & +b0 5 +b0 G +1+ +#8010 +0+ +#8011 +b110010000 . +b110010000 B +b110010000 : +b11001000001 3 +b1 & +b1 5 +b1 G +1+ +#8012 +0+ +#8013 +b0 ' +b0 6 +b111100000000 < +b11001000010 3 +b10 & +b10 5 +b10 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8014 +0+ +#8015 +b11001000011 3 +b11 & +b11 5 +b11 G +1+ +#8016 +0+ +#8017 +b110010001 4 +b11001000100 3 +b100 & +b100 5 +b100 G +1+ +#8018 +0+ +#8019 +b110010001 . +b110010001 B +b110010001 : +b11001000101 3 +b101 & +b101 5 +b101 G +1+ +#8020 +0+ +#8021 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11001000110 3 +b110 & +b110 5 +b110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8022 +0+ +#8023 +b11001000111 3 +b111 & +b111 5 +b111 G +1+ +#8024 +0+ +#8025 +b110010010 4 +b11001001000 3 +b1000 & +b1000 5 +b1000 G +1+ +#8026 +0+ +#8027 +b110010010 . +b110010010 B +b110010010 : +b11001001001 3 +b1001 & +b1001 5 +b1001 G +1+ +#8028 +0+ +#8029 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11001001010 3 +b1010 & +b1010 5 +b1010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8030 +0+ +#8031 +b11001001011 3 +b1011 & +b1011 5 +b1011 G +1+ +#8032 +0+ +#8033 +b110010011 4 +b11001001100 3 +b1100 & +b1100 5 +b1100 G +1+ +#8034 +0+ +#8035 +b110010011 . +b110010011 B +b110010011 : +b11001001101 3 +b1101 & +b1101 5 +b1101 G +1+ +#8036 +0+ +#8037 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11001001110 3 +b1110 & +b1110 5 +b1110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8038 +0+ +#8039 +b11001001111 3 +b1111 & +b1111 5 +b1111 G +1+ +#8040 +0+ +#8041 +b110010100 4 +b11001010000 3 +b10000 & +b10000 5 +b10000 G +1+ +#8042 +0+ +#8043 +b110010100 . +b110010100 B +b110010100 : +b11001010001 3 +b10001 & +b10001 5 +b10001 G +1+ +#8044 +0+ +#8045 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11001010010 3 +b10010 & +b10010 5 +b10010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8046 +0+ +#8047 +b11001010011 3 +b10011 & +b10011 5 +b10011 G +1+ +#8048 +0+ +#8049 +b110010101 4 +b11001010100 3 +b10100 & +b10100 5 +b10100 G +1+ +#8050 +0+ +#8051 +b110010101 . +b110010101 B +b110010101 : +b11001010101 3 +b10101 & +b10101 5 +b10101 G +1+ +#8052 +0+ +#8053 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11001010110 3 +b10110 & +b10110 5 +b10110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8054 +0+ +#8055 +b11001010111 3 +b10111 & +b10111 5 +b10111 G +1+ +#8056 +0+ +#8057 +b110010110 4 +b11001011000 3 +b11000 & +b11000 5 +b11000 G +1+ +#8058 +0+ +#8059 +b110010110 . +b110010110 B +b110010110 : +b11001011001 3 +b11001 & +b11001 5 +b11001 G +1+ +#8060 +0+ +#8061 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11001011010 3 +b11010 & +b11010 5 +b11010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8062 +0+ +#8063 +b11001011011 3 +b11011 & +b11011 5 +b11011 G +1+ +#8064 +0+ +#8065 +b110010111 4 +b11001011100 3 +b11100 & +b11100 5 +b11100 G +1+ +#8066 +0+ +#8067 +b110010111 . +b110010111 B +b110010111 : +b11001011101 3 +b11101 & +b11101 5 +b11101 G +1+ +#8068 +0+ +#8069 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11001011110 3 +b11110 & +b11110 5 +b11110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8070 +0+ +#8071 +b11001011111 3 +b11111 & +b11111 5 +b11111 G +1+ +#8072 +0+ +#8073 +b110011000 4 +b11001100000 3 +b100000 & +b100000 5 +b100000 G +1+ +#8074 +0+ +#8075 +b110011000 . +b110011000 B +b110011000 : +b11001100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#8076 +0+ +#8077 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11001100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8078 +0+ +#8079 +b11001100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#8080 +0+ +#8081 +b110011001 4 +b11001100100 3 +b100100 & +b100100 5 +b100100 G +1+ +#8082 +0+ +#8083 +b110011001 . +b110011001 B +b110011001 : +b11001100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#8084 +0+ +#8085 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11001100110 3 +b100110 & +b100110 5 +b100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8086 +0+ +#8087 +b11001100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#8088 +0+ +#8089 +b110011010 4 +b11001101000 3 +b101000 & +b101000 5 +b101000 G +1+ +#8090 +0+ +#8091 +b110011010 . +b110011010 B +b110011010 : +b11001101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#8092 +0+ +#8093 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11001101010 3 +b101010 & +b101010 5 +b101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8094 +0+ +#8095 +b11001101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#8096 +0+ +#8097 +b110011011 4 +b11001101100 3 +b101100 & +b101100 5 +b101100 G +1+ +#8098 +0+ +#8099 +b110011011 . +b110011011 B +b110011011 : +b11001101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#8100 +0+ +#8101 +b1000 ' +b1000 6 +b100010000000 < +b11001101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8102 +0+ +#8103 +b11001101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#8104 +0+ +#8105 +b110011100 4 +b11001110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#8106 +0+ +#8107 +b110011100 . +b110011100 B +b110011100 : +b11001110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#8108 +0+ +#8109 +b0 $ +b0 2 +b10000000 < +b11001110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8110 +0+ +#8111 +b11001110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#8112 +0+ +#8113 +b110011101 4 +b11001110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#8114 +0+ +#8115 +b110011101 . +b110011101 B +b110011101 : +b11001110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#8116 +0+ +#8117 +b1000 * +b1000 9 +b10001000 < +b11001110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8118 +0+ +#8119 +b11001110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#8120 +0+ +#8121 +b110011110 4 +b11001111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#8122 +0+ +#8123 +b110011110 . +b110011110 B +b110011110 : +b11001111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#8124 +0+ +#8125 +b0 ' +b0 6 +b1000 < +b11001111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8126 +0+ +#8127 +b11001111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#8128 +0+ +#8129 +b110011111 4 +b11001111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#8130 +0+ +#8131 +b110011111 . +b110011111 B +b110011111 : +b11001111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#8132 +0+ +#8133 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11001111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8134 +0+ +#8135 +b11001111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#8136 +0+ +#8137 +b110100000 4 +b11010000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#8138 +0+ +#8139 +b110100000 . +b110100000 B +b110100000 : +b11010000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#8140 +0+ +#8141 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11010000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8142 +0+ +#8143 +b11010000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#8144 +0+ +#8145 +b110100001 4 +b11010000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#8146 +0+ +#8147 +b110100001 . +b110100001 B +b110100001 : +b11010000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#8148 +0+ +#8149 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11010000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8150 +0+ +#8151 +b11010000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#8152 +0+ +#8153 +b110100010 4 +b11010001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#8154 +0+ +#8155 +b110100010 . +b110100010 B +b110100010 : +b11010001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#8156 +0+ +#8157 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11010001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8158 +0+ +#8159 +b11010001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#8160 +0+ +#8161 +b110100011 4 +b11010001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#8162 +0+ +#8163 +b110100011 . +b110100011 B +b110100011 : +b11010001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#8164 +0+ +#8165 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11010001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8166 +0+ +#8167 +b11010001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#8168 +0+ +#8169 +b110100100 4 +b11010010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#8170 +0+ +#8171 +b110100100 . +b110100100 B +b110100100 : +b11010010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#8172 +0+ +#8173 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11010010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8174 +0+ +#8175 +b11010010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#8176 +0+ +#8177 +b110100101 4 +b11010010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#8178 +0+ +#8179 +b110100101 . +b110100101 B +b110100101 : +b11010010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#8180 +0+ +#8181 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11010010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8182 +0+ +#8183 +b11010010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#8184 +0+ +#8185 +b110100110 4 +b11010011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#8186 +0+ +#8187 +b110100110 . +b110100110 B +b110100110 : +b11010011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#8188 +0+ +#8189 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11010011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8190 +0+ +#8191 +b11010011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#8192 +0+ +#8193 +b110100111 4 +b11010011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#8194 +0+ +#8195 +b110100111 . +b110100111 B +b110100111 : +b11010011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#8196 +0+ +#8197 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11010011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8198 +0+ +#8199 +b11010011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#8200 +0+ +#8201 +b110101000 4 +b11010100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#8202 +0+ +#8203 +b110101000 . +b110101000 B +b110101000 : +b11010100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#8204 +0+ +#8205 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11010100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8206 +0+ +#8207 +b11010100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#8208 +0+ +#8209 +b110101001 4 +b11010100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#8210 +0+ +#8211 +b110101001 . +b110101001 B +b110101001 : +b11010100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#8212 +0+ +#8213 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11010100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8214 +0+ +#8215 +b11010100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#8216 +0+ +#8217 +b110101010 4 +b11010101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#8218 +0+ +#8219 +b110101010 . +b110101010 B +b110101010 : +b11010101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#8220 +0+ +#8221 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11010101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8222 +0+ +#8223 +b11010101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#8224 +0+ +#8225 +b110101011 4 +b11010101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#8226 +0+ +#8227 +b110101011 . +b110101011 B +b110101011 : +b11010101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#8228 +0+ +#8229 +b1000 ' +b1000 6 +b100010000000 < +b11010101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8230 +0+ +#8231 +b11010101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#8232 +0+ +#8233 +b110101100 4 +b11010110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#8234 +0+ +#8235 +b110101100 . +b110101100 B +b110101100 : +b11010110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#8236 +0+ +#8237 +b0 $ +b0 2 +b10000000 < +b11010110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8238 +0+ +#8239 +b11010110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#8240 +0+ +#8241 +b110101101 4 +b11010110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#8242 +0+ +#8243 +b110101101 . +b110101101 B +b110101101 : +b11010110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#8244 +0+ +#8245 +b1000 * +b1000 9 +b10001000 < +b11010110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8246 +0+ +#8247 +b11010110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#8248 +0+ +#8249 +b110101110 4 +b11010111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#8250 +0+ +#8251 +b110101110 . +b110101110 B +b110101110 : +b11010111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#8252 +0+ +#8253 +b0 ' +b0 6 +b1000 < +b11010111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8254 +0+ +#8255 +b11010111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#8256 +0+ +#8257 +b110101111 4 +b11010111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#8258 +0+ +#8259 +b110101111 . +b110101111 B +b110101111 : +b11010111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#8260 +0+ +#8261 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11010111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8262 +0+ +#8263 +b11010111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#8264 +0+ +#8265 +b110110000 4 +b11011000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#8266 +0+ +#8267 +b110110000 . +b110110000 B +b110110000 : +b11011000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#8268 +0+ +#8269 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11011000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8270 +0+ +#8271 +b11011000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#8272 +0+ +#8273 +b110110001 4 +b11011000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#8274 +0+ +#8275 +b110110001 . +b110110001 B +b110110001 : +b11011000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#8276 +0+ +#8277 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11011000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8278 +0+ +#8279 +b11011000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#8280 +0+ +#8281 +b110110010 4 +b11011001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#8282 +0+ +#8283 +b110110010 . +b110110010 B +b110110010 : +b11011001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#8284 +0+ +#8285 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11011001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8286 +0+ +#8287 +b11011001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#8288 +0+ +#8289 +b110110011 4 +b11011001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#8290 +0+ +#8291 +b110110011 . +b110110011 B +b110110011 : +b11011001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#8292 +0+ +#8293 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11011001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8294 +0+ +#8295 +b11011001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#8296 +0+ +#8297 +b110110100 4 +b11011010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#8298 +0+ +#8299 +b110110100 . +b110110100 B +b110110100 : +b11011010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#8300 +0+ +#8301 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11011010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8302 +0+ +#8303 +b11011010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#8304 +0+ +#8305 +b110110101 4 +b11011010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#8306 +0+ +#8307 +b110110101 . +b110110101 B +b110110101 : +b11011010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#8308 +0+ +#8309 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11011010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8310 +0+ +#8311 +b11011010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#8312 +0+ +#8313 +b110110110 4 +b11011011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#8314 +0+ +#8315 +b110110110 . +b110110110 B +b110110110 : +b11011011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#8316 +0+ +#8317 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11011011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8318 +0+ +#8319 +b11011011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#8320 +0+ +#8321 +b110110111 4 +b11011011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#8322 +0+ +#8323 +b110110111 . +b110110111 B +b110110111 : +b11011011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#8324 +0+ +#8325 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11011011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8326 +0+ +#8327 +b11011011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#8328 +0+ +#8329 +b110111000 4 +b11011100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#8330 +0+ +#8331 +b110111000 . +b110111000 B +b110111000 : +b11011100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#8332 +0+ +#8333 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11011100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8334 +0+ +#8335 +b11011100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#8336 +0+ +#8337 +b110111001 4 +b11011100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#8338 +0+ +#8339 +b110111001 . +b110111001 B +b110111001 : +b11011100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#8340 +0+ +#8341 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11011100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8342 +0+ +#8343 +b11011100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#8344 +0+ +#8345 +b110111010 4 +b11011101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#8346 +0+ +#8347 +b110111010 . +b110111010 B +b110111010 : +b11011101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#8348 +0+ +#8349 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11011101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8350 +0+ +#8351 +b11011101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#8352 +0+ +#8353 +b110111011 4 +b11011101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#8354 +0+ +#8355 +b110111011 . +b110111011 B +b110111011 : +b11011101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#8356 +0+ +#8357 +b1000 ' +b1000 6 +b100010000000 < +b11011101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8358 +0+ +#8359 +b11011101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#8360 +0+ +#8361 +b110111100 4 +b11011110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#8362 +0+ +#8363 +b110111100 . +b110111100 B +b110111100 : +b11011110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#8364 +0+ +#8365 +b0 $ +b0 2 +b10000000 < +b11011110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8366 +0+ +#8367 +b11011110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#8368 +0+ +#8369 +b110111101 4 +b11011110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#8370 +0+ +#8371 +b110111101 . +b110111101 B +b110111101 : +b11011110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#8372 +0+ +#8373 +b1000 * +b1000 9 +b10001000 < +b11011110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8374 +0+ +#8375 +b11011110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#8376 +0+ +#8377 +b110111110 4 +b11011111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#8378 +0+ +#8379 +b110111110 . +b110111110 B +b110111110 : +b11011111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#8380 +0+ +#8381 +b0 ' +b0 6 +b1000 < +b11011111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8382 +0+ +#8383 +b11011111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#8384 +0+ +#8385 +b110111111 4 +b11011111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#8386 +0+ +#8387 +b110111111 . +b110111111 B +b110111111 : +b11011111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#8388 +0+ +#8389 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11011111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8390 +0+ +#8391 +b11011111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#8392 +0+ +#8393 +b111000000 4 +b11100000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#8394 +0+ +#8395 +b111000000 . +b111000000 B +b111000000 : +b11100000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#8396 +0+ +#8397 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11100000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8398 +0+ +#8399 +b11100000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#8400 +0+ +#8401 +b111000001 4 +b11100000100 3 +b11000100 & +b11000100 5 +b11000100 G +1+ +#8402 +0+ +#8403 +b111000001 . +b111000001 B +b111000001 : +b11100000101 3 +b11000101 & +b11000101 5 +b11000101 G +1+ +#8404 +0+ +#8405 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11100000110 3 +b11000110 & +b11000110 5 +b11000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8406 +0+ +#8407 +b11100000111 3 +b11000111 & +b11000111 5 +b11000111 G +1+ +#8408 +0+ +#8409 +b111000010 4 +b11100001000 3 +b11001000 & +b11001000 5 +b11001000 G +1+ +#8410 +0+ +#8411 +b111000010 . +b111000010 B +b111000010 : +b11100001001 3 +b11001001 & +b11001001 5 +b11001001 G +1+ +#8412 +0+ +#8413 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11100001010 3 +b11001010 & +b11001010 5 +b11001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8414 +0+ +#8415 +b11100001011 3 +b11001011 & +b11001011 5 +b11001011 G +1+ +#8416 +0+ +#8417 +b111000011 4 +b11100001100 3 +b11001100 & +b11001100 5 +b11001100 G +1+ +#8418 +0+ +#8419 +b111000011 . +b111000011 B +b111000011 : +b11100001101 3 +b11001101 & +b11001101 5 +b11001101 G +1+ +#8420 +0+ +#8421 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11100001110 3 +b11001110 & +b11001110 5 +b11001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8422 +0+ +#8423 +b11100001111 3 +b11001111 & +b11001111 5 +b11001111 G +1+ +#8424 +0+ +#8425 +b111000100 4 +b11100010000 3 +b11010000 & +b11010000 5 +b11010000 G +1+ +#8426 +0+ +#8427 +b111000100 . +b111000100 B +b111000100 : +b11100010001 3 +b11010001 & +b11010001 5 +b11010001 G +1+ +#8428 +0+ +#8429 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11100010010 3 +b11010010 & +b11010010 5 +b11010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8430 +0+ +#8431 +b11100010011 3 +b11010011 & +b11010011 5 +b11010011 G +1+ +#8432 +0+ +#8433 +b111000101 4 +b11100010100 3 +b11010100 & +b11010100 5 +b11010100 G +1+ +#8434 +0+ +#8435 +b111000101 . +b111000101 B +b111000101 : +b11100010101 3 +b11010101 & +b11010101 5 +b11010101 G +1+ +#8436 +0+ +#8437 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11100010110 3 +b11010110 & +b11010110 5 +b11010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8438 +0+ +#8439 +b11100010111 3 +b11010111 & +b11010111 5 +b11010111 G +1+ +#8440 +0+ +#8441 +b111000110 4 +b11100011000 3 +b11011000 & +b11011000 5 +b11011000 G +1+ +#8442 +0+ +#8443 +b111000110 . +b111000110 B +b111000110 : +b11100011001 3 +b11011001 & +b11011001 5 +b11011001 G +1+ +#8444 +0+ +#8445 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11100011010 3 +b11011010 & +b11011010 5 +b11011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8446 +0+ +#8447 +b11100011011 3 +b11011011 & +b11011011 5 +b11011011 G +1+ +#8448 +0+ +#8449 +b111000111 4 +b11100011100 3 +b11011100 & +b11011100 5 +b11011100 G +1+ +#8450 +0+ +#8451 +b111000111 . +b111000111 B +b111000111 : +b11100011101 3 +b11011101 & +b11011101 5 +b11011101 G +1+ +#8452 +0+ +#8453 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11100011110 3 +b11011110 & +b11011110 5 +b11011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8454 +0+ +#8455 +b11100011111 3 +b11011111 & +b11011111 5 +b11011111 G +1+ +#8456 +0+ +#8457 +b111001000 4 +b11100100000 3 +b11100000 & +b11100000 5 +b11100000 G +1+ +#8458 +0+ +#8459 +b111001000 . +b111001000 B +b111001000 : +b11100100001 3 +b11100001 & +b11100001 5 +b11100001 G +1+ +#8460 +0+ +#8461 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11100100010 3 +b11100010 & +b11100010 5 +b11100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8462 +0+ +#8463 +b11100100011 3 +b11100011 & +b11100011 5 +b11100011 G +1+ +#8464 +0+ +#8465 +b111001001 4 +b11100100100 3 +b11100100 & +b11100100 5 +b11100100 G +1+ +#8466 +0+ +#8467 +b111001001 . +b111001001 B +b111001001 : +b11100100101 3 +b11100101 & +b11100101 5 +b11100101 G +1+ +#8468 +0+ +#8469 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11100100110 3 +b11100110 & +b11100110 5 +b11100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8470 +0+ +#8471 +b11100100111 3 +b11100111 & +b11100111 5 +b11100111 G +1+ +#8472 +0+ +#8473 +b111001010 4 +b11100101000 3 +b11101000 & +b11101000 5 +b11101000 G +1+ +#8474 +0+ +#8475 +b111001010 . +b111001010 B +b111001010 : +b11100101001 3 +b11101001 & +b11101001 5 +b11101001 G +1+ +#8476 +0+ +#8477 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11100101010 3 +b11101010 & +b11101010 5 +b11101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8478 +0+ +#8479 +b11100101011 3 +b11101011 & +b11101011 5 +b11101011 G +1+ +#8480 +0+ +#8481 +b111001011 4 +b11100101100 3 +b11101100 & +b11101100 5 +b11101100 G +1+ +#8482 +0+ +#8483 +b111001011 . +b111001011 B +b111001011 : +b11100101101 3 +b11101101 & +b11101101 5 +b11101101 G +1+ +#8484 +0+ +#8485 +b1000 ' +b1000 6 +b100010000000 < +b11100101110 3 +b11101110 & +b11101110 5 +b11101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8486 +0+ +#8487 +b11100101111 3 +b11101111 & +b11101111 5 +b11101111 G +1+ +#8488 +0+ +#8489 +b111001100 4 +b11100110000 3 +b11110000 & +b11110000 5 +b11110000 G +1+ +#8490 +0+ +#8491 +b111001100 . +b111001100 B +b111001100 : +b11100110001 3 +b11110001 & +b11110001 5 +b11110001 G +1+ +#8492 +0+ +#8493 +b0 $ +b0 2 +b10000000 < +b11100110010 3 +b11110010 & +b11110010 5 +b11110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8494 +0+ +#8495 +b11100110011 3 +b11110011 & +b11110011 5 +b11110011 G +1+ +#8496 +0+ +#8497 +b111001101 4 +b11100110100 3 +b11110100 & +b11110100 5 +b11110100 G +1+ +#8498 +0+ +#8499 +b111001101 . +b111001101 B +b111001101 : +b11100110101 3 +b11110101 & +b11110101 5 +b11110101 G +1+ +#8500 +0+ +#8501 +b1000 * +b1000 9 +b10001000 < +b11100110110 3 +b11110110 & +b11110110 5 +b11110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8502 +0+ +#8503 +b11100110111 3 +b11110111 & +b11110111 5 +b11110111 G +1+ +#8504 +0+ +#8505 +b111001110 4 +b11100111000 3 +b11111000 & +b11111000 5 +b11111000 G +1+ +#8506 +0+ +#8507 +b111001110 . +b111001110 B +b111001110 : +b11100111001 3 +b11111001 & +b11111001 5 +b11111001 G +1+ +#8508 +0+ +#8509 +b0 ' +b0 6 +b1000 < +b11100111010 3 +b11111010 & +b11111010 5 +b11111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8510 +0+ +#8511 +b11100111011 3 +b11111011 & +b11111011 5 +b11111011 G +1+ +#8512 +0+ +#8513 +b111001111 4 +b11100111100 3 +b11111100 & +b11111100 5 +b11111100 G +1+ +#8514 +0+ +#8515 +b111001111 . +b111001111 B +b111001111 : +b11100111101 3 +b11111101 & +b11111101 5 +b11111101 G +1+ +#8516 +0+ +#8517 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11100111110 3 +b11111110 & +b11111110 5 +b11111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8518 +0+ +#8519 +b11100111111 3 +b11111111 & +b11111111 5 +b11111111 G +1+ +#8520 +0+ +#8521 +b111010000 4 +b11101000000 3 +b100000000 & +b100000000 5 +b100000000 G +1+ +#8522 +0+ +#8523 +b111010000 . +b111010000 B +b111010000 : +b11101000001 3 +b100000001 & +b100000001 5 +b100000001 G +1+ +#8524 +0+ +#8525 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11101000010 3 +b100000010 & +b100000010 5 +b100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8526 +0+ +#8527 +b11101000011 3 +b100000011 & +b100000011 5 +b100000011 G +1+ +#8528 +0+ +#8529 +b111010001 4 +b11101000100 3 +b100000100 & +b100000100 5 +b100000100 G +1+ +#8530 +0+ +#8531 +b111010001 . +b111010001 B +b111010001 : +b11101000101 3 +b100000101 & +b100000101 5 +b100000101 G +1+ +#8532 +0+ +#8533 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11101000110 3 +b100000110 & +b100000110 5 +b100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8534 +0+ +#8535 +b11101000111 3 +b100000111 & +b100000111 5 +b100000111 G +1+ +#8536 +0+ +#8537 +b111010010 4 +b11101001000 3 +b100001000 & +b100001000 5 +b100001000 G +1+ +#8538 +0+ +#8539 +b111010010 . +b111010010 B +b111010010 : +b11101001001 3 +b100001001 & +b100001001 5 +b100001001 G +1+ +#8540 +0+ +#8541 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11101001010 3 +b100001010 & +b100001010 5 +b100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8542 +0+ +#8543 +b11101001011 3 +b100001011 & +b100001011 5 +b100001011 G +1+ +#8544 +0+ +#8545 +b111010011 4 +b11101001100 3 +b100001100 & +b100001100 5 +b100001100 G +1+ +#8546 +0+ +#8547 +b111010011 . +b111010011 B +b111010011 : +b11101001101 3 +b100001101 & +b100001101 5 +b100001101 G +1+ +#8548 +0+ +#8549 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11101001110 3 +b100001110 & +b100001110 5 +b100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8550 +0+ +#8551 +b11101001111 3 +b100001111 & +b100001111 5 +b100001111 G +1+ +#8552 +0+ +#8553 +b111010100 4 +b11101010000 3 +b100010000 & +b100010000 5 +b100010000 G +1+ +#8554 +0+ +#8555 +b111010100 . +b111010100 B +b111010100 : +b11101010001 3 +b100010001 & +b100010001 5 +b100010001 G +1+ +#8556 +0+ +#8557 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11101010010 3 +b100010010 & +b100010010 5 +b100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8558 +0+ +#8559 +b11101010011 3 +b100010011 & +b100010011 5 +b100010011 G +1+ +#8560 +0+ +#8561 +b111010101 4 +b11101010100 3 +b100010100 & +b100010100 5 +b100010100 G +1+ +#8562 +0+ +#8563 +b111010101 . +b111010101 B +b111010101 : +b11101010101 3 +b100010101 & +b100010101 5 +b100010101 G +1+ +#8564 +0+ +#8565 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11101010110 3 +b100010110 & +b100010110 5 +b100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8566 +0+ +#8567 +b11101010111 3 +b100010111 & +b100010111 5 +b100010111 G +1+ +#8568 +0+ +#8569 +b111010110 4 +b11101011000 3 +b100011000 & +b100011000 5 +b100011000 G +1+ +#8570 +0+ +#8571 +b111010110 . +b111010110 B +b111010110 : +b11101011001 3 +b100011001 & +b100011001 5 +b100011001 G +1+ +#8572 +0+ +#8573 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11101011010 3 +b100011010 & +b100011010 5 +b100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8574 +0+ +#8575 +b11101011011 3 +b100011011 & +b100011011 5 +b100011011 G +1+ +#8576 +0+ +#8577 +b111010111 4 +b11101011100 3 +b100011100 & +b100011100 5 +b100011100 G +1+ +#8578 +0+ +#8579 +b111010111 . +b111010111 B +b111010111 : +b11101011101 3 +b100011101 & +b100011101 5 +b100011101 G +1+ +#8580 +0+ +#8581 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11101011110 3 +b100011110 & +b100011110 5 +b100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8582 +0+ +#8583 +b11101011111 3 +b100011111 & +b100011111 5 +b100011111 G +1+ +#8584 +0+ +#8585 +b111011000 4 +b11101100000 3 +b100100000 & +b100100000 5 +b100100000 G +1+ +#8586 +0+ +#8587 +b111011000 . +b111011000 B +b111011000 : +b11101100001 3 +b100100001 & +b100100001 5 +b100100001 G +1+ +#8588 +0+ +#8589 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11101100010 3 +b100100010 & +b100100010 5 +b100100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8590 +0+ +#8591 +b11101100011 3 +b100100011 & +b100100011 5 +b100100011 G +1+ +#8592 +0+ +#8593 +b111011001 4 +b11101100100 3 +b100100100 & +b100100100 5 +b100100100 G +1+ +#8594 +0+ +#8595 +b111011001 . +b111011001 B +b111011001 : +b11101100101 3 +b100100101 & +b100100101 5 +b100100101 G +1+ +#8596 +0+ +#8597 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11101100110 3 +b100100110 & +b100100110 5 +b100100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8598 +0+ +#8599 +b11101100111 3 +b100100111 & +b100100111 5 +b100100111 G +1+ +#8600 +0+ +#8601 +b111011010 4 +b11101101000 3 +b100101000 & +b100101000 5 +b100101000 G +1+ +#8602 +0+ +#8603 +b111011010 . +b111011010 B +b111011010 : +b11101101001 3 +b100101001 & +b100101001 5 +b100101001 G +1+ +#8604 +0+ +#8605 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11101101010 3 +b100101010 & +b100101010 5 +b100101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8606 +0+ +#8607 +b11101101011 3 +b100101011 & +b100101011 5 +b100101011 G +1+ +#8608 +0+ +#8609 +b111011011 4 +b11101101100 3 +b100101100 & +b100101100 5 +b100101100 G +1+ +#8610 +0+ +#8611 +b111011011 . +b111011011 B +b111011011 : +b11101101101 3 +b100101101 & +b100101101 5 +b100101101 G +1+ +#8612 +0+ +#8613 +b1000 ' +b1000 6 +b100010000000 < +b11101101110 3 +b100101110 & +b100101110 5 +b100101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8614 +0+ +#8615 +b11101101111 3 +b100101111 & +b100101111 5 +b100101111 G +1+ +#8616 +0+ +#8617 +b111011100 4 +b11101110000 3 +b100110000 & +b100110000 5 +b100110000 G +1+ +#8618 +0+ +#8619 +b111011100 . +b111011100 B +b111011100 : +b11101110001 3 +b100110001 & +b100110001 5 +b100110001 G +1+ +#8620 +0+ +#8621 +b0 $ +b0 2 +b10000000 < +b11101110010 3 +b100110010 & +b100110010 5 +b100110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8622 +0+ +#8623 +b11101110011 3 +b100110011 & +b100110011 5 +b100110011 G +1+ +#8624 +0+ +#8625 +b111011101 4 +b11101110100 3 +b100110100 & +b100110100 5 +b100110100 G +1+ +#8626 +0+ +#8627 +b111011101 . +b111011101 B +b111011101 : +b11101110101 3 +b100110101 & +b100110101 5 +b100110101 G +1+ +#8628 +0+ +#8629 +b1000 * +b1000 9 +b10001000 < +b11101110110 3 +b100110110 & +b100110110 5 +b100110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8630 +0+ +#8631 +b11101110111 3 +b100110111 & +b100110111 5 +b100110111 G +1+ +#8632 +0+ +#8633 +b111011110 4 +b11101111000 3 +b100111000 & +b100111000 5 +b100111000 G +1+ +#8634 +0+ +#8635 +b111011110 . +b111011110 B +b111011110 : +b11101111001 3 +b100111001 & +b100111001 5 +b100111001 G +1+ +#8636 +0+ +#8637 +b0 ' +b0 6 +b1000 < +b11101111010 3 +b100111010 & +b100111010 5 +b100111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8638 +0+ +#8639 +b11101111011 3 +b100111011 & +b100111011 5 +b100111011 G +1+ +#8640 +0+ +#8641 +b111011111 4 +b11101111100 3 +b100111100 & +b100111100 5 +b100111100 G +1+ +#8642 +0+ +#8643 +b111011111 . +b111011111 B +b111011111 : +b11101111101 3 +b100111101 & +b100111101 5 +b100111101 G +1+ +#8644 +0+ +#8645 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11101111110 3 +b100111110 & +b100111110 5 +b100111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8646 +0+ +#8647 +b11101111111 3 +b100111111 & +b100111111 5 +b100111111 G +1+ +#8648 +0+ +#8649 +b111100000 4 +b11110000000 3 +b101000000 & +b101000000 5 +b101000000 G +1+ +#8650 +0+ +#8651 +b111100000 . +b111100000 B +b111100000 : +b11110000001 3 +b101000001 & +b101000001 5 +b101000001 G +1+ +#8652 +0+ +#8653 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11110000010 3 +b101000010 & +b101000010 5 +b101000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8654 +0+ +#8655 +b11110000011 3 +b101000011 & +b101000011 5 +b101000011 G +1+ +#8656 +0+ +#8657 +b111100001 4 +b11110000100 3 +b101000100 & +b101000100 5 +b101000100 G +1+ +#8658 +0+ +#8659 +b111100001 . +b111100001 B +b111100001 : +b11110000101 3 +b101000101 & +b101000101 5 +b101000101 G +1+ +#8660 +0+ +#8661 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11110000110 3 +b101000110 & +b101000110 5 +b101000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8662 +0+ +#8663 +b11110000111 3 +b101000111 & +b101000111 5 +b101000111 G +1+ +#8664 +0+ +#8665 +b111100010 4 +b11110001000 3 +b101001000 & +b101001000 5 +b101001000 G +1+ +#8666 +0+ +#8667 +b111100010 . +b111100010 B +b111100010 : +b11110001001 3 +b101001001 & +b101001001 5 +b101001001 G +1+ +#8668 +0+ +#8669 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11110001010 3 +b101001010 & +b101001010 5 +b101001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8670 +0+ +#8671 +b11110001011 3 +b101001011 & +b101001011 5 +b101001011 G +1+ +#8672 +0+ +#8673 +b111100011 4 +b11110001100 3 +b101001100 & +b101001100 5 +b101001100 G +1+ +#8674 +0+ +#8675 +b111100011 . +b111100011 B +b111100011 : +b11110001101 3 +b101001101 & +b101001101 5 +b101001101 G +1+ +#8676 +0+ +#8677 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11110001110 3 +b101001110 & +b101001110 5 +b101001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8678 +0+ +#8679 +b11110001111 3 +b101001111 & +b101001111 5 +b101001111 G +1+ +#8680 +0+ +#8681 +b111100100 4 +b11110010000 3 +b101010000 & +b101010000 5 +b101010000 G +1+ +#8682 +0+ +#8683 +b111100100 . +b111100100 B +b111100100 : +b11110010001 3 +b101010001 & +b101010001 5 +b101010001 G +1+ +#8684 +0+ +#8685 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11110010010 3 +b101010010 & +b101010010 5 +b101010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8686 +0+ +#8687 +b11110010011 3 +b101010011 & +b101010011 5 +b101010011 G +1+ +#8688 +0+ +#8689 +b111100101 4 +b11110010100 3 +b101010100 & +b101010100 5 +b101010100 G +1+ +#8690 +0+ +#8691 +b111100101 . +b111100101 B +b111100101 : +b11110010101 3 +b101010101 & +b101010101 5 +b101010101 G +1+ +#8692 +0+ +#8693 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11110010110 3 +b101010110 & +b101010110 5 +b101010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8694 +0+ +#8695 +b11110010111 3 +b101010111 & +b101010111 5 +b101010111 G +1+ +#8696 +0+ +#8697 +b111100110 4 +b11110011000 3 +b101011000 & +b101011000 5 +b101011000 G +1+ +#8698 +0+ +#8699 +b111100110 . +b111100110 B +b111100110 : +b11110011001 3 +b101011001 & +b101011001 5 +b101011001 G +1+ +#8700 +0+ +#8701 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11110011010 3 +b101011010 & +b101011010 5 +b101011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8702 +0+ +#8703 +b11110011011 3 +b101011011 & +b101011011 5 +b101011011 G +1+ +#8704 +0+ +#8705 +b111100111 4 +b11110011100 3 +b101011100 & +b101011100 5 +b101011100 G +1+ +#8706 +0+ +#8707 +b111100111 . +b111100111 B +b111100111 : +b11110011101 3 +b101011101 & +b101011101 5 +b101011101 G +1+ +#8708 +0+ +#8709 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11110011110 3 +b101011110 & +b101011110 5 +b101011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8710 +0+ +#8711 +b11110011111 3 +b101011111 & +b101011111 5 +b101011111 G +1+ +#8712 +0+ +#8713 +b111101000 4 +b11110100000 3 +b101100000 & +b101100000 5 +b101100000 G +1+ +#8714 +0+ +#8715 +b111101000 . +b111101000 B +b111101000 : +b11110100001 3 +b101100001 & +b101100001 5 +b101100001 G +1+ +#8716 +0+ +#8717 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11110100010 3 +b101100010 & +b101100010 5 +b101100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8718 +0+ +#8719 +b11110100011 3 +b101100011 & +b101100011 5 +b101100011 G +1+ +#8720 +0+ +#8721 +b111101001 4 +b11110100100 3 +b101100100 & +b101100100 5 +b101100100 G +1+ +#8722 +0+ +#8723 +b111101001 . +b111101001 B +b111101001 : +b11110100101 3 +b101100101 & +b101100101 5 +b101100101 G +1+ +#8724 +0+ +#8725 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11110100110 3 +b101100110 & +b101100110 5 +b101100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8726 +0+ +#8727 +b11110100111 3 +b101100111 & +b101100111 5 +b101100111 G +1+ +#8728 +0+ +#8729 +b111101010 4 +b11110101000 3 +b101101000 & +b101101000 5 +b101101000 G +1+ +#8730 +0+ +#8731 +b111101010 . +b111101010 B +b111101010 : +b11110101001 3 +b101101001 & +b101101001 5 +b101101001 G +1+ +#8732 +0+ +#8733 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11110101010 3 +b101101010 & +b101101010 5 +b101101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8734 +0+ +#8735 +b11110101011 3 +b101101011 & +b101101011 5 +b101101011 G +1+ +#8736 +0+ +#8737 +b111101011 4 +b11110101100 3 +b101101100 & +b101101100 5 +b101101100 G +1+ +#8738 +0+ +#8739 +b111101011 . +b111101011 B +b111101011 : +b11110101101 3 +b101101101 & +b101101101 5 +b101101101 G +1+ +#8740 +0+ +#8741 +b1000 ' +b1000 6 +b100010000000 < +b11110101110 3 +b101101110 & +b101101110 5 +b101101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8742 +0+ +#8743 +b11110101111 3 +b101101111 & +b101101111 5 +b101101111 G +1+ +#8744 +0+ +#8745 +b111101100 4 +b11110110000 3 +b101110000 & +b101110000 5 +b101110000 G +1+ +#8746 +0+ +#8747 +b111101100 . +b111101100 B +b111101100 : +b11110110001 3 +b101110001 & +b101110001 5 +b101110001 G +1+ +#8748 +0+ +#8749 +b0 $ +b0 2 +b10000000 < +b11110110010 3 +b101110010 & +b101110010 5 +b101110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8750 +0+ +#8751 +b11110110011 3 +b101110011 & +b101110011 5 +b101110011 G +1+ +#8752 +0+ +#8753 +b111101101 4 +b11110110100 3 +b101110100 & +b101110100 5 +b101110100 G +1+ +#8754 +0+ +#8755 +b111101101 . +b111101101 B +b111101101 : +b11110110101 3 +b101110101 & +b101110101 5 +b101110101 G +1+ +#8756 +0+ +#8757 +b1000 * +b1000 9 +b10001000 < +b11110110110 3 +b101110110 & +b101110110 5 +b101110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8758 +0+ +#8759 +b11110110111 3 +b101110111 & +b101110111 5 +b101110111 G +1+ +#8760 +0+ +#8761 +b111101110 4 +b11110111000 3 +b101111000 & +b101111000 5 +b101111000 G +1+ +#8762 +0+ +#8763 +b111101110 . +b111101110 B +b111101110 : +b11110111001 3 +b101111001 & +b101111001 5 +b101111001 G +1+ +#8764 +0+ +#8765 +b0 ' +b0 6 +b1000 < +b11110111010 3 +b101111010 & +b101111010 5 +b101111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8766 +0+ +#8767 +b11110111011 3 +b101111011 & +b101111011 5 +b101111011 G +1+ +#8768 +0+ +#8769 +b111101111 4 +b11110111100 3 +b101111100 & +b101111100 5 +b101111100 G +1+ +#8770 +0+ +#8771 +b111101111 . +b111101111 B +b111101111 : +b11110111101 3 +b101111101 & +b101111101 5 +b101111101 G +1+ +#8772 +0+ +#8773 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11110111110 3 +b101111110 & +b101111110 5 +b101111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8774 +0+ +#8775 +b11110111111 3 +b101111111 & +b101111111 5 +b101111111 G +1+ +#8776 +0+ +#8777 +b111110000 4 +b11111000000 3 +b110000000 & +b110000000 5 +b110000000 G +1+ +#8778 +0+ +#8779 +b111110000 . +b111110000 B +b111110000 : +b11111000001 3 +b110000001 & +b110000001 5 +b110000001 G +1+ +#8780 +0+ +#8781 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11111000010 3 +b110000010 & +b110000010 5 +b110000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8782 +0+ +#8783 +b11111000011 3 +b110000011 & +b110000011 5 +b110000011 G +1+ +#8784 +0+ +#8785 +b111110001 4 +b11111000100 3 +b110000100 & +b110000100 5 +b110000100 G +1+ +#8786 +0+ +#8787 +b111110001 . +b111110001 B +b111110001 : +b11111000101 3 +b110000101 & +b110000101 5 +b110000101 G +1+ +#8788 +0+ +#8789 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11111000110 3 +b110000110 & +b110000110 5 +b110000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8790 +0+ +#8791 +b11111000111 3 +b110000111 & +b110000111 5 +b110000111 G +1+ +#8792 +0+ +#8793 +b111110010 4 +b11111001000 3 +b110001000 & +b110001000 5 +b110001000 G +1+ +#8794 +0+ +#8795 +b111110010 . +b111110010 B +b111110010 : +b11111001001 3 +b110001001 & +b110001001 5 +b110001001 G +1+ +#8796 +0+ +#8797 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11111001010 3 +b110001010 & +b110001010 5 +b110001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8798 +0+ +#8799 +b11111001011 3 +b110001011 & +b110001011 5 +b110001011 G +1+ +#8800 +0+ +#8801 +b111110011 4 +b11111001100 3 +b110001100 & +b110001100 5 +b110001100 G +1+ +#8802 +0+ +#8803 +b111110011 . +b111110011 B +b111110011 : +b11111001101 3 +b110001101 & +b110001101 5 +b110001101 G +1+ +#8804 +0+ +#8805 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11111001110 3 +b110001110 & +b110001110 5 +b110001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8806 +0+ +#8807 +b11111001111 3 +b110001111 & +b110001111 5 +b110001111 G +1+ +#8808 +0+ +#8809 +b111110100 4 +b11111010000 3 +b110010000 & +b110010000 5 +b110010000 G +1+ +#8810 +0+ +#8811 +b111110100 . +b111110100 B +b111110100 : +b11111010001 3 +b110010001 & +b110010001 5 +b110010001 G +1+ +#8812 +0+ +#8813 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11111010010 3 +b110010010 & +b110010010 5 +b110010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8814 +0+ +#8815 +b11111010011 3 +b110010011 & +b110010011 5 +b110010011 G +1+ +#8816 +0+ +#8817 +b111110101 4 +b11111010100 3 +b110010100 & +b110010100 5 +b110010100 G +1+ +#8818 +0+ +#8819 +b111110101 . +b111110101 B +b111110101 : +b11111010101 3 +b110010101 & +b110010101 5 +b110010101 G +1+ +#8820 +0+ +#8821 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11111010110 3 +b110010110 & +b110010110 5 +b110010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8822 +0+ +#8823 +b11111010111 3 +b110010111 & +b110010111 5 +b110010111 G +1+ +#8824 +0+ +#8825 +b111110110 4 +b11111011000 3 +b110011000 & +b110011000 5 +b110011000 G +1+ +#8826 +0+ +#8827 +b111110110 . +b111110110 B +b111110110 : +b11111011001 3 +b110011001 & +b110011001 5 +b110011001 G +1+ +#8828 +0+ +#8829 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11111011010 3 +b110011010 & +b110011010 5 +b110011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8830 +0+ +#8831 +b11111011011 3 +b110011011 & +b110011011 5 +b110011011 G +1+ +#8832 +0+ +#8833 +b111110111 4 +b11111011100 3 +b110011100 & +b110011100 5 +b110011100 G +1+ +#8834 +0+ +#8835 +b111110111 . +b111110111 B +b111110111 : +b11111011101 3 +b110011101 & +b110011101 5 +b110011101 G +1+ +#8836 +0+ +#8837 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11111011110 3 +b110011110 & +b110011110 5 +b110011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8838 +0+ +#8839 +b11111011111 3 +b110011111 & +b110011111 5 +b110011111 G +1+ +#8840 +0+ +#8841 +b111111000 4 +b11111100000 3 +b110100000 & +b110100000 5 +b110100000 G +1+ +#8842 +0+ +#8843 +b111111000 . +b111111000 B +b111111000 : +b11111100001 3 +b110100001 & +b110100001 5 +b110100001 G +1+ +#8844 +0+ +#8845 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11111100010 3 +b110100010 & +b110100010 5 +b110100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8846 +0+ +#8847 +b11111100011 3 +b110100011 & +b110100011 5 +b110100011 G +1+ +#8848 +0+ +#8849 +b111111001 4 +b11111100100 3 +b110100100 & +b110100100 5 +b110100100 G +1+ +#8850 +0+ +#8851 +b111111001 . +b111111001 B +b111111001 : +b11111100101 3 +b110100101 & +b110100101 5 +b110100101 G +1+ +#8852 +0+ +#8853 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11111100110 3 +b110100110 & +b110100110 5 +b110100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8854 +0+ +#8855 +b11111100111 3 +b110100111 & +b110100111 5 +b110100111 G +1+ +#8856 +0+ +#8857 +b111111010 4 +b11111101000 3 +b110101000 & +b110101000 5 +b110101000 G +1+ +#8858 +0+ +#8859 +b111111010 . +b111111010 B +b111111010 : +b11111101001 3 +b110101001 & +b110101001 5 +b110101001 G +1+ +#8860 +0+ +#8861 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11111101010 3 +b110101010 & +b110101010 5 +b110101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8862 +0+ +#8863 +b11111101011 3 +b110101011 & +b110101011 5 +b110101011 G +1+ +#8864 +0+ +#8865 +b111111011 4 +b11111101100 3 +b110101100 & +b110101100 5 +b110101100 G +1+ +#8866 +0+ +#8867 +b111111011 . +b111111011 B +b111111011 : +b11111101101 3 +b110101101 & +b110101101 5 +b110101101 G +1+ +#8868 +0+ +#8869 +b1000 ' +b1000 6 +b100010000000 < +b11111101110 3 +b110101110 & +b110101110 5 +b110101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8870 +0+ +#8871 +b11111101111 3 +b110101111 & +b110101111 5 +b110101111 G +1+ +#8872 +0+ +#8873 +b111111100 4 +b11111110000 3 +b110110000 & +b110110000 5 +b110110000 G +1+ +#8874 +0+ +#8875 +b111111100 . +b111111100 B +b111111100 : +b11111110001 3 +b110110001 & +b110110001 5 +b110110001 G +1+ +#8876 +0+ +#8877 +b0 $ +b0 2 +b10000000 < +b11111110010 3 +b110110010 & +b110110010 5 +b110110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#8878 +0+ +#8879 +b11111110011 3 +b110110011 & +b110110011 5 +b110110011 G +1+ +#8880 +0+ +#8881 +b111111101 4 +b11111110100 3 +b110110100 & +b110110100 5 +b110110100 G +1+ +#8882 +0+ +#8883 +b111111101 . +b111111101 B +b111111101 : +b11111110101 3 +b110110101 & +b110110101 5 +b110110101 G +1+ +#8884 +0+ +#8885 +b1000 * +b1000 9 +b10001000 < +b11111110110 3 +b110110110 & +b110110110 5 +b110110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#8886 +0+ +#8887 +b11111110111 3 +b110110111 & +b110110111 5 +b110110111 G +1+ +#8888 +0+ +#8889 +b111111110 4 +b11111111000 3 +b110111000 & +b110111000 5 +b110111000 G +1+ +#8890 +0+ +#8891 +b111111110 . +b111111110 B +b111111110 : +b11111111001 3 +b110111001 & +b110111001 5 +b110111001 G +1+ +#8892 +0+ +#8893 +b0 ' +b0 6 +b1000 < +b11111111010 3 +b110111010 & +b110111010 5 +b110111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#8894 +0+ +#8895 +b11111111011 3 +b110111011 & +b110111011 5 +b110111011 G +1+ +#8896 +0+ +#8897 +b111111111 4 +b11111111100 3 +b110111100 & +b110111100 5 +b110111100 G +1+ +#8898 +0+ +#8899 +b111111111 . +b111111111 B +b111111111 : +b11111111101 3 +b110111101 & +b110111101 5 +b110111101 G +1+ +#8900 +0+ +#8901 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11111111110 3 +b110111110 & +b110111110 5 +b110111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#8902 +0+ +#8903 +b11111111111 3 +b110111111 & +b110111111 5 +b110111111 G +1+ +#8904 +0+ +#8905 +b1000000000 4 +b100000000000 3 +b111000000 & +b111000000 5 +b111000000 G +1+ +#8906 +0+ +#8907 +b1000000000 . +b1000000000 B +b1000000000 : +b100000000001 3 +b111000001 & +b111000001 5 +b111000001 G +1+ +#8908 +0+ +#8909 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100000000010 3 +b111000010 & +b111000010 5 +b111000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#8910 +0+ +#8911 +b100000000011 3 +b111000011 & +b111000011 5 +b111000011 G +1+ +#8912 +0+ +#8913 +b1000000001 4 +b100000000100 3 +b111000100 & +b111000100 5 +b111000100 G +1+ +#8914 +0+ +#8915 +b1000000001 . +b1000000001 B +b1000000001 : +b100000000101 3 +b111000101 & +b111000101 5 +b111000101 G +1+ +#8916 +0+ +#8917 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100000000110 3 +b111000110 & +b111000110 5 +b111000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#8918 +0+ +#8919 +b100000000111 3 +b111000111 & +b111000111 5 +b111000111 G +1+ +#8920 +0+ +#8921 +b1000000010 4 +b100000001000 3 +b111001000 & +b111001000 5 +b111001000 G +1+ +#8922 +0+ +#8923 +b1000000010 . +b1000000010 B +b1000000010 : +b100000001001 3 +b111001001 & +b111001001 5 +b111001001 G +1+ +#8924 +0+ +#8925 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100000001010 3 +b111001010 & +b111001010 5 +b111001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#8926 +0+ +#8927 +b100000001011 3 +b111001011 & +b111001011 5 +b111001011 G +1+ +#8928 +0+ +#8929 +b1000000011 4 +b100000001100 3 +b111001100 & +b111001100 5 +b111001100 G +1+ +#8930 +0+ +#8931 +b1000000011 . +b1000000011 B +b1000000011 : +b100000001101 3 +b111001101 & +b111001101 5 +b111001101 G +1+ +#8932 +0+ +#8933 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100000001110 3 +b111001110 & +b111001110 5 +b111001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#8934 +0+ +#8935 +b100000001111 3 +b111001111 & +b111001111 5 +b111001111 G +1+ +#8936 +0+ +#8937 +b1000000100 4 +b100000010000 3 +b111010000 & +b111010000 5 +b111010000 G +1+ +#8938 +0+ +#8939 +b1000000100 . +b1000000100 B +b1000000100 : +b100000010001 3 +b111010001 & +b111010001 5 +b111010001 G +1+ +#8940 +0+ +#8941 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100000010010 3 +b111010010 & +b111010010 5 +b111010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#8942 +0+ +#8943 +b100000010011 3 +b111010011 & +b111010011 5 +b111010011 G +1+ +#8944 +0+ +#8945 +b1000000101 4 +b100000010100 3 +b111010100 & +b111010100 5 +b111010100 G +1+ +#8946 +0+ +#8947 +b1000000101 . +b1000000101 B +b1000000101 : +b100000010101 3 +b111010101 & +b111010101 5 +b111010101 G +1+ +#8948 +0+ +#8949 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100000010110 3 +b111010110 & +b111010110 5 +b111010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#8950 +0+ +#8951 +b100000010111 3 +b111010111 & +b111010111 5 +b111010111 G +1+ +#8952 +0+ +#8953 +b1000000110 4 +b100000011000 3 +b111011000 & +b111011000 5 +b111011000 G +1+ +#8954 +0+ +#8955 +b1000000110 . +b1000000110 B +b1000000110 : +b100000011001 3 +b111011001 & +b111011001 5 +b111011001 G +1+ +#8956 +0+ +#8957 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100000011010 3 +b111011010 & +b111011010 5 +b111011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#8958 +0+ +#8959 +b100000011011 3 +b111011011 & +b111011011 5 +b111011011 G +1+ +#8960 +0+ +#8961 +b1000000111 4 +b100000011100 3 +b111011100 & +b111011100 5 +b111011100 G +1+ +#8962 +0+ +#8963 +b1000000111 . +b1000000111 B +b1000000111 : +b100000011101 3 +b111011101 & +b111011101 5 +b111011101 G +1+ +#8964 +0+ +#8965 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100000011110 3 +b111011110 & +b111011110 5 +b111011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#8966 +0+ +#8967 +b100000011111 3 +b111011111 & +b111011111 5 +b111011111 G +1+ +#8968 +0+ +#8969 +b1000001000 4 +b100000100000 3 +b111100000 & +b111100000 5 +b111100000 G +1+ +#8970 +0+ +#8971 +b1000001000 . +b1000001000 B +b1000001000 : +b100000100001 3 +b111100001 & +b111100001 5 +b111100001 G +1+ +#8972 +0+ +#8973 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100000100010 3 +b111100010 & +b111100010 5 +b111100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#8974 +0+ +#8975 +b100000100011 3 +b111100011 & +b111100011 5 +b111100011 G +1+ +#8976 +0+ +#8977 +b1000001001 4 +b100000100100 3 +b111100100 & +b111100100 5 +b111100100 G +1+ +#8978 +0+ +#8979 +b1000001001 . +b1000001001 B +b1000001001 : +b100000100101 3 +b111100101 & +b111100101 5 +b111100101 G +1+ +#8980 +0+ +#8981 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100000100110 3 +b111100110 & +b111100110 5 +b111100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#8982 +0+ +#8983 +b100000100111 3 +b111100111 & +b111100111 5 +b111100111 G +1+ +#8984 +0+ +#8985 +b1000001010 4 +b100000101000 3 +b111101000 & +b111101000 5 +b111101000 G +1+ +#8986 +0+ +#8987 +b1000001010 . +b1000001010 B +b1000001010 : +b100000101001 3 +b111101001 & +b111101001 5 +b111101001 G +1+ +#8988 +0+ +#8989 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100000101010 3 +b111101010 & +b111101010 5 +b111101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#8990 +0+ +#8991 +b100000101011 3 +b111101011 & +b111101011 5 +b111101011 G +1+ +#8992 +0+ +#8993 +b1000001011 4 +b100000101100 3 +b111101100 & +b111101100 5 +b111101100 G +1+ +#8994 +0+ +#8995 +b1000001011 . +b1000001011 B +b1000001011 : +b100000101101 3 +b111101101 & +b111101101 5 +b111101101 G +1+ +#8996 +0+ +#8997 +b1000 ' +b1000 6 +b100010000000 < +b100000101110 3 +b111101110 & +b111101110 5 +b111101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#8998 +0+ +#8999 +b100000101111 3 +b111101111 & +b111101111 5 +b111101111 G +1+ +#9000 +0+ +#9001 +b1000001100 4 +b100000110000 3 +b111110000 & +b111110000 5 +b111110000 G +1+ +#9002 +0+ +#9003 +b1000001100 . +b1000001100 B +b1000001100 : +b100000110001 3 +b111110001 & +b111110001 5 +b111110001 G +1+ +#9004 +0+ +#9005 +b0 $ +b0 2 +b10000000 < +b100000110010 3 +b111110010 & +b111110010 5 +b111110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9006 +0+ +#9007 +b100000110011 3 +b111110011 & +b111110011 5 +b111110011 G +1+ +#9008 +0+ +#9009 +b1000001101 4 +b100000110100 3 +b111110100 & +b111110100 5 +b111110100 G +1+ +#9010 +0+ +#9011 +b1000001101 . +b1000001101 B +b1000001101 : +b100000110101 3 +b111110101 & +b111110101 5 +b111110101 G +1+ +#9012 +0+ +#9013 +b1000 * +b1000 9 +b10001000 < +b100000110110 3 +b111110110 & +b111110110 5 +b111110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9014 +0+ +#9015 +b100000110111 3 +b111110111 & +b111110111 5 +b111110111 G +1+ +#9016 +0+ +#9017 +b1000001110 4 +b100000111000 3 +b111111000 & +b111111000 5 +b111111000 G +1+ +#9018 +0+ +#9019 +b1000001110 . +b1000001110 B +b1000001110 : +b100000111001 3 +b111111001 & +b111111001 5 +b111111001 G +1+ +#9020 +0+ +#9021 +b0 ' +b0 6 +b1000 < +b100000111010 3 +b111111010 & +b111111010 5 +b111111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9022 +0+ +#9023 +b100000111011 3 +b111111011 & +b111111011 5 +b111111011 G +1+ +#9024 +0+ +#9025 +b1000001111 4 +b100000111100 3 +b111111100 & +b111111100 5 +b111111100 G +1+ +#9026 +0+ +#9027 +b1000001111 . +b1000001111 B +b1000001111 : +b100000111101 3 +b111111101 & +b111111101 5 +b111111101 G +1+ +#9028 +0+ +#9029 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100000111110 3 +b111111110 & +b111111110 5 +b111111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9030 +0+ +#9031 +b100000111111 3 +b111111111 & +b111111111 5 +b111111111 G +1+ +#9032 +0+ +#9033 +b1000010000 4 +b100001000000 3 +b1000000000 & +b1000000000 5 +b1000000000 G +1+ +#9034 +0+ +#9035 +b1000010000 . +b1000010000 B +b1000010000 : +b100001000001 3 +b1000000001 & +b1000000001 5 +b1000000001 G +1+ +#9036 +0+ +#9037 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100001000010 3 +b1000000010 & +b1000000010 5 +b1000000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9038 +0+ +#9039 +b100001000011 3 +b1000000011 & +b1000000011 5 +b1000000011 G +1+ +#9040 +0+ +#9041 +b1000010001 4 +b100001000100 3 +b1000000100 & +b1000000100 5 +b1000000100 G +1+ +#9042 +0+ +#9043 +b1000010001 . +b1000010001 B +b1000010001 : +b100001000101 3 +b1000000101 & +b1000000101 5 +b1000000101 G +1+ +#9044 +0+ +#9045 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100001000110 3 +b1000000110 & +b1000000110 5 +b1000000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9046 +0+ +#9047 +b100001000111 3 +b1000000111 & +b1000000111 5 +b1000000111 G +1+ +#9048 +0+ +#9049 +b1000010010 4 +b100001001000 3 +b1000001000 & +b1000001000 5 +b1000001000 G +1+ +#9050 +0+ +#9051 +b1000010010 . +b1000010010 B +b1000010010 : +b100001001001 3 +b1000001001 & +b1000001001 5 +b1000001001 G +1+ +#9052 +0+ +#9053 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100001001010 3 +b1000001010 & +b1000001010 5 +b1000001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9054 +0+ +#9055 +b100001001011 3 +b1000001011 & +b1000001011 5 +b1000001011 G +1+ +#9056 +0+ +#9057 +b1000010011 4 +b100001001100 3 +b1000001100 & +b1000001100 5 +b1000001100 G +1+ +#9058 +0+ +#9059 +b1000010011 . +b1000010011 B +b1000010011 : +b100001001101 3 +b1000001101 & +b1000001101 5 +b1000001101 G +1+ +#9060 +0+ +#9061 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100001001110 3 +b1000001110 & +b1000001110 5 +b1000001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9062 +0+ +#9063 +b100001001111 3 +b1000001111 & +b1000001111 5 +b1000001111 G +1+ +#9064 +0+ +#9065 +b1000010100 4 +b100001010000 3 +b1000010000 & +b1000010000 5 +b1000010000 G +1+ +#9066 +0+ +#9067 +b1000010100 . +b1000010100 B +b1000010100 : +b100001010001 3 +b1000010001 & +b1000010001 5 +b1000010001 G +1+ +#9068 +0+ +#9069 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100001010010 3 +b1000010010 & +b1000010010 5 +b1000010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9070 +0+ +#9071 +b100001010011 3 +b1000010011 & +b1000010011 5 +b1000010011 G +1+ +#9072 +0+ +#9073 +b1000010101 4 +b100001010100 3 +b1000010100 & +b1000010100 5 +b1000010100 G +1+ +#9074 +0+ +#9075 +b1000010101 . +b1000010101 B +b1000010101 : +b100001010101 3 +b1000010101 & +b1000010101 5 +b1000010101 G +1+ +#9076 +0+ +#9077 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100001010110 3 +b1000010110 & +b1000010110 5 +b1000010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9078 +0+ +#9079 +b100001010111 3 +b1000010111 & +b1000010111 5 +b1000010111 G +1+ +#9080 +0+ +#9081 +b1000010110 4 +b100001011000 3 +b1000011000 & +b1000011000 5 +b1000011000 G +1+ +#9082 +0+ +#9083 +b1000010110 . +b1000010110 B +b1000010110 : +b100001011001 3 +b1000011001 & +b1000011001 5 +b1000011001 G +1+ +#9084 +0+ +#9085 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100001011010 3 +b1000011010 & +b1000011010 5 +b1000011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9086 +0+ +#9087 +b100001011011 3 +b1000011011 & +b1000011011 5 +b1000011011 G +1+ +#9088 +0+ +#9089 +b1000010111 4 +b100001011100 3 +b1000011100 & +b1000011100 5 +b1000011100 G +1+ +#9090 +0+ +#9091 +b1000010111 . +b1000010111 B +b1000010111 : +b100001011101 3 +b1000011101 & +b1000011101 5 +b1000011101 G +1+ +#9092 +0+ +#9093 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100001011110 3 +b1000011110 & +b1000011110 5 +b1000011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9094 +0+ +#9095 +b100001011111 3 +b1000011111 & +b1000011111 5 +b1000011111 G +1+ +#9096 +0+ +#9097 +b1000011000 4 +b100001100000 3 +b1000100000 & +b1000100000 5 +b1000100000 G +1+ +#9098 +0+ +#9099 +b1000011000 . +b1000011000 B +b1000011000 : +b100001100001 3 +b1000100001 & +b1000100001 5 +b1000100001 G +1+ +#9100 +0+ +#9101 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100001100010 3 +b1000100010 & +b1000100010 5 +b1000100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9102 +0+ +#9103 +b100001100011 3 +b1000100011 & +b1000100011 5 +b1000100011 G +1+ +#9104 +0+ +#9105 +b1000011001 4 +b100001100100 3 +b1000100100 & +b1000100100 5 +b1000100100 G +1+ +#9106 +0+ +#9107 +b1000011001 . +b1000011001 B +b1000011001 : +b100001100101 3 +b1000100101 & +b1000100101 5 +b1000100101 G +1+ +#9108 +0+ +#9109 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100001100110 3 +b1000100110 & +b1000100110 5 +b1000100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9110 +0+ +#9111 +b100001100111 3 +b1000100111 & +b1000100111 5 +b1000100111 G +1+ +#9112 +0+ +#9113 +b1000011010 4 +b100001101000 3 +b1000101000 & +b1000101000 5 +b1000101000 G +1+ +#9114 +0+ +#9115 +b1000011010 . +b1000011010 B +b1000011010 : +b100001101001 3 +b1000101001 & +b1000101001 5 +b1000101001 G +1+ +#9116 +0+ +#9117 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100001101010 3 +b1000101010 & +b1000101010 5 +b1000101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9118 +0+ +#9119 +b100001101011 3 +b1000101011 & +b1000101011 5 +b1000101011 G +1+ +#9120 +0+ +#9121 +b1000011011 4 +b100001101100 3 +b1000101100 & +b1000101100 5 +b1000101100 G +1+ +#9122 +0+ +#9123 +b1000011011 . +b1000011011 B +b1000011011 : +b100001101101 3 +b1000101101 & +b1000101101 5 +b1000101101 G +1+ +#9124 +0+ +#9125 +b1000 ' +b1000 6 +b100010000000 < +b100001101110 3 +b1000101110 & +b1000101110 5 +b1000101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9126 +0+ +#9127 +b100001101111 3 +b1000101111 & +b1000101111 5 +b1000101111 G +1+ +#9128 +0+ +#9129 +b1000011100 4 +b100001110000 3 +b1000110000 & +b1000110000 5 +b1000110000 G +1+ +#9130 +0+ +#9131 +b1000011100 . +b1000011100 B +b1000011100 : +b100001110001 3 +b1000110001 & +b1000110001 5 +b1000110001 G +1+ +#9132 +0+ +#9133 +b0 $ +b0 2 +b10000000 < +b100001110010 3 +b1000110010 & +b1000110010 5 +b1000110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9134 +0+ +#9135 +b100001110011 3 +b1000110011 & +b1000110011 5 +b1000110011 G +1+ +#9136 +0+ +#9137 +b1000011101 4 +b100001110100 3 +b1000110100 & +b1000110100 5 +b1000110100 G +1+ +#9138 +0+ +#9139 +b1000011101 . +b1000011101 B +b1000011101 : +b100001110101 3 +b1000110101 & +b1000110101 5 +b1000110101 G +1+ +#9140 +0+ +#9141 +b1000 * +b1000 9 +b10001000 < +b100001110110 3 +b1000110110 & +b1000110110 5 +b1000110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9142 +0+ +#9143 +b100001110111 3 +b1000110111 & +b1000110111 5 +b1000110111 G +1+ +#9144 +0+ +#9145 +b1000011110 4 +b100001111000 3 +b1000111000 & +b1000111000 5 +b1000111000 G +1+ +#9146 +0+ +#9147 +b1000011110 . +b1000011110 B +b1000011110 : +b100001111001 3 +b1000111001 & +b1000111001 5 +b1000111001 G +1+ +#9148 +0+ +#9149 +b0 ' +b0 6 +b1000 < +b100001111010 3 +b1000111010 & +b1000111010 5 +b1000111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9150 +0+ +#9151 +b100001111011 3 +b1000111011 & +b1000111011 5 +b1000111011 G +1+ +#9152 +0+ +#9153 +b1000011111 4 +b100001111100 3 +b1000111100 & +b1000111100 5 +b1000111100 G +1+ +#9154 +0+ +#9155 +b1000011111 . +b1000011111 B +b1000011111 : +b100001111101 3 +b1000111101 & +b1000111101 5 +b1000111101 G +1+ +#9156 +0+ +#9157 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100001111110 3 +b1000111110 & +b1000111110 5 +b1000111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9158 +0+ +#9159 +b100001111111 3 +b1000111111 & +b1000111111 5 +b1000111111 G +1+ +#9160 +0+ +#9161 +b1000100000 4 +b100010000000 3 +b1001000000 & +b1001000000 5 +b1001000000 G +1+ +#9162 +0+ +#9163 +b1000100000 . +b1000100000 B +b1000100000 : +b100010000001 3 +b1001000001 & +b1001000001 5 +b1001000001 G +1+ +#9164 +0+ +#9165 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100010000010 3 +b1001000010 & +b1001000010 5 +b1001000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9166 +0+ +#9167 +b100010000011 3 +b1001000011 & +b1001000011 5 +b1001000011 G +1+ +#9168 +0+ +#9169 +b1000100001 4 +b100010000100 3 +b1001000100 & +b1001000100 5 +b1001000100 G +1+ +#9170 +0+ +#9171 +b1000100001 . +b1000100001 B +b1000100001 : +b100010000101 3 +b1001000101 & +b1001000101 5 +b1001000101 G +1+ +#9172 +0+ +#9173 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100010000110 3 +b1001000110 & +b1001000110 5 +b1001000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9174 +0+ +#9175 +b100010000111 3 +b1001000111 & +b1001000111 5 +b1001000111 G +1+ +#9176 +0+ +#9177 +b1000100010 4 +b100010001000 3 +b1001001000 & +b1001001000 5 +b1001001000 G +1+ +#9178 +0+ +#9179 +b1000100010 . +b1000100010 B +b1000100010 : +b100010001001 3 +b1001001001 & +b1001001001 5 +b1001001001 G +1+ +#9180 +0+ +#9181 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100010001010 3 +b1001001010 & +b1001001010 5 +b1001001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9182 +0+ +#9183 +b100010001011 3 +b1001001011 & +b1001001011 5 +b1001001011 G +1+ +#9184 +0+ +#9185 +b1000100011 4 +b100010001100 3 +b1001001100 & +b1001001100 5 +b1001001100 G +1+ +#9186 +0+ +#9187 +b1000100011 . +b1000100011 B +b1000100011 : +b100010001101 3 +b1001001101 & +b1001001101 5 +b1001001101 G +1+ +#9188 +0+ +#9189 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100010001110 3 +b1001001110 & +b1001001110 5 +b1001001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9190 +0+ +#9191 +b100010001111 3 +b1001001111 & +b1001001111 5 +b1001001111 G +1+ +#9192 +0+ +#9193 +b1000100100 4 +b100010010000 3 +b1001010000 & +b1001010000 5 +b1001010000 G +1+ +#9194 +0+ +#9195 +b1000100100 . +b1000100100 B +b1000100100 : +b100010010001 3 +b1001010001 & +b1001010001 5 +b1001010001 G +1+ +#9196 +0+ +#9197 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100010010010 3 +b1001010010 & +b1001010010 5 +b1001010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9198 +0+ +#9199 +b100010010011 3 +b1001010011 & +b1001010011 5 +b1001010011 G +1+ +#9200 +0+ +#9201 +b1000100101 4 +b100010010100 3 +b1001010100 & +b1001010100 5 +b1001010100 G +1+ +#9202 +0+ +#9203 +b1000100101 . +b1000100101 B +b1000100101 : +b100010010101 3 +b1001010101 & +b1001010101 5 +b1001010101 G +1+ +#9204 +0+ +#9205 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100010010110 3 +b1001010110 & +b1001010110 5 +b1001010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9206 +0+ +#9207 +b100010010111 3 +b1001010111 & +b1001010111 5 +b1001010111 G +1+ +#9208 +0+ +#9209 +b1000100110 4 +b100010011000 3 +b1001011000 & +b1001011000 5 +b1001011000 G +1+ +#9210 +0+ +#9211 +b1000100110 . +b1000100110 B +b1000100110 : +b100010011001 3 +b1001011001 & +b1001011001 5 +b1001011001 G +1+ +#9212 +0+ +#9213 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100010011010 3 +b1001011010 & +b1001011010 5 +b1001011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9214 +0+ +#9215 +b100010011011 3 +b1001011011 & +b1001011011 5 +b1001011011 G +1+ +#9216 +0+ +#9217 +b1000100111 4 +b100010011100 3 +b1001011100 & +b1001011100 5 +b1001011100 G +1+ +#9218 +0+ +#9219 +b1000100111 . +b1000100111 B +b1000100111 : +b100010011101 3 +b1001011101 & +b1001011101 5 +b1001011101 G +1+ +#9220 +0+ +#9221 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100010011110 3 +b1001011110 & +b1001011110 5 +b1001011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9222 +0+ +#9223 +b100010011111 3 +b1001011111 & +b1001011111 5 +b1001011111 G +1+ +#9224 +0+ +#9225 +b1000101000 4 +b100010100000 3 +b1001100000 & +b1001100000 5 +b1001100000 G +1+ +#9226 +0+ +#9227 +b1000101000 . +b1000101000 B +b1000101000 : +b100010100001 3 +b1001100001 & +b1001100001 5 +b1001100001 G +1+ +#9228 +0+ +#9229 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100010100010 3 +b1001100010 & +b1001100010 5 +b1001100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9230 +0+ +#9231 +b100010100011 3 +b1001100011 & +b1001100011 5 +b1001100011 G +1+ +#9232 +0+ +#9233 +b1000101001 4 +b100010100100 3 +b1001100100 & +b1001100100 5 +b1001100100 G +1+ +#9234 +0+ +#9235 +b1000101001 . +b1000101001 B +b1000101001 : +b100010100101 3 +b1001100101 & +b1001100101 5 +b1001100101 G +1+ +#9236 +0+ +#9237 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100010100110 3 +b1001100110 & +b1001100110 5 +b1001100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9238 +0+ +#9239 +b100010100111 3 +b1001100111 & +b1001100111 5 +b1001100111 G +1+ +#9240 +0+ +#9241 +b1000101010 4 +b100010101000 3 +b1001101000 & +b1001101000 5 +b1001101000 G +1+ +#9242 +0+ +#9243 +b1000101010 . +b1000101010 B +b1000101010 : +b100010101001 3 +b1001101001 & +b1001101001 5 +b1001101001 G +1+ +#9244 +0+ +#9245 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100010101010 3 +b1001101010 & +b1001101010 5 +b1001101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9246 +0+ +#9247 +b100010101011 3 +b1001101011 & +b1001101011 5 +b1001101011 G +1+ +#9248 +0+ +#9249 +b1000101011 4 +b100010101100 3 +b1001101100 & +b1001101100 5 +b1001101100 G +1+ +#9250 +0+ +#9251 +b1000101011 . +b1000101011 B +b1000101011 : +b100010101101 3 +b1001101101 & +b1001101101 5 +b1001101101 G +1+ +#9252 +0+ +#9253 +b1000 ' +b1000 6 +b100010000000 < +b100010101110 3 +b1001101110 & +b1001101110 5 +b1001101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9254 +0+ +#9255 +b100010101111 3 +b1001101111 & +b1001101111 5 +b1001101111 G +1+ +#9256 +0+ +#9257 +b1000101100 4 +b100010110000 3 +b1001110000 & +b1001110000 5 +b1001110000 G +1+ +#9258 +0+ +#9259 +b1000101100 . +b1000101100 B +b1000101100 : +b100010110001 3 +b1001110001 & +b1001110001 5 +b1001110001 G +1+ +#9260 +0+ +#9261 +b0 $ +b0 2 +b10000000 < +b100010110010 3 +b1001110010 & +b1001110010 5 +b1001110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9262 +0+ +#9263 +b100010110011 3 +b1001110011 & +b1001110011 5 +b1001110011 G +1+ +#9264 +0+ +#9265 +b1000101101 4 +b100010110100 3 +b1001110100 & +b1001110100 5 +b1001110100 G +1+ +#9266 +0+ +#9267 +b1000101101 . +b1000101101 B +b1000101101 : +b100010110101 3 +b1001110101 & +b1001110101 5 +b1001110101 G +1+ +#9268 +0+ +#9269 +b1000 * +b1000 9 +b10001000 < +b100010110110 3 +b1001110110 & +b1001110110 5 +b1001110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9270 +0+ +#9271 +b100010110111 3 +b1001110111 & +b1001110111 5 +b1001110111 G +1+ +#9272 +0+ +#9273 +b1000101110 4 +b100010111000 3 +b1001111000 & +b1001111000 5 +b1001111000 G +1+ +#9274 +0+ +#9275 +b1000101110 . +b1000101110 B +b1000101110 : +b100010111001 3 +b1001111001 & +b1001111001 5 +b1001111001 G +1+ +#9276 +0+ +#9277 +b0 ' +b0 6 +b1000 < +b100010111010 3 +b1001111010 & +b1001111010 5 +b1001111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9278 +0+ +#9279 +b100010111011 3 +b1001111011 & +b1001111011 5 +b1001111011 G +1+ +#9280 +0+ +#9281 +b1000101111 4 +b100010111100 3 +b1001111100 & +b1001111100 5 +b1001111100 G +1+ +#9282 +0+ +#9283 +b1000101111 . +b1000101111 B +b1000101111 : +b100010111101 3 +b1001111101 & +b1001111101 5 +b1001111101 G +1+ +#9284 +0+ +#9285 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100010111110 3 +b1001111110 & +b1001111110 5 +b1001111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9286 +0+ +#9287 +b100010111111 3 +b1001111111 & +b1001111111 5 +b1001111111 G +1+ +#9288 +0+ +#9289 +0( +b1000110000 4 +b100011000000 3 +b1010000000 & +b1010000000 5 +b1010000000 G +1+ +#9290 +0+ +#9291 +b1000110000 . +b1000110000 B +b1000110000 : +b100011000001 3 +b1010000001 & +b1010000001 5 +b1010000001 G +1+ +#9292 +0+ +#9293 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100011000010 3 +b1010000010 & +b1010000010 5 +b1010000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9294 +0+ +#9295 +b100011000011 3 +b1010000011 & +b1010000011 5 +b1010000011 G +1+ +#9296 +0+ +#9297 +b1000110001 4 +b100011000100 3 +b1010000100 & +b1010000100 5 +b1010000100 G +1+ +#9298 +0+ +#9299 +b1000110001 . +b1000110001 B +b1000110001 : +b100011000101 3 +b1010000101 & +b1010000101 5 +b1010000101 G +1+ +#9300 +0+ +#9301 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100011000110 3 +b1010000110 & +b1010000110 5 +b1010000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9302 +0+ +#9303 +b100011000111 3 +b1010000111 & +b1010000111 5 +b1010000111 G +1+ +#9304 +0+ +#9305 +b1000110010 4 +b100011001000 3 +b1010001000 & +b1010001000 5 +b1010001000 G +1+ +#9306 +0+ +#9307 +b1000110010 . +b1000110010 B +b1000110010 : +b100011001001 3 +b1010001001 & +b1010001001 5 +b1010001001 G +1+ +#9308 +0+ +#9309 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100011001010 3 +b1010001010 & +b1010001010 5 +b1010001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9310 +0+ +#9311 +b100011001011 3 +b1010001011 & +b1010001011 5 +b1010001011 G +1+ +#9312 +0+ +#9313 +b1000110011 4 +b100011001100 3 +b1010001100 & +b1010001100 5 +b1010001100 G +1+ +#9314 +0+ +#9315 +b1000110011 . +b1000110011 B +b1000110011 : +b100011001101 3 +b1010001101 & +b1010001101 5 +b1010001101 G +1+ +#9316 +0+ +#9317 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100011001110 3 +b1010001110 & +b1010001110 5 +b1010001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9318 +0+ +#9319 +b100011001111 3 +b1010001111 & +b1010001111 5 +b1010001111 G +1+ +#9320 +0+ +#9321 +0% +b1000110100 4 +b100011010000 3 +b1010010000 & +b1010010000 5 +b1010010000 G +1+ +#9322 +0+ +#9323 +b1000110100 . +b1000110100 B +b1000110100 : +b100011010001 3 +b1010010001 & +b1010010001 5 +b1010010001 G +1+ +#9324 +0+ +#9325 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100011010010 3 +b1010010010 & +b1010010010 5 +b1010010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9326 +0+ +#9327 +b100011010011 3 +b1010010011 & +b1010010011 5 +b1010010011 G +1+ +#9328 +0+ +#9329 +b1000110101 4 +b100011010100 3 +b1010010100 & +b1010010100 5 +b1010010100 G +1+ +#9330 +0+ +#9331 +b1000110101 . +b1000110101 B +b1000110101 : +b100011010101 3 +b1010010101 & +b1010010101 5 +b1010010101 G +1+ +#9332 +0+ +#9333 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100011010110 3 +b1010010110 & +b1010010110 5 +b1010010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9334 +0+ +#9335 +b100011010111 3 +b1010010111 & +b1010010111 5 +b1010010111 G +1+ +#9336 +0+ +#9337 +b1000110110 4 +b100011011000 3 +b1010011000 & +b1010011000 5 +b1010011000 G +1+ +#9338 +0+ +#9339 +b1000110110 . +b1000110110 B +b1000110110 : +b100011011001 3 +b1010011001 & +b1010011001 5 +b1010011001 G +1+ +#9340 +0+ +#9341 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100011011010 3 +b1010011010 & +b1010011010 5 +b1010011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9342 +0+ +#9343 +b100011011011 3 +b1010011011 & +b1010011011 5 +b1010011011 G +1+ +#9344 +0+ +#9345 +b1000110111 4 +b100011011100 3 +b1010011100 & +b1010011100 5 +b1010011100 G +1+ +#9346 +0+ +#9347 +b1000110111 . +b1000110111 B +b1000110111 : +b100011011101 3 +b1010011101 & +b1010011101 5 +b1010011101 G +1+ +#9348 +0+ +#9349 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100011011110 3 +b1010011110 & +b1010011110 5 +b1010011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9350 +0+ +#9351 +b100011011111 3 +b1010011111 & +b1010011111 5 +b1010011111 G +1+ +#9352 +0+ +#9353 +b1000111000 4 +b100011100000 3 +b1010100000 & +b1010100000 5 +b1010100000 G +1+ +#9354 +0+ +#9355 +b1000111000 . +b1000111000 B +b1000111000 : +b100011100001 3 +b1010100001 & +b1010100001 5 +b1010100001 G +1+ +#9356 +0+ +#9357 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100011100010 3 +b1010100010 & +b1010100010 5 +b1010100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9358 +0+ +#9359 +b100011100011 3 +b1010100011 & +b1010100011 5 +b1010100011 G +1+ +#9360 +0+ +#9361 +b1000111001 4 +b100011100100 3 +b1010100100 & +b1010100100 5 +b1010100100 G +1+ +#9362 +0+ +#9363 +b1000111001 . +b1000111001 B +b1000111001 : +b100011100101 3 +b1010100101 & +b1010100101 5 +b1010100101 G +1+ +#9364 +0+ +#9365 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100011100110 3 +b1010100110 & +b1010100110 5 +b1010100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9366 +0+ +#9367 +b100011100111 3 +b1010100111 & +b1010100111 5 +b1010100111 G +1+ +#9368 +0+ +#9369 +b1000111010 4 +b100011101000 3 +b1010101000 & +b1010101000 5 +b1010101000 G +1+ +#9370 +0+ +#9371 +b1000111010 . +b1000111010 B +b1000111010 : +b100011101001 3 +b1010101001 & +b1010101001 5 +b1010101001 G +1+ +#9372 +0+ +#9373 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100011101010 3 +b1010101010 & +b1010101010 5 +b1010101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9374 +0+ +#9375 +b100011101011 3 +b1010101011 & +b1010101011 5 +b1010101011 G +1+ +#9376 +0+ +#9377 +b1000111011 4 +b100011101100 3 +b1010101100 & +b1010101100 5 +b1010101100 G +1+ +#9378 +0+ +#9379 +b1000111011 . +b1000111011 B +b1000111011 : +b100011101101 3 +b1010101101 & +b1010101101 5 +b1010101101 G +1+ +#9380 +0+ +#9381 +b1000 ' +b1000 6 +b100010000000 < +b100011101110 3 +b1010101110 & +b1010101110 5 +b1010101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9382 +0+ +#9383 +b100011101111 3 +b1010101111 & +b1010101111 5 +b1010101111 G +1+ +#9384 +0+ +#9385 +b1000111100 4 +b100011110000 3 +b1010110000 & +b1010110000 5 +b1010110000 G +1+ +#9386 +0+ +#9387 +b1000111100 . +b1000111100 B +b1000111100 : +b100011110001 3 +b1010110001 & +b1010110001 5 +b1010110001 G +1+ +#9388 +0+ +#9389 +b0 $ +b0 2 +b10000000 < +b100011110010 3 +b1010110010 & +b1010110010 5 +b1010110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9390 +0+ +#9391 +b100011110011 3 +b1010110011 & +b1010110011 5 +b1010110011 G +1+ +#9392 +0+ +#9393 +b1000111101 4 +b100011110100 3 +b1010110100 & +b1010110100 5 +b1010110100 G +1+ +#9394 +0+ +#9395 +b1000111101 . +b1000111101 B +b1000111101 : +b100011110101 3 +b1010110101 & +b1010110101 5 +b1010110101 G +1+ +#9396 +0+ +#9397 +b1000 * +b1000 9 +b10001000 < +b100011110110 3 +b1010110110 & +b1010110110 5 +b1010110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9398 +0+ +#9399 +b100011110111 3 +b1010110111 & +b1010110111 5 +b1010110111 G +1+ +#9400 +0+ +#9401 +b1000111110 4 +b100011111000 3 +b1010111000 & +b1010111000 5 +b1010111000 G +1+ +#9402 +0+ +#9403 +b1000111110 . +b1000111110 B +b1000111110 : +b100011111001 3 +b1010111001 & +b1010111001 5 +b1010111001 G +1+ +#9404 +0+ +#9405 +b0 ' +b0 6 +b1000 < +b100011111010 3 +b1010111010 & +b1010111010 5 +b1010111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9406 +0+ +#9407 +b100011111011 3 +b1010111011 & +b1010111011 5 +b1010111011 G +1+ +#9408 +0+ +#9409 +b1000111111 4 +b100011111100 3 +b1010111100 & +b1010111100 5 +b1010111100 G +1+ +#9410 +0+ +#9411 +b1000111111 . +b1000111111 B +b1000111111 : +b100011111101 3 +b1010111101 & +b1010111101 5 +b1010111101 G +1+ +#9412 +0+ +#9413 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100011111110 3 +b1010111110 & +b1010111110 5 +b1010111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9414 +0+ +#9415 +b100011111111 3 +b1010111111 & +b1010111111 5 +b1010111111 G +1+ +#9416 +0+ +#9417 +b1001000000 4 +b100100000000 3 +b1011000000 & +b1011000000 5 +b1011000000 G +1+ +#9418 +0+ +#9419 +b1001000000 . +b1001000000 B +b1001000000 : +b100100000001 3 +b1011000001 & +b1011000001 5 +b1011000001 G +1+ +#9420 +0+ +#9421 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100100000010 3 +b1011000010 & +b1011000010 5 +b1011000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9422 +0+ +#9423 +b100100000011 3 +b1011000011 & +b1011000011 5 +b1011000011 G +1+ +#9424 +0+ +#9425 +b1001000001 4 +b100100000100 3 +b1011000100 & +b1011000100 5 +b1011000100 G +1+ +#9426 +0+ +#9427 +b1001000001 . +b1001000001 B +b1001000001 : +b100100000101 3 +b1011000101 & +b1011000101 5 +b1011000101 G +1+ +#9428 +0+ +#9429 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100100000110 3 +b1011000110 & +b1011000110 5 +b1011000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9430 +0+ +#9431 +b100100000111 3 +b1011000111 & +b1011000111 5 +b1011000111 G +1+ +#9432 +0+ +#9433 +b1001000010 4 +b100100001000 3 +b1011001000 & +b1011001000 5 +b1011001000 G +1+ +#9434 +0+ +#9435 +b1001000010 . +b1001000010 B +b1001000010 : +b100100001001 3 +b1011001001 & +b1011001001 5 +b1011001001 G +1+ +#9436 +0+ +#9437 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100100001010 3 +b1011001010 & +b1011001010 5 +b1011001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9438 +0+ +#9439 +b100100001011 3 +b1011001011 & +b1011001011 5 +b1011001011 G +1+ +#9440 +0+ +#9441 +b1001000011 4 +b100100001100 3 +b1011001100 & +b1011001100 5 +b1011001100 G +1+ +#9442 +0+ +#9443 +b1001000011 . +b1001000011 B +b1001000011 : +b100100001101 3 +b1011001101 & +b1011001101 5 +b1011001101 G +1+ +#9444 +0+ +#9445 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100100001110 3 +b1011001110 & +b1011001110 5 +b1011001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9446 +0+ +#9447 +b100100001111 3 +b1011001111 & +b1011001111 5 +b1011001111 G +1+ +#9448 +0+ +#9449 +b1001000100 4 +b100100010000 3 +b1011010000 & +b1011010000 5 +b1011010000 G +1+ +#9450 +0+ +#9451 +b1001000100 . +b1001000100 B +b1001000100 : +b100100010001 3 +b1011010001 & +b1011010001 5 +b1011010001 G +1+ +#9452 +0+ +#9453 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100100010010 3 +b1011010010 & +b1011010010 5 +b1011010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9454 +0+ +#9455 +b100100010011 3 +b1011010011 & +b1011010011 5 +b1011010011 G +1+ +#9456 +0+ +#9457 +b1001000101 4 +b100100010100 3 +b1011010100 & +b1011010100 5 +b1011010100 G +1+ +#9458 +0+ +#9459 +b1001000101 . +b1001000101 B +b1001000101 : +b100100010101 3 +b1011010101 & +b1011010101 5 +b1011010101 G +1+ +#9460 +0+ +#9461 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100100010110 3 +b1011010110 & +b1011010110 5 +b1011010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9462 +0+ +#9463 +b100100010111 3 +b1011010111 & +b1011010111 5 +b1011010111 G +1+ +#9464 +0+ +#9465 +b1001000110 4 +b100100011000 3 +b1011011000 & +b1011011000 5 +b1011011000 G +1+ +#9466 +0+ +#9467 +b1001000110 . +b1001000110 B +b1001000110 : +b100100011001 3 +b1011011001 & +b1011011001 5 +b1011011001 G +1+ +#9468 +0+ +#9469 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100100011010 3 +b1011011010 & +b1011011010 5 +b1011011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9470 +0+ +#9471 +b100100011011 3 +b1011011011 & +b1011011011 5 +b1011011011 G +1+ +#9472 +0+ +#9473 +b1001000111 4 +b100100011100 3 +b1011011100 & +b1011011100 5 +b1011011100 G +1+ +#9474 +0+ +#9475 +b1001000111 . +b1001000111 B +b1001000111 : +b100100011101 3 +b1011011101 & +b1011011101 5 +b1011011101 G +1+ +#9476 +0+ +#9477 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100100011110 3 +b1011011110 & +b1011011110 5 +b1011011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9478 +0+ +#9479 +b100100011111 3 +b1011011111 & +b1011011111 5 +b1011011111 G +1+ +#9480 +0+ +#9481 +b1001001000 4 +b100100100000 3 +b1011100000 & +b1011100000 5 +b1011100000 G +1+ +#9482 +0+ +#9483 +b1001001000 . +b1001001000 B +b1001001000 : +b100100100001 3 +b1011100001 & +b1011100001 5 +b1011100001 G +1+ +#9484 +0+ +#9485 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100100100010 3 +b1011100010 & +b1011100010 5 +b1011100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9486 +0+ +#9487 +b100100100011 3 +b1011100011 & +b1011100011 5 +b1011100011 G +1+ +#9488 +0+ +#9489 +b1001001001 4 +b100100100100 3 +b1011100100 & +b1011100100 5 +b1011100100 G +1+ +#9490 +0+ +#9491 +b1001001001 . +b1001001001 B +b1001001001 : +b100100100101 3 +b1011100101 & +b1011100101 5 +b1011100101 G +1+ +#9492 +0+ +#9493 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100100100110 3 +b1011100110 & +b1011100110 5 +b1011100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9494 +0+ +#9495 +b100100100111 3 +b1011100111 & +b1011100111 5 +b1011100111 G +1+ +#9496 +0+ +#9497 +b1001001010 4 +b100100101000 3 +b1011101000 & +b1011101000 5 +b1011101000 G +1+ +#9498 +0+ +#9499 +b1001001010 . +b1001001010 B +b1001001010 : +b100100101001 3 +b1011101001 & +b1011101001 5 +b1011101001 G +1+ +#9500 +0+ +#9501 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100100101010 3 +b1011101010 & +b1011101010 5 +b1011101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9502 +0+ +#9503 +b100100101011 3 +b1011101011 & +b1011101011 5 +b1011101011 G +1+ +#9504 +0+ +#9505 +b1001001011 4 +b100100101100 3 +b1011101100 & +b1011101100 5 +b1011101100 G +1+ +#9506 +0+ +#9507 +b1001001011 . +b1001001011 B +b1001001011 : +b100100101101 3 +b1011101101 & +b1011101101 5 +b1011101101 G +1+ +#9508 +0+ +#9509 +b1000 ' +b1000 6 +b100010000000 < +b100100101110 3 +b1011101110 & +b1011101110 5 +b1011101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9510 +0+ +#9511 +b100100101111 3 +b1011101111 & +b1011101111 5 +b1011101111 G +1+ +#9512 +0+ +#9513 +b1001001100 4 +b100100110000 3 +b1011110000 & +b1011110000 5 +b1011110000 G +1+ +#9514 +0+ +#9515 +1% +b1001001100 . +b1001001100 B +b1001001100 : +b100100110001 3 +b1011110001 & +b1011110001 5 +b1011110001 G +1+ +#9516 +0+ +#9517 +b0 $ +b0 2 +b10000000 < +b100100110010 3 +b1011110010 & +b1011110010 5 +b1011110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9518 +0+ +#9519 +b100100110011 3 +b1011110011 & +b1011110011 5 +b1011110011 G +1+ +#9520 +0+ +#9521 +b1001001101 4 +b100100110100 3 +b1011110100 & +b1011110100 5 +b1011110100 G +1+ +#9522 +0+ +#9523 +b1001001101 . +b1001001101 B +b1001001101 : +b100100110101 3 +b1011110101 & +b1011110101 5 +b1011110101 G +1+ +#9524 +0+ +#9525 +b1000 * +b1000 9 +b10001000 < +b100100110110 3 +b1011110110 & +b1011110110 5 +b1011110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9526 +0+ +#9527 +b100100110111 3 +b1011110111 & +b1011110111 5 +b1011110111 G +1+ +#9528 +0+ +#9529 +b1001001110 4 +b100100111000 3 +b1011111000 & +b1011111000 5 +b1011111000 G +1+ +#9530 +0+ +#9531 +b1001001110 . +b1001001110 B +b1001001110 : +b100100111001 3 +b1011111001 & +b1011111001 5 +b1011111001 G +1+ +#9532 +0+ +#9533 +b0 ' +b0 6 +b1000 < +b100100111010 3 +b1011111010 & +b1011111010 5 +b1011111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9534 +0+ +#9535 +b100100111011 3 +b1011111011 & +b1011111011 5 +b1011111011 G +1+ +#9536 +0+ +#9537 +b1001001111 4 +b100100111100 3 +b1011111100 & +b1011111100 5 +b1011111100 G +1+ +#9538 +0+ +#9539 +b1001001111 . +b1001001111 B +b1001001111 : +b100100111101 3 +b1011111101 & +b1011111101 5 +b1011111101 G +1+ +#9540 +0+ +#9541 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100100111110 3 +b1011111110 & +b1011111110 5 +b1011111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9542 +0+ +#9543 +b100100111111 3 +b1011111111 & +b1011111111 5 +b1011111111 G +1+ +#9544 +0+ +#9545 +b1001010000 4 +b100101000000 3 +b1100000000 & +b1100000000 5 +b1100000000 G +1+ +#9546 +0+ +#9547 +b1001010000 . +b1001010000 B +b1001010000 : +b100101000001 3 +b1100000001 & +b1100000001 5 +b1100000001 G +1+ +#9548 +0+ +#9549 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100101000010 3 +b1100000010 & +b1100000010 5 +b1100000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9550 +0+ +#9551 +b100101000011 3 +b1100000011 & +b1100000011 5 +b1100000011 G +1+ +#9552 +0+ +#9553 +b1001010001 4 +b100101000100 3 +b1100000100 & +b1100000100 5 +b1100000100 G +1+ +#9554 +0+ +#9555 +b1001010001 . +b1001010001 B +b1001010001 : +b100101000101 3 +b1100000101 & +b1100000101 5 +b1100000101 G +1+ +#9556 +0+ +#9557 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100101000110 3 +b1100000110 & +b1100000110 5 +b1100000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9558 +0+ +#9559 +b100101000111 3 +b1100000111 & +b1100000111 5 +b1100000111 G +1+ +#9560 +0+ +#9561 +b1001010010 4 +b100101001000 3 +b1100001000 & +b1100001000 5 +b1100001000 G +1+ +#9562 +0+ +#9563 +b1001010010 . +b1001010010 B +b1001010010 : +b100101001001 3 +b1100001001 & +b1100001001 5 +b1100001001 G +1+ +#9564 +0+ +#9565 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100101001010 3 +b1100001010 & +b1100001010 5 +b1100001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9566 +0+ +#9567 +b100101001011 3 +b1100001011 & +b1100001011 5 +b1100001011 G +1+ +#9568 +0+ +#9569 +b1001010011 4 +b100101001100 3 +b1100001100 & +b1100001100 5 +b1100001100 G +1+ +#9570 +0+ +#9571 +b1001010011 . +b1001010011 B +b1001010011 : +b100101001101 3 +b1100001101 & +b1100001101 5 +b1100001101 G +1+ +#9572 +0+ +#9573 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100101001110 3 +b1100001110 & +b1100001110 5 +b1100001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9574 +0+ +#9575 +b100101001111 3 +b1100001111 & +b1100001111 5 +b1100001111 G +1+ +#9576 +0+ +#9577 +b1001010100 4 +b100101010000 3 +b1100010000 & +b1100010000 5 +b1100010000 G +1+ +#9578 +0+ +#9579 +b1001010100 . +b1001010100 B +b1001010100 : +b100101010001 3 +b1100010001 & +b1100010001 5 +b1100010001 G +1+ +#9580 +0+ +#9581 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100101010010 3 +b1100010010 & +b1100010010 5 +b1100010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9582 +0+ +#9583 +b100101010011 3 +b1100010011 & +b1100010011 5 +b1100010011 G +1+ +#9584 +0+ +#9585 +b1001010101 4 +b100101010100 3 +b1100010100 & +b1100010100 5 +b1100010100 G +1+ +#9586 +0+ +#9587 +b1001010101 . +b1001010101 B +b1001010101 : +b100101010101 3 +b1100010101 & +b1100010101 5 +b1100010101 G +1+ +#9588 +0+ +#9589 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100101010110 3 +b1100010110 & +b1100010110 5 +b1100010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9590 +0+ +#9591 +b100101010111 3 +b1100010111 & +b1100010111 5 +b1100010111 G +1+ +#9592 +0+ +#9593 +b1001010110 4 +b100101011000 3 +b1100011000 & +b1100011000 5 +b1100011000 G +1+ +#9594 +0+ +#9595 +b1001010110 . +b1001010110 B +b1001010110 : +b100101011001 3 +b1100011001 & +b1100011001 5 +b1100011001 G +1+ +#9596 +0+ +#9597 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100101011010 3 +b1100011010 & +b1100011010 5 +b1100011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9598 +0+ +#9599 +b100101011011 3 +b1100011011 & +b1100011011 5 +b1100011011 G +1+ +#9600 +0+ +#9601 +b1001010111 4 +b100101011100 3 +b1100011100 & +b1100011100 5 +b1100011100 G +1+ +#9602 +0+ +#9603 +b1001010111 . +b1001010111 B +b1001010111 : +b100101011101 3 +b1100011101 & +b1100011101 5 +b1100011101 G +1+ +#9604 +0+ +#9605 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100101011110 3 +b1100011110 & +b1100011110 5 +b1100011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9606 +0+ +#9607 +b100101011111 3 +b1100011111 & +b1100011111 5 +b1100011111 G +1+ +#9608 +0+ +#9609 +1( +b111100000 4 +b110 # +b110 1 +b110 H +b11110000000 3 +b0 & +b0 5 +b0 G +1+ +#9610 +0+ +#9611 +b111100000 . +b111100000 B +b111100000 : +b11110000001 3 +b1 & +b1 5 +b1 G +1+ +#9612 +0+ +#9613 +b0 ' +b0 6 +b111100000000 < +b11110000010 3 +b10 & +b10 5 +b10 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9614 +0+ +#9615 +b11110000011 3 +b11 & +b11 5 +b11 G +1+ +#9616 +0+ +#9617 +b111100001 4 +b11110000100 3 +b100 & +b100 5 +b100 G +1+ +#9618 +0+ +#9619 +b111100001 . +b111100001 B +b111100001 : +b11110000101 3 +b101 & +b101 5 +b101 G +1+ +#9620 +0+ +#9621 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11110000110 3 +b110 & +b110 5 +b110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9622 +0+ +#9623 +b11110000111 3 +b111 & +b111 5 +b111 G +1+ +#9624 +0+ +#9625 +b111100010 4 +b11110001000 3 +b1000 & +b1000 5 +b1000 G +1+ +#9626 +0+ +#9627 +b111100010 . +b111100010 B +b111100010 : +b11110001001 3 +b1001 & +b1001 5 +b1001 G +1+ +#9628 +0+ +#9629 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11110001010 3 +b1010 & +b1010 5 +b1010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9630 +0+ +#9631 +b11110001011 3 +b1011 & +b1011 5 +b1011 G +1+ +#9632 +0+ +#9633 +b111100011 4 +b11110001100 3 +b1100 & +b1100 5 +b1100 G +1+ +#9634 +0+ +#9635 +b111100011 . +b111100011 B +b111100011 : +b11110001101 3 +b1101 & +b1101 5 +b1101 G +1+ +#9636 +0+ +#9637 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11110001110 3 +b1110 & +b1110 5 +b1110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9638 +0+ +#9639 +b11110001111 3 +b1111 & +b1111 5 +b1111 G +1+ +#9640 +0+ +#9641 +b111100100 4 +b11110010000 3 +b10000 & +b10000 5 +b10000 G +1+ +#9642 +0+ +#9643 +b111100100 . +b111100100 B +b111100100 : +b11110010001 3 +b10001 & +b10001 5 +b10001 G +1+ +#9644 +0+ +#9645 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11110010010 3 +b10010 & +b10010 5 +b10010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9646 +0+ +#9647 +b11110010011 3 +b10011 & +b10011 5 +b10011 G +1+ +#9648 +0+ +#9649 +b111100101 4 +b11110010100 3 +b10100 & +b10100 5 +b10100 G +1+ +#9650 +0+ +#9651 +b111100101 . +b111100101 B +b111100101 : +b11110010101 3 +b10101 & +b10101 5 +b10101 G +1+ +#9652 +0+ +#9653 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11110010110 3 +b10110 & +b10110 5 +b10110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9654 +0+ +#9655 +b11110010111 3 +b10111 & +b10111 5 +b10111 G +1+ +#9656 +0+ +#9657 +b111100110 4 +b11110011000 3 +b11000 & +b11000 5 +b11000 G +1+ +#9658 +0+ +#9659 +b111100110 . +b111100110 B +b111100110 : +b11110011001 3 +b11001 & +b11001 5 +b11001 G +1+ +#9660 +0+ +#9661 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11110011010 3 +b11010 & +b11010 5 +b11010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9662 +0+ +#9663 +b11110011011 3 +b11011 & +b11011 5 +b11011 G +1+ +#9664 +0+ +#9665 +b111100111 4 +b11110011100 3 +b11100 & +b11100 5 +b11100 G +1+ +#9666 +0+ +#9667 +b111100111 . +b111100111 B +b111100111 : +b11110011101 3 +b11101 & +b11101 5 +b11101 G +1+ +#9668 +0+ +#9669 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11110011110 3 +b11110 & +b11110 5 +b11110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9670 +0+ +#9671 +b11110011111 3 +b11111 & +b11111 5 +b11111 G +1+ +#9672 +0+ +#9673 +b111101000 4 +b11110100000 3 +b100000 & +b100000 5 +b100000 G +1+ +#9674 +0+ +#9675 +b111101000 . +b111101000 B +b111101000 : +b11110100001 3 +b100001 & +b100001 5 +b100001 G +1+ +#9676 +0+ +#9677 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11110100010 3 +b100010 & +b100010 5 +b100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9678 +0+ +#9679 +b11110100011 3 +b100011 & +b100011 5 +b100011 G +1+ +#9680 +0+ +#9681 +b111101001 4 +b11110100100 3 +b100100 & +b100100 5 +b100100 G +1+ +#9682 +0+ +#9683 +b111101001 . +b111101001 B +b111101001 : +b11110100101 3 +b100101 & +b100101 5 +b100101 G +1+ +#9684 +0+ +#9685 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11110100110 3 +b100110 & +b100110 5 +b100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9686 +0+ +#9687 +b11110100111 3 +b100111 & +b100111 5 +b100111 G +1+ +#9688 +0+ +#9689 +b111101010 4 +b11110101000 3 +b101000 & +b101000 5 +b101000 G +1+ +#9690 +0+ +#9691 +b111101010 . +b111101010 B +b111101010 : +b11110101001 3 +b101001 & +b101001 5 +b101001 G +1+ +#9692 +0+ +#9693 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11110101010 3 +b101010 & +b101010 5 +b101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9694 +0+ +#9695 +b11110101011 3 +b101011 & +b101011 5 +b101011 G +1+ +#9696 +0+ +#9697 +b111101011 4 +b11110101100 3 +b101100 & +b101100 5 +b101100 G +1+ +#9698 +0+ +#9699 +b111101011 . +b111101011 B +b111101011 : +b11110101101 3 +b101101 & +b101101 5 +b101101 G +1+ +#9700 +0+ +#9701 +b1000 ' +b1000 6 +b100010000000 < +b11110101110 3 +b101110 & +b101110 5 +b101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9702 +0+ +#9703 +b11110101111 3 +b101111 & +b101111 5 +b101111 G +1+ +#9704 +0+ +#9705 +b111101100 4 +b11110110000 3 +b110000 & +b110000 5 +b110000 G +1+ +#9706 +0+ +#9707 +b111101100 . +b111101100 B +b111101100 : +b11110110001 3 +b110001 & +b110001 5 +b110001 G +1+ +#9708 +0+ +#9709 +b0 $ +b0 2 +b10000000 < +b11110110010 3 +b110010 & +b110010 5 +b110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9710 +0+ +#9711 +b11110110011 3 +b110011 & +b110011 5 +b110011 G +1+ +#9712 +0+ +#9713 +b111101101 4 +b11110110100 3 +b110100 & +b110100 5 +b110100 G +1+ +#9714 +0+ +#9715 +b111101101 . +b111101101 B +b111101101 : +b11110110101 3 +b110101 & +b110101 5 +b110101 G +1+ +#9716 +0+ +#9717 +b1000 * +b1000 9 +b10001000 < +b11110110110 3 +b110110 & +b110110 5 +b110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9718 +0+ +#9719 +b11110110111 3 +b110111 & +b110111 5 +b110111 G +1+ +#9720 +0+ +#9721 +b111101110 4 +b11110111000 3 +b111000 & +b111000 5 +b111000 G +1+ +#9722 +0+ +#9723 +b111101110 . +b111101110 B +b111101110 : +b11110111001 3 +b111001 & +b111001 5 +b111001 G +1+ +#9724 +0+ +#9725 +b0 ' +b0 6 +b1000 < +b11110111010 3 +b111010 & +b111010 5 +b111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9726 +0+ +#9727 +b11110111011 3 +b111011 & +b111011 5 +b111011 G +1+ +#9728 +0+ +#9729 +b111101111 4 +b11110111100 3 +b111100 & +b111100 5 +b111100 G +1+ +#9730 +0+ +#9731 +b111101111 . +b111101111 B +b111101111 : +b11110111101 3 +b111101 & +b111101 5 +b111101 G +1+ +#9732 +0+ +#9733 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11110111110 3 +b111110 & +b111110 5 +b111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9734 +0+ +#9735 +b11110111111 3 +b111111 & +b111111 5 +b111111 G +1+ +#9736 +0+ +#9737 +b111110000 4 +b11111000000 3 +b1000000 & +b1000000 5 +b1000000 G +1+ +#9738 +0+ +#9739 +b111110000 . +b111110000 B +b111110000 : +b11111000001 3 +b1000001 & +b1000001 5 +b1000001 G +1+ +#9740 +0+ +#9741 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b11111000010 3 +b1000010 & +b1000010 5 +b1000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9742 +0+ +#9743 +b11111000011 3 +b1000011 & +b1000011 5 +b1000011 G +1+ +#9744 +0+ +#9745 +b111110001 4 +b11111000100 3 +b1000100 & +b1000100 5 +b1000100 G +1+ +#9746 +0+ +#9747 +b111110001 . +b111110001 B +b111110001 : +b11111000101 3 +b1000101 & +b1000101 5 +b1000101 G +1+ +#9748 +0+ +#9749 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b11111000110 3 +b1000110 & +b1000110 5 +b1000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9750 +0+ +#9751 +b11111000111 3 +b1000111 & +b1000111 5 +b1000111 G +1+ +#9752 +0+ +#9753 +b111110010 4 +b11111001000 3 +b1001000 & +b1001000 5 +b1001000 G +1+ +#9754 +0+ +#9755 +b111110010 . +b111110010 B +b111110010 : +b11111001001 3 +b1001001 & +b1001001 5 +b1001001 G +1+ +#9756 +0+ +#9757 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b11111001010 3 +b1001010 & +b1001010 5 +b1001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9758 +0+ +#9759 +b11111001011 3 +b1001011 & +b1001011 5 +b1001011 G +1+ +#9760 +0+ +#9761 +b111110011 4 +b11111001100 3 +b1001100 & +b1001100 5 +b1001100 G +1+ +#9762 +0+ +#9763 +b111110011 . +b111110011 B +b111110011 : +b11111001101 3 +b1001101 & +b1001101 5 +b1001101 G +1+ +#9764 +0+ +#9765 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b11111001110 3 +b1001110 & +b1001110 5 +b1001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9766 +0+ +#9767 +b11111001111 3 +b1001111 & +b1001111 5 +b1001111 G +1+ +#9768 +0+ +#9769 +b111110100 4 +b11111010000 3 +b1010000 & +b1010000 5 +b1010000 G +1+ +#9770 +0+ +#9771 +b111110100 . +b111110100 B +b111110100 : +b11111010001 3 +b1010001 & +b1010001 5 +b1010001 G +1+ +#9772 +0+ +#9773 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b11111010010 3 +b1010010 & +b1010010 5 +b1010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9774 +0+ +#9775 +b11111010011 3 +b1010011 & +b1010011 5 +b1010011 G +1+ +#9776 +0+ +#9777 +b111110101 4 +b11111010100 3 +b1010100 & +b1010100 5 +b1010100 G +1+ +#9778 +0+ +#9779 +b111110101 . +b111110101 B +b111110101 : +b11111010101 3 +b1010101 & +b1010101 5 +b1010101 G +1+ +#9780 +0+ +#9781 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b11111010110 3 +b1010110 & +b1010110 5 +b1010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9782 +0+ +#9783 +b11111010111 3 +b1010111 & +b1010111 5 +b1010111 G +1+ +#9784 +0+ +#9785 +b111110110 4 +b11111011000 3 +b1011000 & +b1011000 5 +b1011000 G +1+ +#9786 +0+ +#9787 +b111110110 . +b111110110 B +b111110110 : +b11111011001 3 +b1011001 & +b1011001 5 +b1011001 G +1+ +#9788 +0+ +#9789 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b11111011010 3 +b1011010 & +b1011010 5 +b1011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9790 +0+ +#9791 +b11111011011 3 +b1011011 & +b1011011 5 +b1011011 G +1+ +#9792 +0+ +#9793 +b111110111 4 +b11111011100 3 +b1011100 & +b1011100 5 +b1011100 G +1+ +#9794 +0+ +#9795 +b111110111 . +b111110111 B +b111110111 : +b11111011101 3 +b1011101 & +b1011101 5 +b1011101 G +1+ +#9796 +0+ +#9797 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b11111011110 3 +b1011110 & +b1011110 5 +b1011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9798 +0+ +#9799 +b11111011111 3 +b1011111 & +b1011111 5 +b1011111 G +1+ +#9800 +0+ +#9801 +b111111000 4 +b11111100000 3 +b1100000 & +b1100000 5 +b1100000 G +1+ +#9802 +0+ +#9803 +b111111000 . +b111111000 B +b111111000 : +b11111100001 3 +b1100001 & +b1100001 5 +b1100001 G +1+ +#9804 +0+ +#9805 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b11111100010 3 +b1100010 & +b1100010 5 +b1100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9806 +0+ +#9807 +b11111100011 3 +b1100011 & +b1100011 5 +b1100011 G +1+ +#9808 +0+ +#9809 +b111111001 4 +b11111100100 3 +b1100100 & +b1100100 5 +b1100100 G +1+ +#9810 +0+ +#9811 +b111111001 . +b111111001 B +b111111001 : +b11111100101 3 +b1100101 & +b1100101 5 +b1100101 G +1+ +#9812 +0+ +#9813 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b11111100110 3 +b1100110 & +b1100110 5 +b1100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9814 +0+ +#9815 +b11111100111 3 +b1100111 & +b1100111 5 +b1100111 G +1+ +#9816 +0+ +#9817 +b111111010 4 +b11111101000 3 +b1101000 & +b1101000 5 +b1101000 G +1+ +#9818 +0+ +#9819 +b111111010 . +b111111010 B +b111111010 : +b11111101001 3 +b1101001 & +b1101001 5 +b1101001 G +1+ +#9820 +0+ +#9821 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b11111101010 3 +b1101010 & +b1101010 5 +b1101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9822 +0+ +#9823 +b11111101011 3 +b1101011 & +b1101011 5 +b1101011 G +1+ +#9824 +0+ +#9825 +b111111011 4 +b11111101100 3 +b1101100 & +b1101100 5 +b1101100 G +1+ +#9826 +0+ +#9827 +b111111011 . +b111111011 B +b111111011 : +b11111101101 3 +b1101101 & +b1101101 5 +b1101101 G +1+ +#9828 +0+ +#9829 +b1000 ' +b1000 6 +b100010000000 < +b11111101110 3 +b1101110 & +b1101110 5 +b1101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9830 +0+ +#9831 +b11111101111 3 +b1101111 & +b1101111 5 +b1101111 G +1+ +#9832 +0+ +#9833 +b111111100 4 +b11111110000 3 +b1110000 & +b1110000 5 +b1110000 G +1+ +#9834 +0+ +#9835 +b111111100 . +b111111100 B +b111111100 : +b11111110001 3 +b1110001 & +b1110001 5 +b1110001 G +1+ +#9836 +0+ +#9837 +b0 $ +b0 2 +b10000000 < +b11111110010 3 +b1110010 & +b1110010 5 +b1110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9838 +0+ +#9839 +b11111110011 3 +b1110011 & +b1110011 5 +b1110011 G +1+ +#9840 +0+ +#9841 +b111111101 4 +b11111110100 3 +b1110100 & +b1110100 5 +b1110100 G +1+ +#9842 +0+ +#9843 +b111111101 . +b111111101 B +b111111101 : +b11111110101 3 +b1110101 & +b1110101 5 +b1110101 G +1+ +#9844 +0+ +#9845 +b1000 * +b1000 9 +b10001000 < +b11111110110 3 +b1110110 & +b1110110 5 +b1110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9846 +0+ +#9847 +b11111110111 3 +b1110111 & +b1110111 5 +b1110111 G +1+ +#9848 +0+ +#9849 +b111111110 4 +b11111111000 3 +b1111000 & +b1111000 5 +b1111000 G +1+ +#9850 +0+ +#9851 +b111111110 . +b111111110 B +b111111110 : +b11111111001 3 +b1111001 & +b1111001 5 +b1111001 G +1+ +#9852 +0+ +#9853 +b0 ' +b0 6 +b1000 < +b11111111010 3 +b1111010 & +b1111010 5 +b1111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9854 +0+ +#9855 +b11111111011 3 +b1111011 & +b1111011 5 +b1111011 G +1+ +#9856 +0+ +#9857 +b111111111 4 +b11111111100 3 +b1111100 & +b1111100 5 +b1111100 G +1+ +#9858 +0+ +#9859 +b111111111 . +b111111111 B +b111111111 : +b11111111101 3 +b1111101 & +b1111101 5 +b1111101 G +1+ +#9860 +0+ +#9861 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b11111111110 3 +b1111110 & +b1111110 5 +b1111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9862 +0+ +#9863 +b11111111111 3 +b1111111 & +b1111111 5 +b1111111 G +1+ +#9864 +0+ +#9865 +b1000000000 4 +b100000000000 3 +b10000000 & +b10000000 5 +b10000000 G +1+ +#9866 +0+ +#9867 +b1000000000 . +b1000000000 B +b1000000000 : +b100000000001 3 +b10000001 & +b10000001 5 +b10000001 G +1+ +#9868 +0+ +#9869 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100000000010 3 +b10000010 & +b10000010 5 +b10000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9870 +0+ +#9871 +b100000000011 3 +b10000011 & +b10000011 5 +b10000011 G +1+ +#9872 +0+ +#9873 +b1000000001 4 +b100000000100 3 +b10000100 & +b10000100 5 +b10000100 G +1+ +#9874 +0+ +#9875 +b1000000001 . +b1000000001 B +b1000000001 : +b100000000101 3 +b10000101 & +b10000101 5 +b10000101 G +1+ +#9876 +0+ +#9877 +b0 $ +b0 2 +b1111 ' +b1111 6 +b11110000 < +b100000000110 3 +b10000110 & +b10000110 5 +b10000110 G +b1 8 +b1000100010001 7 +b1000100010001 D +b1000100010001 E +1+ +#9878 +0+ +#9879 +b100000000111 3 +b10000111 & +b10000111 5 +b10000111 G +1+ +#9880 +0+ +#9881 +b1000000010 4 +b100000001000 3 +b10001000 & +b10001000 5 +b10001000 G +1+ +#9882 +0+ +#9883 +b1000000010 . +b1000000010 B +b1000000010 : +b100000001001 3 +b10001001 & +b10001001 5 +b10001001 G +1+ +#9884 +0+ +#9885 +b0 ' +b0 6 +b1111 * +b1111 9 +b1111 < +b100000001010 3 +b10001010 & +b10001010 5 +b10001010 G +b10 8 +b10001000100010 7 +b10001000100010 D +b10001000100010 E +1+ +#9886 +0+ +#9887 +b100000001011 3 +b10001011 & +b10001011 5 +b10001011 G +1+ +#9888 +0+ +#9889 +b1000000011 4 +b100000001100 3 +b10001100 & +b10001100 5 +b10001100 G +1+ +#9890 +0+ +#9891 +b1000000011 . +b1000000011 B +b1000000011 : +b100000001101 3 +b10001101 & +b10001101 5 +b10001101 G +1+ +#9892 +0+ +#9893 +b1111 $ +b1111 2 +b1111 ' +b1111 6 +b111111111111 < +b100000001110 3 +b10001110 & +b10001110 5 +b10001110 G +b11 8 +b11001100110011 7 +b11001100110011 D +b11001100110011 E +1+ +#9894 +0+ +#9895 +b100000001111 3 +b10001111 & +b10001111 5 +b10001111 G +1+ +#9896 +0+ +#9897 +b1000000100 4 +b100000010000 3 +b10010000 & +b10010000 5 +b10010000 G +1+ +#9898 +0+ +#9899 +b1000000100 . +b1000000100 B +b1000000100 : +b100000010001 3 +b10010001 & +b10010001 5 +b10010001 G +1+ +#9900 +0+ +#9901 +b0 $ +b0 2 +b0 ' +b0 6 +b0 * +b0 9 +b0 < +b100000010010 3 +b10010010 & +b10010010 5 +b10010010 G +b100 8 +b100010001000100 7 +b100010001000100 D +b100010001000100 E +1+ +#9902 +0+ +#9903 +b100000010011 3 +b10010011 & +b10010011 5 +b10010011 G +1+ +#9904 +0+ +#9905 +b1000000101 4 +b100000010100 3 +b10010100 & +b10010100 5 +b10010100 G +1+ +#9906 +0+ +#9907 +b1000000101 . +b1000000101 B +b1000000101 : +b100000010101 3 +b10010101 & +b10010101 5 +b10010101 G +1+ +#9908 +0+ +#9909 +b1000 $ +b1000 2 +b1000 ' +b1000 6 +b1000 * +b1000 9 +b100010001000 < +b100000010110 3 +b10010110 & +b10010110 5 +b10010110 G +b101 8 +b101010101010101 7 +b101010101010101 D +b101010101010101 E +1+ +#9910 +0+ +#9911 +b100000010111 3 +b10010111 & +b10010111 5 +b10010111 G +1+ +#9912 +0+ +#9913 +b1000000110 4 +b100000011000 3 +b10011000 & +b10011000 5 +b10011000 G +1+ +#9914 +0+ +#9915 +b1000000110 . +b1000000110 B +b1000000110 : +b100000011001 3 +b10011001 & +b10011001 5 +b10011001 G +1+ +#9916 +0+ +#9917 +b1111 $ +b1111 2 +b0 ' +b0 6 +b1111 * +b1111 9 +b111100001111 < +b100000011010 3 +b10011010 & +b10011010 5 +b10011010 G +b110 8 +b110011001100110 7 +b110011001100110 D +b110011001100110 E +1+ +#9918 +0+ +#9919 +b100000011011 3 +b10011011 & +b10011011 5 +b10011011 G +1+ +#9920 +0+ +#9921 +b1000000111 4 +b100000011100 3 +b10011100 & +b10011100 5 +b10011100 G +1+ +#9922 +0+ +#9923 +b1000000111 . +b1000000111 B +b1000000111 : +b100000011101 3 +b10011101 & +b10011101 5 +b10011101 G +1+ +#9924 +0+ +#9925 +b1111 ' +b1111 6 +b0 * +b0 9 +b111111110000 < +b100000011110 3 +b10011110 & +b10011110 5 +b10011110 G +b111 8 +b111011101110111 7 +b111011101110111 D +b111011101110111 E +1+ +#9926 +0+ +#9927 +b100000011111 3 +b10011111 & +b10011111 5 +b10011111 G +1+ +#9928 +0+ +#9929 +b1000001000 4 +b100000100000 3 +b10100000 & +b10100000 5 +b10100000 G +1+ +#9930 +0+ +#9931 +b1000001000 . +b1000001000 B +b1000001000 : +b100000100001 3 +b10100001 & +b10100001 5 +b10100001 G +1+ +#9932 +0+ +#9933 +b0 $ +b0 2 +b1111 * +b1111 9 +b11111111 < +b100000100010 3 +b10100010 & +b10100010 5 +b10100010 G +b1000 8 +b1000100010001000 7 +b1000100010001000 D +b1000100010001000 E +1+ +#9934 +0+ +#9935 +b100000100011 3 +b10100011 & +b10100011 5 +b10100011 G +1+ +#9936 +0+ +#9937 +b1000001001 4 +b100000100100 3 +b10100100 & +b10100100 5 +b10100100 G +1+ +#9938 +0+ +#9939 +b1000001001 . +b1000001001 B +b1000001001 : +b100000100101 3 +b10100101 & +b10100101 5 +b10100101 G +1+ +#9940 +0+ +#9941 +b1100 $ +b1100 2 +b1100 ' +b1100 6 +b1100 * +b1100 9 +b110011001100 < +b100000100110 3 +b10100110 & +b10100110 5 +b10100110 G +b1001 8 +b1001100110011001 7 +b1001100110011001 D +b1001100110011001 E +1+ +#9942 +0+ +#9943 +b100000100111 3 +b10100111 & +b10100111 5 +b10100111 G +1+ +#9944 +0+ +#9945 +b1000001010 4 +b100000101000 3 +b10101000 & +b10101000 5 +b10101000 G +1+ +#9946 +0+ +#9947 +b1000001010 . +b1000001010 B +b1000001010 : +b100000101001 3 +b10101001 & +b10101001 5 +b10101001 G +1+ +#9948 +0+ +#9949 +b1000 $ +b1000 2 +b0 ' +b0 6 +b0 * +b0 9 +b100000000000 < +b100000101010 3 +b10101010 & +b10101010 5 +b10101010 G +b1010 8 +b1010101010101010 7 +b1010101010101010 D +b1010101010101010 E +1+ +#9950 +0+ +#9951 +b100000101011 3 +b10101011 & +b10101011 5 +b10101011 G +1+ +#9952 +0+ +#9953 +b1000001011 4 +b100000101100 3 +b10101100 & +b10101100 5 +b10101100 G +1+ +#9954 +0+ +#9955 +b1000001011 . +b1000001011 B +b1000001011 : +b100000101101 3 +b10101101 & +b10101101 5 +b10101101 G +1+ +#9956 +0+ +#9957 +b1000 ' +b1000 6 +b100010000000 < +b100000101110 3 +b10101110 & +b10101110 5 +b10101110 G +b1011 8 +b1011101110111011 7 +b1011101110111011 D +b1011101110111011 E +1+ +#9958 +0+ +#9959 +b100000101111 3 +b10101111 & +b10101111 5 +b10101111 G +1+ +#9960 +0+ +#9961 +b1000001100 4 +b100000110000 3 +b10110000 & +b10110000 5 +b10110000 G +1+ +#9962 +0+ +#9963 +b1000001100 . +b1000001100 B +b1000001100 : +b100000110001 3 +b10110001 & +b10110001 5 +b10110001 G +1+ +#9964 +0+ +#9965 +b0 $ +b0 2 +b10000000 < +b100000110010 3 +b10110010 & +b10110010 5 +b10110010 G +b1100 8 +b1100110011001100 7 +b1100110011001100 D +b1100110011001100 E +1+ +#9966 +0+ +#9967 +b100000110011 3 +b10110011 & +b10110011 5 +b10110011 G +1+ +#9968 +0+ +#9969 +b1000001101 4 +b100000110100 3 +b10110100 & +b10110100 5 +b10110100 G +1+ +#9970 +0+ +#9971 +b1000001101 . +b1000001101 B +b1000001101 : +b100000110101 3 +b10110101 & +b10110101 5 +b10110101 G +1+ +#9972 +0+ +#9973 +b1000 * +b1000 9 +b10001000 < +b100000110110 3 +b10110110 & +b10110110 5 +b10110110 G +b1101 8 +b1101110111011101 7 +b1101110111011101 D +b1101110111011101 E +1+ +#9974 +0+ +#9975 +b100000110111 3 +b10110111 & +b10110111 5 +b10110111 G +1+ +#9976 +0+ +#9977 +b1000001110 4 +b100000111000 3 +b10111000 & +b10111000 5 +b10111000 G +1+ +#9978 +0+ +#9979 +b1000001110 . +b1000001110 B +b1000001110 : +b100000111001 3 +b10111001 & +b10111001 5 +b10111001 G +1+ +#9980 +0+ +#9981 +b0 ' +b0 6 +b1000 < +b100000111010 3 +b10111010 & +b10111010 5 +b10111010 G +b1110 8 +b1110111011101110 7 +b1110111011101110 D +b1110111011101110 E +1+ +#9982 +0+ +#9983 +b100000111011 3 +b10111011 & +b10111011 5 +b10111011 G +1+ +#9984 +0+ +#9985 +b1000001111 4 +b100000111100 3 +b10111100 & +b10111100 5 +b10111100 G +1+ +#9986 +0+ +#9987 +b1000001111 . +b1000001111 B +b1000001111 : +b100000111101 3 +b10111101 & +b10111101 5 +b10111101 G +1+ +#9988 +0+ +#9989 +b111 $ +b111 2 +b111 * +b111 9 +b11100000111 < +b100000111110 3 +b10111110 & +b10111110 5 +b10111110 G +b1111 8 +b1111111111111111 7 +b1111111111111111 D +b1111111111111111 E +1+ +#9990 +0+ +#9991 +b100000111111 3 +b10111111 & +b10111111 5 +b10111111 G +1+ +#9992 +0+ +#9993 +b1000010000 4 +b100001000000 3 +b11000000 & +b11000000 5 +b11000000 G +1+ +#9994 +0+ +#9995 +b1000010000 . +b1000010000 B +b1000010000 : +b100001000001 3 +b11000001 & +b11000001 5 +b11000001 G +1+ +#9996 +0+ +#9997 +b1111 $ +b1111 2 +b0 * +b0 9 +b111100000000 < +b100001000010 3 +b11000010 & +b11000010 5 +b11000010 G +b0 8 +b0 7 +b0 D +b0 E +1+ +#9998 +0+ +#9999 +b100001000011 3 +b11000011 & +b11000011 5 +b11000011 G +1+ +#10000 +0+ diff --git a/ice40up5k/spram_test/top.v b/ice40up5k/spram_test/top.v new file mode 100644 index 0000000..7a802ed --- /dev/null +++ b/ice40up5k/spram_test/top.v @@ -0,0 +1,183 @@ +/* + top.v + + Top module for VGA test + +*/ + +// Never forget this! +`default_nettype none + +module top ( + input clk, + + output [3:0] r, + output [3:0] g, + output [3:0] b, + output hsync_out, + output vsync_out, + + output reg LED_B // debug +); + + // generate 25 MHz clock + wire locked; + wire pll_clk; + pll pll_25mhz( + .clock_in(clk), + .clock_out(pll_clk), + .locked(locked) + ); + + // BEGIN - init hack + // iCE40 does not allow registers to initialised to + // anything other than 0 + // For workaround see: + // https://github.com/YosysHQ/yosys/issues/103 + reg [7:0] resetn_counter = 0; + wire resetn = &resetn_counter; + + always @(posedge pll_clk) + begin + if (!resetn) + resetn_counter <= resetn_counter + 1; + end + // END - init hack + + wire [9:0] hpos; + wire [9:0] vpos; + + wire hsync; + wire vsync; + + wire display_valid; + + // instantiate VGA module + vga_640x480 vga ( + .clk_25mhz(pll_clk), + .resetn(resetn), + .hpos(hpos), + .vpos(vpos), + .hsync(hsync), + .vsync(vsync), + .display_valid(display_valid) + ); + + + // delay VGA signal to give a chance to read from BRAM + parameter NSR = 3; + reg [NSR-1:0] hsync_sr; + reg [NSR-1:0] vsync_sr; + + always @ (posedge pll_clk) begin + + if (!resetn) begin + + // reset values + hsync_sr <= 0; + vsync_sr <= 0; + + end + else begin + + // shift in + hsync_sr <= {hsync_sr[NSR-2:0], hsync}; + vsync_sr <= {vsync_sr[NSR-2:0], vsync}; + + end + + end + + // assign output + assign hsync_out = hsync_sr[NSR-1]; + assign vsync_out = vsync_sr[NSR-1]; + + wire data_ready; + wire [3:0] r1; + wire [3:0] g1; + wire [3:0] b1; + spram_io sprio( + .clk(pll_clk), + .resetn(resetn), + .hpos(hpos), + .vpos(vpos), + .r(r1), + .g(g1), + .b(b1), + .data_ready(data_ready) // high when ram is ready to be read + ); + +//`define VGA_TEST +`ifdef VGA_TEST + assign r = display_valid ? (hpos > 320 ? 4'b1111 : 4'b0000) : 4'b0000; + assign g = display_valid ? (hpos > 320 ? 4'b0000 : 4'b1111) : 4'b0000; + assign b = 4'b0000; +`else // VGA_TEST + + + // set VGA colours + reg [3:0] red; + reg [3:0] green; + reg [3:0] blue; + + // clocked VGA output + always @ (posedge pll_clk) begin + + if (!resetn) begin + //index <= 0; + red <= 0; + green <= 0; + blue <= 0; + + end + else if (display_valid) begin + // 320x240 + if (hpos >= 320 || vpos >= 240) begin + red <= 4'b0000; + green <= 4'b0000; + blue <= 4'b1111; + end + else begin + if (data_ready) begin + {red, green, blue} = {r1, g1, b1}; + end + else begin + red <= 4'b1100; + green <= 4'b1100; + blue <= 4'b1100; + end + end + end + else begin + red <= 4'b0000; + green <= 4'b0000; + blue <= 4'b0000; + end + end + + // assign colours + assign {r, g, b} = {red, green, blue}; + + +`endif // VGA_TEST + + // blinky for debug +//`define ENABLE_BLINKY +`ifdef ENABLE_BLINKY + reg [22:0] counter; + always @ (posedge pll_clk) + begin + + if (!resetn) begin + counter <= 0; + end + + counter <= counter + 1; + + if (!counter) begin + LED_B <= ~LED_B; + end + end +`endif // ENABLE_BLINKY + +endmodule diff --git a/ice40up5k/spram_test/vga_640x480.v b/ice40up5k/spram_test/vga_640x480.v new file mode 100644 index 0000000..c44d2ef --- /dev/null +++ b/ice40up5k/spram_test/vga_640x480.v @@ -0,0 +1,82 @@ +/* + hvsync.v + + VGA module - 640 x 480 + +*/ + +// Never forget this! +`default_nettype none + +module vga_640x480( + input clk_25mhz, + input resetn, + + output [9:0] hpos, // [0, 639] + output [9:0] vpos, // [0, 479] + output hsync, + output vsync, + output display_valid // +); + +// Front Porch (FP) + +parameter WIDTH = 640; +parameter HEIGHT = 480; + +parameter H_FP = 16; // pixels +parameter H_BP = 48; // pixels +parameter H_PW = 96; // pixels + +parameter V_FP = 10; // lines +parameter V_BP = 33; // lines +parameter V_PW = 2; // lines + +parameter HSYNC_START = WIDTH + H_FP; +parameter HSYNC_END = WIDTH + H_FP + H_PW; + +parameter VSYNC_START = HEIGHT + V_FP; +parameter VSYNC_END = HEIGHT + V_FP + V_PW; + +// counters for horizontal and vertical +reg [9:0] hpos; +reg [9:0] vpos; + +// horizontal sync +assign hsync = ~((hpos >= HSYNC_START) && (hpos <= HSYNC_END)); +// vertical sync +assign vsync = ~((vpos >= VSYNC_START) && (vpos < VSYNC_END)); + +// display valid only in certain range +assign display_valid = (hpos >= 0 && hpos < WIDTH) && + (vpos >= 0 && vpos < HEIGHT); + +always @ (posedge clk_25mhz) begin + + if (!resetn) begin + hpos <= 10'd0; + vpos <= 10'd0; + end + else begin + + // increment horizontal count + hpos <= hpos + 1; + + // reset hpos end of the line + if (hpos == (H_FP + H_PW + H_BP + WIDTH - 1)) begin + // reset + hpos <= 10'd0; + // add a line + vpos <= vpos + 1; + end + + // reset line count end of video frame + if (vpos == (V_FP + V_PW + V_BP + HEIGHT - 1)) begin + vpos <= 10'd0; + end + + end + +end + +endmodule From a1f1088549f2812323a77b24aad8b801a16af43b Mon Sep 17 00:00:00 2001 From: Mahesh Venkitachalam Date: Mon, 25 Apr 2022 20:46:19 +0530 Subject: [PATCH 3/3] migrated --- README.md | 44 +- ice40hx1k/led_breathe/README.md | 1 - ice40hx1k/led_breathe/led_breathe.pcf | 5 - ice40hx1k/led_breathe/led_breathe.v | 128 - ice40hx1k/led_chaser/Makefile | 26 - ice40hx1k/led_chaser/README.md | 1 - ice40hx1k/led_chaser/build/led_chaser.asc | 4550 -- ice40hx1k/led_chaser/build/led_chaser.bin | Bin 32220 -> 0 bytes ice40hx1k/led_chaser/build/led_chaser.blif | 256 - ice40hx1k/led_chaser/led_chaser.pcf | 5 - ice40hx1k/led_chaser/led_chaser.v | 53 - ice40up5k/picosoc_gpio/.gitignore | 17 - ice40up5k/picosoc_gpio/Makefile | 76 - ice40up5k/picosoc_gpio/README.md | 9 - ice40up5k/picosoc_gpio/custom_ops.S | 102 - ice40up5k/picosoc_gpio/firmware_lice40up.c | 176 - ice40up5k/picosoc_gpio/ice40up5k_spram.v | 91 - .../picosoc_gpio/icebreaker_sections.lds | 50 - ice40up5k/picosoc_gpio/lice40up.pcf | 22 - ice40up5k/picosoc_gpio/lice40up.v | 178 - ice40up5k/picosoc_gpio/lice40up_sections.lds | 50 - ice40up5k/picosoc_gpio/picosoc.core | 27 - ice40up5k/picosoc_gpio/picosoc.v | 259 - ice40up5k/picosoc_gpio/sections.lds | 71 - ice40up5k/picosoc_gpio/simpleuart.v | 137 - ice40up5k/picosoc_gpio/spiflash.core | 24 - ice40up5k/picosoc_gpio/spiflash.v | 409 - ice40up5k/picosoc_gpio/spiflash_tb.v | 366 - ice40up5k/picosoc_gpio/spimemio.v | 579 - ice40up5k/picosoc_gpio/start.S | 202 - ice40up5k/picosoc_gpio/start.s | 203 - ice40up5k/spram_test/Makefile | 48 - ice40up5k/spram_test/README.md | 14 - ice40up5k/spram_test/pll.v | 33 - ice40up5k/spram_test/saved.gtkw | 53 - ice40up5k/spram_test/spram.v | 116 - ice40up5k/spram_test/spram_io.v | 206 - ice40up5k/spram_test/spram_sim.v | 53 - ice40up5k/spram_test/spram_test.jpg | Bin 468185 -> 0 bytes ice40up5k/spram_test/spram_test.pcf | 21 - ice40up5k/spram_test/tb.out | 611 - ice40up5k/spram_test/testbench.v | 88 - ice40up5k/spram_test/testbench.vcd | 57044 ---------------- ice40up5k/spram_test/top.v | 183 - ice40up5k/spram_test/vga_640x480.v | 82 - ice40up5k/ultrasonic/7seg-circuit.jpg | Bin 64257 -> 0 bytes ice40up5k/ultrasonic/7seg.png | Bin 697952 -> 0 bytes ice40up5k/ultrasonic/Makefile | 30 - ice40up5k/ultrasonic/README.md | 16 - ice40up5k/ultrasonic/bin_to_bcd.v | 135 - ice40up5k/ultrasonic/build/ultrasonic.asc | 4618 -- ice40up5k/ultrasonic/build/ultrasonic.bin | Bin 32220 -> 0 bytes ice40up5k/ultrasonic/build/ultrasonic.blif | 271 - ice40up5k/ultrasonic/edge_detect.v | 30 - ice40up5k/ultrasonic/seven_seg_cc_4d.v | 124 - ice40up5k/ultrasonic/testbench.v | 53 - ice40up5k/ultrasonic/top.v | 131 - ice40up5k/ultrasonic/ultra.jpg | Bin 185709 -> 0 bytes ice40up5k/ultrasonic/ultrasonic.pcf | 19 - iceblink40.jpg | Bin 44493 -> 0 bytes migen/blinky/README.md | 21 - migen/blinky/blinky.jpg | Bin 59537 -> 0 bytes migen/blinky/blinky.py | 45 - migen/blinky/blinky_sm.jpg | Bin 49805 -> 0 bytes newproj.png | Bin 105092 -> 0 bytes zynq/blinky/J6.jpg | Bin 85936 -> 0 bytes zynq/blinky/README.md | 36 - zynq/blinky/z-turn-blinky-sm.jpg | Bin 205159 -> 0 bytes zynq/simple_vga/README.md | 24 - zynq/simple_vga/block.png | Bin 51657 -> 0 bytes zynq/simple_vga/simple_vga1.jpg | Bin 141428 -> 0 bytes zynq/simple_vga/simple_vga2.jpg | Bin 263477 -> 0 bytes zynq/simple_vga/vga.v | 170 - zynq/simple_vga/wiring.jpg | Bin 106126 -> 0 bytes zynq/thermal_vga/README.md | 381 - zynq/thermal_vga/bd.png | Bin 128198 -> 0 bytes zynq/thermal_vga/blerp.h | 64 - zynq/thermal_vga/interpolated_colors.h | 39 - zynq/video_ip_test/README.md | 116 - zynq/video_ip_test/bd.png | Bin 151830 -> 0 bytes zynq/video_ip_test/tp.jpg | Bin 129846 -> 0 bytes 81 files changed, 3 insertions(+), 72989 deletions(-) delete mode 100644 ice40hx1k/led_breathe/README.md delete mode 100644 ice40hx1k/led_breathe/led_breathe.pcf delete mode 100644 ice40hx1k/led_breathe/led_breathe.v delete mode 100644 ice40hx1k/led_chaser/Makefile delete mode 100644 ice40hx1k/led_chaser/README.md delete mode 100644 ice40hx1k/led_chaser/build/led_chaser.asc delete mode 100644 ice40hx1k/led_chaser/build/led_chaser.bin delete mode 100644 ice40hx1k/led_chaser/build/led_chaser.blif delete mode 100644 ice40hx1k/led_chaser/led_chaser.pcf delete mode 100644 ice40hx1k/led_chaser/led_chaser.v delete mode 100644 ice40up5k/picosoc_gpio/.gitignore delete mode 100644 ice40up5k/picosoc_gpio/Makefile delete mode 100644 ice40up5k/picosoc_gpio/README.md delete mode 100644 ice40up5k/picosoc_gpio/custom_ops.S delete mode 100644 ice40up5k/picosoc_gpio/firmware_lice40up.c delete mode 100644 ice40up5k/picosoc_gpio/ice40up5k_spram.v delete mode 100644 ice40up5k/picosoc_gpio/icebreaker_sections.lds delete mode 100644 ice40up5k/picosoc_gpio/lice40up.pcf delete mode 100644 ice40up5k/picosoc_gpio/lice40up.v delete mode 100644 ice40up5k/picosoc_gpio/lice40up_sections.lds delete mode 100644 ice40up5k/picosoc_gpio/picosoc.core delete mode 100644 ice40up5k/picosoc_gpio/picosoc.v delete mode 100644 ice40up5k/picosoc_gpio/sections.lds delete mode 100644 ice40up5k/picosoc_gpio/simpleuart.v delete mode 100644 ice40up5k/picosoc_gpio/spiflash.core delete mode 100644 ice40up5k/picosoc_gpio/spiflash.v delete mode 100644 ice40up5k/picosoc_gpio/spiflash_tb.v delete mode 100644 ice40up5k/picosoc_gpio/spimemio.v delete mode 100644 ice40up5k/picosoc_gpio/start.S delete mode 100644 ice40up5k/picosoc_gpio/start.s delete mode 100644 ice40up5k/spram_test/Makefile delete mode 100644 ice40up5k/spram_test/README.md delete mode 100644 ice40up5k/spram_test/pll.v delete mode 100644 ice40up5k/spram_test/saved.gtkw delete mode 100644 ice40up5k/spram_test/spram.v delete mode 100644 ice40up5k/spram_test/spram_io.v delete mode 100644 ice40up5k/spram_test/spram_sim.v delete mode 100644 ice40up5k/spram_test/spram_test.jpg delete mode 100644 ice40up5k/spram_test/spram_test.pcf delete mode 100755 ice40up5k/spram_test/tb.out delete mode 100644 ice40up5k/spram_test/testbench.v delete mode 100644 ice40up5k/spram_test/testbench.vcd delete mode 100644 ice40up5k/spram_test/top.v delete mode 100644 ice40up5k/spram_test/vga_640x480.v delete mode 100644 ice40up5k/ultrasonic/7seg-circuit.jpg delete mode 100644 ice40up5k/ultrasonic/7seg.png delete mode 100644 ice40up5k/ultrasonic/Makefile delete mode 100644 ice40up5k/ultrasonic/README.md delete mode 100644 ice40up5k/ultrasonic/bin_to_bcd.v delete mode 100644 ice40up5k/ultrasonic/build/ultrasonic.asc delete mode 100644 ice40up5k/ultrasonic/build/ultrasonic.bin delete mode 100644 ice40up5k/ultrasonic/build/ultrasonic.blif delete mode 100644 ice40up5k/ultrasonic/edge_detect.v delete mode 100644 ice40up5k/ultrasonic/seven_seg_cc_4d.v delete mode 100644 ice40up5k/ultrasonic/testbench.v delete mode 100644 ice40up5k/ultrasonic/top.v delete mode 100644 ice40up5k/ultrasonic/ultra.jpg delete mode 100644 ice40up5k/ultrasonic/ultrasonic.pcf delete mode 100644 iceblink40.jpg delete mode 100644 migen/blinky/README.md delete mode 100644 migen/blinky/blinky.jpg delete mode 100644 migen/blinky/blinky.py delete mode 100644 migen/blinky/blinky_sm.jpg delete mode 100644 newproj.png delete mode 100644 zynq/blinky/J6.jpg delete mode 100644 zynq/blinky/README.md delete mode 100644 zynq/blinky/z-turn-blinky-sm.jpg delete mode 100644 zynq/simple_vga/README.md delete mode 100644 zynq/simple_vga/block.png delete mode 100644 zynq/simple_vga/simple_vga1.jpg delete mode 100644 zynq/simple_vga/simple_vga2.jpg delete mode 100644 zynq/simple_vga/vga.v delete mode 100644 zynq/simple_vga/wiring.jpg delete mode 100644 zynq/thermal_vga/README.md delete mode 100644 zynq/thermal_vga/bd.png delete mode 100644 zynq/thermal_vga/blerp.h delete mode 100644 zynq/thermal_vga/interpolated_colors.h delete mode 100644 zynq/video_ip_test/README.md delete mode 100644 zynq/video_ip_test/bd.png delete mode 100644 zynq/video_ip_test/tp.jpg diff --git a/README.md b/README.md index 662b554..1d77101 100644 --- a/README.md +++ b/README.md @@ -1,43 +1,5 @@ -![ice40](iceblink40.jpg) +This repo has been migrated to: -# Learn FGPA +[https://github.com/mkvenkit/learn_fpga][1] -I think the best way to understand something is to try and build something interesting with it. This is a repository of hardware projects using FPGAs. - -# Project Index - -This is a summary of projects you will find in this repository. - -## Latice iCE40HX1k - -These projects use the Lattice iCE40HX1k and the *icestorm* open source FPGA toolchain. - -- **led_chaser** - a "hello world" for FPGA -- **led_breathe** - LEDs and PWM - -## Latice iCE40UP5k - -These projects use the Lattice iCE40HX1k and the *icestorm* open source FPGA toolchain. - -- **ultrasonic** - talking to ultrasonic sensor HC-SR04 and displaying distance on a 4-digit 7-segment display - -- **picosoc_gpio** - simpe RISC-V based Picosoc demo that shows how to enable interrupts. - -- **spram_test** - demonstrates SPRAM block usage by displaying colour bars on VGA. - - -## Migen Examples - -- **blinky** - a simple blinky in Migen/Python - -## Xilinx Zynq - -These projects use the Xilinx Zynq SoCs which combine FPGA (PL) with ARM cores (PS). - -- **blinky** - this project (video, text, no source) shows you how to create a blinky on the PL of Xilinx XC7Z007S using the clock from the PS, using Vivado 2018.3. - -- **simple_vga** - a simple VGA project with a red grid and a yellow moving square. - -- **video_ip_test** - using Xilinx video IPs to show test patterns via VGA. - -- **thermal_vga** - displaying thermal sensor data via VGA +[1]: https://github.com/mkvenkit/learn_fpga diff --git a/ice40hx1k/led_breathe/README.md b/ice40hx1k/led_breathe/README.md deleted file mode 100644 index 4045a40..0000000 --- a/ice40hx1k/led_breathe/README.md +++ /dev/null @@ -1 +0,0 @@ -Make LEDs breathe by varying PWM duty cycle. diff --git a/ice40hx1k/led_breathe/led_breathe.pcf b/ice40hx1k/led_breathe/led_breathe.pcf deleted file mode 100644 index 0d5e6c1..0000000 --- a/ice40hx1k/led_breathe/led_breathe.pcf +++ /dev/null @@ -1,5 +0,0 @@ -set_io LED2 59 -set_io LED3 56 -set_io LED4 53 -set_io LED5 51 -set_io clk 13 diff --git a/ice40hx1k/led_breathe/led_breathe.v b/ice40hx1k/led_breathe/led_breathe.v deleted file mode 100644 index 1cef5ad..0000000 --- a/ice40hx1k/led_breathe/led_breathe.v +++ /dev/null @@ -1,128 +0,0 @@ -/* - led_breathe.v - - Each of the LEDs breathe (PWM duty cycle varies) at a different rate. - - electronut.in - -*/ -module top ( - input clk, - output LED2, - output LED3, - output LED4, - output LED5 -); - - // clk is at 3.3 MHz - // 21 < log(3300000) < 22 - reg [24:0] counter; - - // BEGIN - init hack - // iCE40 does not allow registers to be initialised to - // anything other than 0 - // For workaround see: - // https://github.com/YosysHQ/yosys/issues/103 - reg [7:0] resetn_counter = 0; - assign resetn = &resetn_counter; - - always @(posedge clk) - begin - if (!resetn) - resetn_counter <= resetn_counter + 1; - end - // END - init hack - - reg [10:0] pwm_value_1, pwm_value_2, pwm_value_3, pwm_value_4; - reg led_on_1, led_on_2, led_on_3, led_on_4; - reg [24:0] delay1, delay2, delay3; - - // set LED on/off - always @(posedge clk) - begin - // initialise rot - if (!resetn) - begin - pwm_value_1 <= 1; - pwm_value_2 <= 1; - pwm_value_3 <= 1; - pwm_value_4 <= 1; - delay1 <= 200000; - delay2 <= 400000; - delay3 <= 600000; - end - else - begin - // set PWM value - if (!counter[17:0]) - begin - pwm_value_1 <= pwm_value_1 << 1; - if (pwm_value_1 == 0) - pwm_value_1 <= 1; - end - - // LED 2 - if (!counter[17:0] && (counter > delay1)) - begin - pwm_value_2 <= pwm_value_2 << 1; - if (pwm_value_2 == 0) - pwm_value_2 <= 1; - end - - // LED 3 - if (!counter[17:0] && (counter > delay2)) - begin - pwm_value_3 <= pwm_value_3 << 1; - if (pwm_value_3 == 0) - pwm_value_3 <= 1; - end - - // LED 4 - if (!counter[17:0] && (counter > delay3)) - begin - pwm_value_4 <= pwm_value_4 << 1; - if (pwm_value_4 == 0) - pwm_value_4 <= 1; - end - - // F = 3.3 MHz clk - // 0 th bit of counter will change at F/2 - // Nth bit of counter will change at F/2/(2^N) - - // counter[10:0] is a 11 bit value - // splitting it in half will give a - // 50% duty cycle at ~1600 Hz - - // inc counter and set PWM - counter <= counter + 1; - - // LED 1 - if (counter[10:0] < pwm_value_1) - led_on_1 <= 1; - else - led_on_1 <= 0; - - // LED 2 - if (counter[10:0] < pwm_value_2) - led_on_2 <= 1; - else - led_on_2 <= 0; - - // LED 3 - if (counter[10:0] < pwm_value_3) - led_on_3 <= 1; - else - led_on_3 <= 0; - - // LED 3 - if (counter[10:0] < pwm_value_4) - led_on_4 <= 1; - else - led_on_4 <= 0; - end - end - - // set LED output - assign {LED2, LED3, LED4, LED5} = {led_on_1, led_on_2, led_on_3, led_on_4}; - -endmodule diff --git a/ice40hx1k/led_chaser/Makefile b/ice40hx1k/led_chaser/Makefile deleted file mode 100644 index 923206f..0000000 --- a/ice40hx1k/led_chaser/Makefile +++ /dev/null @@ -1,26 +0,0 @@ -# Project setup -PROJ = led_chaser -BUILD = ./build -DEVICE = 1k -FOOTPRINT = vq100 - -# Files -FILES = led_chaser.v - -.PHONY: all clean burn - -all: - # if build folder doesn't exist, create it - mkdir -p $(BUILD) - # synthesize using Yosys - yosys -p "synth_ice40 -top top -blif $(BUILD)/$(PROJ).blif" $(FILES) - # Place and route using arachne - arachne-pnr -d $(DEVICE) -P $(FOOTPRINT) -o $(BUILD)/$(PROJ).asc -p led_chaser.pcf $(BUILD)/$(PROJ).blif - # Convert to bitstream using IcePack - icepack $(BUILD)/$(PROJ).asc $(BUILD)/$(PROJ).bin - -burn: - iceprog $(BUILD)/$(PROJ).bin - -clean: - rm build/* diff --git a/ice40hx1k/led_chaser/README.md b/ice40hx1k/led_chaser/README.md deleted file mode 100644 index 22b8169..0000000 --- a/ice40hx1k/led_chaser/README.md +++ /dev/null @@ -1 +0,0 @@ -A simple LED chaser for the Lattice iceblick40 board based on the iCE40-HX1K chip. diff --git a/ice40hx1k/led_chaser/build/led_chaser.asc b/ice40hx1k/led_chaser/build/led_chaser.asc deleted file mode 100644 index 4b35462..0000000 --- a/ice40hx1k/led_chaser/build/led_chaser.asc +++ /dev/null @@ -1,4550 +0,0 @@ -.comment arachne-pnr 0.1+203+ 0 (git sha1 7e135ed, c++ 6.0 -O2) -.device 1k -.io_tile 1 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 0 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -001000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 8 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 10 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 11 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 0 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 1 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 1 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 2 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 3 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 3 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 3 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 3 -000000000000000000 -000100000000000000 -000000111000000000 -000000001000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 4 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 4 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 4 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 4 -000000111000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000010 -000000000000010000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 5 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 5 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 5 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 6 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000001000000 -000000000000000000000000000001000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 6 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 6 -000000000000001000000000010000000000000000000100000000 -000000000000000001000011011101000000000010000000000100 -111000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -010000000000000000000110011000000000000000000100000000 -010000000000000000000010001001000000000010000000000000 -000000000000000011000000000000000000000000000100000000 -000000000000000000100000001101000000000010001000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000001110000000000000000001000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -.io_tile 13 6 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000110010 -000000000000110000 -000000000000000000 -000000000000000001 -000010000000000010 -000010010000000000 -.io_tile 0 7 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 7 -000001000000000000000110010111000000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000001001100110010000000001000000001000000000 -000000000000000001000010000000001111000000000000000000 -010000000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000010110000001001110011000000000000 -000000000000000000000000010000001001001100111100000000 -000000000000000000000010100000001001110011000000000000 -.logic_tile 7 7 -000000000000000000000000001001100000000001000000000000 -000000000000000000000010010001000000000000000000000001 -111000000000000111100000010000000000000000000000000000 -000000000000000001000010000000000000000000000000000000 -010000000000000000000110110000000000000000000000000000 -010000000000000000000010100000000000000000000000000000 -110000000000001101100000000101011011000010000000000000 -100000000000000101000000001101101010000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000100000000 -000000000000000000000000001001000000000000000000000100 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 7 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 7 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000100010 -000001010000110000 -000000000000000000 -000000000000000001 -000000000000000010 -000000000000000000 -.io_tile 0 8 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000100 -000000000000001000 -000100000000000000 -000000000000000000 -000010000000000000 -000101010000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 8 -000000000000000000000110010011100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000001001100010110000000001000000001000000000 -000000000000000001000110000000001001000000000000000000 -010000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000001 -000000000000000000000000000000001000001100111100000000 -000000000000000000000011010000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000100 -000000000000000000000010100000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100110100100000 -000000000000000000000000000000001011110011000000000001 -.logic_tile 5 8 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000101000000001100110101000000 -100000000000000000000010110000101001110011000000000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 8 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010001 -111000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000000001 -010000000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000010000000 -000000000000000000000000000000001000001100111101000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -.logic_tile 7 8 -000000000000000111100110000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000001001100010010001100001000011010000000000 -000000000000000001000010101001001001000011000000000001 -000000000000000011000010100000000000000000000000000000 -000000000000001011100010110000000000000000000000000000 -000000000000001101100110000001100000000000100000000000 -000000000000000101000010110101101011000000000000000000 -000000000000000011100000000101111001100000000000000000 -000000000000000000100000001101101011000000000000000000 -000000000000000000000000001111101110000010000000000000 -000000000000000000000000001111111100000000000000000000 -110000000000000000000111000000000000000000000000000000 -100000000000000000000100000000000000000000000000000000 -000000000000000000000110010101011011000010000000000000 -000000000000000000000110010001001010000000000000000000 -.logic_tile 8 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 8 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 8 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 9 -000000000000000000 -000100000000000000 -100000000000000000 -000000000000000001 -000000000000001100 -000000000000001000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000010110000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 9 -000000000000000000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001000000000001000000100000 -000000000000000000000000000101000000000000000000000000 -.ramb_tile 3 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 9 -000000000000100001000010101001011000100000000000000000 -000000000001010001100011110101111000000000000001000000 -111000000000000101000110000000000000000000000000000000 -000000000000000001000010100000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -110000000000000011100000000000000000000000000000000000 -100000000000000000100000000000000000000000000000000000 -000000000000000000000000001011100000000000000000000000 -000000000000000000000000000001100000000001000000100000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001011001100000000000100000 -000000000000000000000000001111001001000000000000000000 -000000000000000000000110101000000000000010000100000000 -000000000000000000000000001001000000000000000000000000 -.logic_tile 5 9 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000000000110000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001000001001100110100000000 -000000000000000000000000000000101001110011000000000000 -.logic_tile 6 9 -000000000000001001100110010000001000001100111100000000 -000000000000000001000010000000001000110011000000010000 -111000000000001001100000000000001000001100111100000000 -000000000000000001000000000000001000110011000000000000 -010000000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000010 -000000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 9 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001101100110111101001010000010000000000000 -000000000000000101000010101111011010000000000000000000 -000000000000001101100000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001011011000010000000000000 -000000000000000000000000001101001010000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -.logic_tile 8 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 9 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 9 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 9 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 10 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 10 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 11 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 11 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 11 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 12 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 12 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 12 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 12 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 13 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 13 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 14 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 14 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 3 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramb_tile 10 15 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 15 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 0 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.logic_tile 1 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 4 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 7 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 8 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 9 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 16 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 12 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.io_tile 13 16 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 1 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 2 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 3 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 4 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 5 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 6 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 7 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000011000000100 -000000001000000100 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 8 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 9 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 10 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 11 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.io_tile 12 17 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000100000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 -.sym 2 $abc$622$n3$2 -.sym 4 resetn$2 -.sym 7 clk$2$2 -.sym 830 clk$2 -.sym 944 resetn -.sym 945 clk$2 -.sym 3051 resetn -.sym 5378 $abc$622$n41 -.sym 5379 $abc$622$n42 -.sym 5380 $false -.sym 5381 $false -.sym 7072 resetn_counter[2] -.sym 7073 resetn_counter[3] -.sym 7074 resetn_counter[4] -.sym 7075 resetn_counter[5] -.sym 7076 resetn_counter[6] -.sym 7077 resetn_counter[7] -.sym 7172 $abc$622$n41 -.sym 7176 $abc$622$n10 -.sym 7178 $abc$622$n42 -.sym 7179 resetn_counter[1] -.sym 9022 counter[0] -.sym 9056 $true -.sym 9093 resetn_counter[0]$2 -.sym 9094 $false -.sym 9095 resetn_counter[0] -.sym 9096 $false -.sym 9097 $false -.sym 9099 $auto$alumacc.cc:474:replace_alu$26.C[2] -.sym 9101 $false -.sym 9102 resetn_counter[1] -.sym 9105 $auto$alumacc.cc:474:replace_alu$26.C[3] -.sym 9106 $false -.sym 9107 $false -.sym 9108 resetn_counter[2] -.sym 9109 $auto$alumacc.cc:474:replace_alu$26.C[2] -.sym 9111 $auto$alumacc.cc:474:replace_alu$26.C[4] -.sym 9112 $false -.sym 9113 $false -.sym 9114 resetn_counter[3] -.sym 9115 $auto$alumacc.cc:474:replace_alu$26.C[3] -.sym 9117 $auto$alumacc.cc:474:replace_alu$26.C[5] -.sym 9118 $false -.sym 9119 $false -.sym 9120 resetn_counter[4] -.sym 9121 $auto$alumacc.cc:474:replace_alu$26.C[4] -.sym 9123 $auto$alumacc.cc:474:replace_alu$26.C[6] -.sym 9124 $false -.sym 9125 $false -.sym 9126 resetn_counter[5] -.sym 9127 $auto$alumacc.cc:474:replace_alu$26.C[5] -.sym 9129 $auto$alumacc.cc:474:replace_alu$26.C[7] -.sym 9130 $false -.sym 9131 $false -.sym 9132 resetn_counter[6] -.sym 9133 $auto$alumacc.cc:474:replace_alu$26.C[6] -.sym 9136 $false -.sym 9137 $false -.sym 9138 resetn_counter[7] -.sym 9139 $auto$alumacc.cc:474:replace_alu$26.C[7] -.sym 9140 $abc$622$n3$2 -.sym 9141 clk$2$2 -.sym 9142 $false -.sym 9145 $true$2 -.sym 9150 resetn_counter[0] -.sym 9217 resetn_counter[4] -.sym 9218 resetn_counter[3] -.sym 9219 resetn_counter[2] -.sym 9220 resetn_counter[1] -.sym 9241 resetn$2 -.sym 9242 resetn_counter[0] -.sym 9243 $false -.sym 9244 $false -.sym 9253 resetn_counter[0] -.sym 9254 resetn_counter[7] -.sym 9255 resetn_counter[6] -.sym 9256 resetn_counter[5] -.sym 9259 resetn_counter[1] -.sym 9260 $false -.sym 9261 $false -.sym 9262 $false -.sym 9263 $abc$622$n10 -.sym 9264 clk$2$2 -.sym 9265 $false -.sym 11007 counter[2] -.sym 11008 counter[3] -.sym 11009 counter[4] -.sym 11010 counter[5] -.sym 11011 counter[6] -.sym 11012 counter[7] -.sym 11128 counter[8] -.sym 11129 counter[9] -.sym 11130 counter[10] -.sym 11131 counter[11] -.sym 11132 counter[12] -.sym 11133 counter[13] -.sym 11134 counter[14] -.sym 11135 counter[15] -.sym 11214 $false -.sym 11215 $true$2 -.sym 11216 counter[0] -.sym 11217 $false -.sym 11248 resetn$2 -.sym 11249 clk$2$2 -.sym 11250 $false -.sym 11251 counter[16] -.sym 11252 counter[17] -.sym 11253 counter[18] -.sym 11254 counter[19] -.sym 11255 counter[20] -.sym 11256 counter[21] -.sym 11337 $false -.sym 11338 $false -.sym 11339 $false -.sym 11340 $false -.sym 11367 $false -.sym 11368 $true$2 -.sym 11369 resetn_counter[0] -.sym 11370 $false -.sym 11371 $abc$622$n3$2 -.sym 11372 clk$2$2 -.sym 11373 $false -.sym 13114 $abc$622$n5 -.sym 13117 $abc$622$n48 -.sym 13120 counter[1] -.sym 13150 $true -.sym 13187 counter[0]$2 -.sym 13188 $false -.sym 13189 counter[0] -.sym 13190 $false -.sym 13191 $false -.sym 13193 $auto$alumacc.cc:474:replace_alu$29.C[2] -.sym 13195 $false -.sym 13196 counter[1] -.sym 13199 $auto$alumacc.cc:474:replace_alu$29.C[3] -.sym 13200 $false -.sym 13201 $false -.sym 13202 counter[2] -.sym 13203 $auto$alumacc.cc:474:replace_alu$29.C[2] -.sym 13205 $auto$alumacc.cc:474:replace_alu$29.C[4] -.sym 13206 $false -.sym 13207 $false -.sym 13208 counter[3] -.sym 13209 $auto$alumacc.cc:474:replace_alu$29.C[3] -.sym 13211 $auto$alumacc.cc:474:replace_alu$29.C[5] -.sym 13212 $false -.sym 13213 $false -.sym 13214 counter[4] -.sym 13215 $auto$alumacc.cc:474:replace_alu$29.C[4] -.sym 13217 $auto$alumacc.cc:474:replace_alu$29.C[6] -.sym 13218 $false -.sym 13219 $false -.sym 13220 counter[5] -.sym 13221 $auto$alumacc.cc:474:replace_alu$29.C[5] -.sym 13223 $auto$alumacc.cc:474:replace_alu$29.C[7] -.sym 13224 $false -.sym 13225 $false -.sym 13226 counter[6] -.sym 13227 $auto$alumacc.cc:474:replace_alu$29.C[6] -.sym 13229 $auto$alumacc.cc:474:replace_alu$29.C[8] -.sym 13230 $false -.sym 13231 $false -.sym 13232 counter[7] -.sym 13233 $auto$alumacc.cc:474:replace_alu$29.C[7] -.sym 13234 resetn$2 -.sym 13235 clk$2$2 -.sym 13236 $false -.sym 13238 $abc$622$n7 -.sym 13240 $abc$622$n45 -.sym 13241 $abc$622$n47 -.sym 13242 $abc$622$n51 -.sym 13244 $abc$622$n50 -.sym 13273 $auto$alumacc.cc:474:replace_alu$29.C[8] -.sym 13310 $auto$alumacc.cc:474:replace_alu$29.C[9] -.sym 13311 $false -.sym 13312 $false -.sym 13313 counter[8] -.sym 13314 $auto$alumacc.cc:474:replace_alu$29.C[8] -.sym 13316 $auto$alumacc.cc:474:replace_alu$29.C[10] -.sym 13317 $false -.sym 13318 $false -.sym 13319 counter[9] -.sym 13320 $auto$alumacc.cc:474:replace_alu$29.C[9] -.sym 13322 $auto$alumacc.cc:474:replace_alu$29.C[11] -.sym 13323 $false -.sym 13324 $false -.sym 13325 counter[10] -.sym 13326 $auto$alumacc.cc:474:replace_alu$29.C[10] -.sym 13328 $auto$alumacc.cc:474:replace_alu$29.C[12] -.sym 13329 $false -.sym 13330 $false -.sym 13331 counter[11] -.sym 13332 $auto$alumacc.cc:474:replace_alu$29.C[11] -.sym 13334 $auto$alumacc.cc:474:replace_alu$29.C[13] -.sym 13335 $false -.sym 13336 $false -.sym 13337 counter[12] -.sym 13338 $auto$alumacc.cc:474:replace_alu$29.C[12] -.sym 13340 $auto$alumacc.cc:474:replace_alu$29.C[14] -.sym 13341 $false -.sym 13342 $false -.sym 13343 counter[13] -.sym 13344 $auto$alumacc.cc:474:replace_alu$29.C[13] -.sym 13346 $auto$alumacc.cc:474:replace_alu$29.C[15] -.sym 13347 $false -.sym 13348 $false -.sym 13349 counter[14] -.sym 13350 $auto$alumacc.cc:474:replace_alu$29.C[14] -.sym 13352 $auto$alumacc.cc:474:replace_alu$29.C[16] -.sym 13353 $false -.sym 13354 $false -.sym 13355 counter[15] -.sym 13356 $auto$alumacc.cc:474:replace_alu$29.C[15] -.sym 13357 resetn$2 -.sym 13358 clk$2$2 -.sym 13359 $false -.sym 13362 $abc$622$n46 -.sym 13366 $abc$622$n49 -.sym 13396 $auto$alumacc.cc:474:replace_alu$29.C[16] -.sym 13433 $auto$alumacc.cc:474:replace_alu$29.C[17] -.sym 13434 $false -.sym 13435 $false -.sym 13436 counter[16] -.sym 13437 $auto$alumacc.cc:474:replace_alu$29.C[16] -.sym 13439 $auto$alumacc.cc:474:replace_alu$29.C[18] -.sym 13440 $false -.sym 13441 $false -.sym 13442 counter[17] -.sym 13443 $auto$alumacc.cc:474:replace_alu$29.C[17] -.sym 13445 $auto$alumacc.cc:474:replace_alu$29.C[19] -.sym 13446 $false -.sym 13447 $false -.sym 13448 counter[18] -.sym 13449 $auto$alumacc.cc:474:replace_alu$29.C[18] -.sym 13451 $auto$alumacc.cc:474:replace_alu$29.C[20] -.sym 13452 $false -.sym 13453 $false -.sym 13454 counter[19] -.sym 13455 $auto$alumacc.cc:474:replace_alu$29.C[19] -.sym 13457 $auto$alumacc.cc:474:replace_alu$29.C[21] -.sym 13458 $false -.sym 13459 $false -.sym 13460 counter[20] -.sym 13461 $auto$alumacc.cc:474:replace_alu$29.C[20] -.sym 13464 $false -.sym 13465 $false -.sym 13466 counter[21] -.sym 13467 $auto$alumacc.cc:474:replace_alu$29.C[21] -.sym 13480 resetn$2 -.sym 13481 clk$2$2 -.sym 13482 $false -.sym 14226 $abc$622$n3 -.sym 15297 resetn$2 -.sym 15298 counter[0] -.sym 15299 $false -.sym 15300 $false -.sym 15315 counter[2] -.sym 15316 counter[3] -.sym 15317 counter[4] -.sym 15318 counter[5] -.sym 15333 counter[1] -.sym 15334 $false -.sym 15335 $false -.sym 15336 $false -.sym 15343 $abc$622$n5 -.sym 15344 clk$2$2 -.sym 15345 $false -.sym 15426 $abc$622$n45 -.sym 15427 $abc$622$n47 -.sym 15428 resetn$2 -.sym 15429 $false -.sym 15438 counter[0] -.sym 15439 counter[14] -.sym 15440 $abc$622$n46 -.sym 15441 $false -.sym 15444 $abc$622$n48 -.sym 15445 $abc$622$n49 -.sym 15446 $abc$622$n50 -.sym 15447 $abc$622$n51 -.sym 15450 counter[6] -.sym 15451 counter[7] -.sym 15452 counter[8] -.sym 15453 counter[9] -.sym 15462 counter[10] -.sym 15463 counter[11] -.sym 15464 counter[12] -.sym 15465 counter[13] -.sym 15555 counter[15] -.sym 15556 counter[16] -.sym 15557 counter[17] -.sym 15558 counter[18] -.sym 15579 counter[19] -.sym 15580 counter[20] -.sym 15581 counter[21] -.sym 15582 counter[1] -.sym 16434 resetn$2 -.sym 16435 $false -.sym 16436 $false -.sym 16437 $false -.sym 16497 $abc$622$n3 -.sym 17212 $abc$622$n1 -.sym 19409 resetn$2 -.sym 19410 $false -.sym 19411 $false -.sym 19412 $false -.sym 23198 LED4$2 -.sym 23200 LED2$2 -.sym 23201 LED5$2 -.sym 23204 LED3$2 -.sym 25505 LED5$2 -.sym 25506 $false -.sym 25507 $false -.sym 25508 $false -.sym 25517 LED3$2 -.sym 25518 $false -.sym 25519 $false -.sym 25520 $false -.sym 25523 LED2$2 -.sym 25524 $false -.sym 25525 $false -.sym 25526 $false -.sym 25541 LED4$2 -.sym 25542 $false -.sym 25543 $false -.sym 25544 $false -.sym 25551 $abc$622$n7 -.sym 25552 clk$2$2 -.sym 25553 $abc$622$n1 -.sym 27283 LED5$2 -.sym 27313 LED4$2 -.sym 27373 LED3$2 -.sym 27403 LED2$2 diff --git a/ice40hx1k/led_chaser/build/led_chaser.bin b/ice40hx1k/led_chaser/build/led_chaser.bin deleted file mode 100644 index 5dbfec215b1ce27bceb0757d62dc045884186aa7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32220 zcmeHOL2n#26n-T@<87fMlVn2TqkpD-Mw`X>Ua++~Bqf1)*F(<<>)GQZAKx z2t-IAdgu)a32FZT(kSO3aX^9_`vW-i9~65$9eZXxJ1?7^cxU!mO6K|b^Jl-$Z@jaf z=Q2 zFYpV}*YiEKM48;!f^zsQ22rBtC68Qdc{?$Ozu?+{Yj*<&WdW8{cj1}KUGzoL(SIi{ zx*c^PeNSR!Ug9X#D2ftQR@E#S2Krb6Q6-^7A?HpR#@N6k>?}i3P1*Yp)K;y}oUYxS zvOJ6>pXMTwWfvaDx19n_!u@#AU(qm?m(`TfXw{-nlo7KWnaQQ~a7RsXZ~d<{FZF~o zh%r`AVFWP#<$55b^T|ndUOwD0y=1EYY1MM(wxqO*(o#*rRgP^+wLIZd7fjw+r*;6< zNfe3_rJGPu+AYUNNv+(mxScB{q}!2Z zs7+(r=?(AhOI}jI?>9F_3qT6E6-d8dx*MDJvZe>{%3t+V<8@MP8JzO6Ei1KL^Q63N zY`t5wUotI%NG@JeLQkanTltIjIbE5}lLemh+KA5CqH_^ZKy6i^Mf>IANu2FnKBmTe z4BFN)H?4_Z)%M!gmd}_xN?(%#96+DTh9LUGG`p>xBe%cg4L=bXecHHP0y!M{gXA3G zi(CzkC0|8e_J9immXub__@xz2a@E|~wACVjSv6_q&!$b=I&7FqV##y<^ypJogE(vD znI#RSl%!lSK9-s3zLk(L;L1Sg&Qo`lk*)1&43n{#dAMGSTpJp1j}~hRc4sp56pZNt4>j%t}QDXYCHd zLCI{`43u_vvJncnmJ-p8Afx~(KniqR0al8yV!!;V1gvmWJ#|#T=j-a>SV|gj&uCCv_JWn|InV)BrhWgGSFY|wN zRKEk6NSHAf-{Lh>JWJp;RCY6yU-s*UR(K``;eSk7h$2`0auMcEW6V}9nHcm&=2W6c z%`L|uyshAMB~%#ekVWCc$U2`f1j9iXb5qrpJDMUYt*;mStWY;qZcJ%?kgH9r#M2rJ zZLCmtS5<%mxsLi1)s4|!p01$;*OZWJ!1Wp&s#$C$FjfK=mPPQ<}ek~$Tt7ZGk91Y_KT+iT;s^z6EX$h?^HmwMXX=_0iloP*^6uWG~neo<+VO91Gus^#!=q* zZ4C$P-A`^uR*$|h#%T%f|65O{5wASMBIWTp?uJxbLAqN`=e~9D<8(mQy7@>bd$>ZU z>zO7mHVLLZBDvIOutra&x{L0HZue0cU zgbfAgFE3?4tf8QYw)F~l&CAxSR~vw+MG(NLfY-ca&MA@ej0&L70+PRIMvw=05FiDK zZVDvwtT1nR8DnSqIu00ox%U)>j5dG&83mjNNR&v_nra428=6& A`~Uy| diff --git a/ice40hx1k/led_chaser/build/led_chaser.blif b/ice40hx1k/led_chaser/build/led_chaser.blif deleted file mode 100644 index 5cdf5a0..0000000 --- a/ice40hx1k/led_chaser/build/led_chaser.blif +++ /dev/null @@ -1,256 +0,0 @@ -# Generated by Yosys 0.7+ 307 (git sha1 7d41c5e, clang 6.0 -fPIC -Os) - -.model top -.inputs clk -.outputs LED2 LED3 LED4 LED5 -.names $false -.names $true -1 -.names $undef -.gate SB_LUT4 I0=$abc$622$n41 I1=$abc$622$n42 I2=$false I3=$false O=resetn -.param LUT_INIT 1000 -.gate SB_LUT4 I0=resetn_counter[4] I1=resetn_counter[3] I2=resetn_counter[2] I3=resetn_counter[1] O=$abc$622$n41 -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=resetn_counter[0] I1=resetn_counter[7] I2=resetn_counter[6] I3=resetn_counter[5] O=$abc$622$n42 -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=resetn I1=counter[0] I2=$false I3=$false O=$abc$622$n5 -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$622$n45 I1=$abc$622$n47 I2=resetn I3=$false O=$abc$622$n7 -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=counter[0] I1=counter[14] I2=$abc$622$n46 I3=$false O=$abc$622$n45 -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=counter[15] I1=counter[16] I2=counter[17] I3=counter[18] O=$abc$622$n46 -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$622$n48 I1=$abc$622$n49 I2=$abc$622$n50 I3=$abc$622$n51 O=$abc$622$n47 -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=counter[2] I1=counter[3] I2=counter[4] I3=counter[5] O=$abc$622$n48 -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=counter[19] I1=counter[20] I2=counter[21] I3=counter[1] O=$abc$622$n49 -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=counter[10] I1=counter[11] I2=counter[12] I3=counter[13] O=$abc$622$n50 -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=counter[6] I1=counter[7] I2=counter[8] I3=counter[9] O=$abc$622$n51 -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=resetn I1=resetn_counter[0] I2=$false I3=$false O=$abc$622$n10 -.param LUT_INIT 0100 -.gate SB_LUT4 I0=resetn I1=$false I2=$false I3=$false O=$abc$622$n1 -.param LUT_INIT 01 -.gate SB_LUT4 I0=resetn_counter[1] I1=$false I2=$false I3=$false O=$0\resetn_counter[7:0][1] -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[1] I1=$false I2=$false I3=$false O=$0\counter[21:0][1] -.param LUT_INIT 01 -.gate SB_LUT4 I0=resetn I1=$false I2=$false I3=$false O=$abc$622$n3 -.param LUT_INIT 01 -.gate SB_LUT4 I0=$false I1=$true I2=resetn_counter[0] I3=$false O=$0\resetn_counter[7:0][0] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=resetn_counter[0] CO=$auto$alumacc.cc:474:replace_alu$26.C[2] I0=$false I1=resetn_counter[1] -.attr src "led_chaser.v:32" -.gate SB_LUT4 I0=$false I1=$false I2=resetn_counter[2] I3=$auto$alumacc.cc:474:replace_alu$26.C[2] O=$0\resetn_counter[7:0][2] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$26.C[2] CO=$auto$alumacc.cc:474:replace_alu$26.C[3] I0=$false I1=resetn_counter[2] -.attr src "led_chaser.v:32" -.gate SB_LUT4 I0=$false I1=$false I2=resetn_counter[3] I3=$auto$alumacc.cc:474:replace_alu$26.C[3] O=$0\resetn_counter[7:0][3] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$26.C[3] CO=$auto$alumacc.cc:474:replace_alu$26.C[4] I0=$false I1=resetn_counter[3] -.attr src "led_chaser.v:32" -.gate SB_LUT4 I0=$false I1=$false I2=resetn_counter[4] I3=$auto$alumacc.cc:474:replace_alu$26.C[4] O=$0\resetn_counter[7:0][4] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$26.C[4] CO=$auto$alumacc.cc:474:replace_alu$26.C[5] I0=$false I1=resetn_counter[4] -.attr src "led_chaser.v:32" -.gate SB_LUT4 I0=$false I1=$false I2=resetn_counter[5] I3=$auto$alumacc.cc:474:replace_alu$26.C[5] O=$0\resetn_counter[7:0][5] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$26.C[5] CO=$auto$alumacc.cc:474:replace_alu$26.C[6] I0=$false I1=resetn_counter[5] -.attr src "led_chaser.v:32" -.gate SB_LUT4 I0=$false I1=$false I2=resetn_counter[6] I3=$auto$alumacc.cc:474:replace_alu$26.C[6] O=$0\resetn_counter[7:0][6] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$26.C[6] CO=$auto$alumacc.cc:474:replace_alu$26.C[7] I0=$false I1=resetn_counter[6] -.attr src "led_chaser.v:32" -.gate SB_LUT4 I0=$false I1=$false I2=resetn_counter[7] I3=$auto$alumacc.cc:474:replace_alu$26.C[7] O=$0\resetn_counter[7:0][7] -.attr src "led_chaser.v:32" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=counter[0] I3=$false O=$0\counter[21:0][0] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=counter[10] I3=$auto$alumacc.cc:474:replace_alu$29.C[10] O=$0\counter[21:0][10] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[10] CO=$auto$alumacc.cc:474:replace_alu$29.C[11] I0=$false I1=counter[10] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[11] I3=$auto$alumacc.cc:474:replace_alu$29.C[11] O=$0\counter[21:0][11] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[11] CO=$auto$alumacc.cc:474:replace_alu$29.C[12] I0=$false I1=counter[11] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[12] I3=$auto$alumacc.cc:474:replace_alu$29.C[12] O=$0\counter[21:0][12] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[12] CO=$auto$alumacc.cc:474:replace_alu$29.C[13] I0=$false I1=counter[12] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[13] I3=$auto$alumacc.cc:474:replace_alu$29.C[13] O=$0\counter[21:0][13] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[13] CO=$auto$alumacc.cc:474:replace_alu$29.C[14] I0=$false I1=counter[13] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[14] I3=$auto$alumacc.cc:474:replace_alu$29.C[14] O=$0\counter[21:0][14] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[14] CO=$auto$alumacc.cc:474:replace_alu$29.C[15] I0=$false I1=counter[14] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[15] I3=$auto$alumacc.cc:474:replace_alu$29.C[15] O=$0\counter[21:0][15] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[15] CO=$auto$alumacc.cc:474:replace_alu$29.C[16] I0=$false I1=counter[15] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[16] I3=$auto$alumacc.cc:474:replace_alu$29.C[16] O=$0\counter[21:0][16] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[16] CO=$auto$alumacc.cc:474:replace_alu$29.C[17] I0=$false I1=counter[16] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[17] I3=$auto$alumacc.cc:474:replace_alu$29.C[17] O=$0\counter[21:0][17] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[17] CO=$auto$alumacc.cc:474:replace_alu$29.C[18] I0=$false I1=counter[17] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[18] I3=$auto$alumacc.cc:474:replace_alu$29.C[18] O=$0\counter[21:0][18] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[18] CO=$auto$alumacc.cc:474:replace_alu$29.C[19] I0=$false I1=counter[18] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[19] I3=$auto$alumacc.cc:474:replace_alu$29.C[19] O=$0\counter[21:0][19] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[19] CO=$auto$alumacc.cc:474:replace_alu$29.C[20] I0=$false I1=counter[19] -.attr src "led_chaser.v:44" -.gate SB_CARRY CI=counter[0] CO=$auto$alumacc.cc:474:replace_alu$29.C[2] I0=$false I1=counter[1] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[20] I3=$auto$alumacc.cc:474:replace_alu$29.C[20] O=$0\counter[21:0][20] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[20] CO=$auto$alumacc.cc:474:replace_alu$29.C[21] I0=$false I1=counter[20] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[21] I3=$auto$alumacc.cc:474:replace_alu$29.C[21] O=$0\counter[21:0][21] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=counter[2] I3=$auto$alumacc.cc:474:replace_alu$29.C[2] O=$0\counter[21:0][2] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[2] CO=$auto$alumacc.cc:474:replace_alu$29.C[3] I0=$false I1=counter[2] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[3] I3=$auto$alumacc.cc:474:replace_alu$29.C[3] O=$0\counter[21:0][3] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[3] CO=$auto$alumacc.cc:474:replace_alu$29.C[4] I0=$false I1=counter[3] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[4] I3=$auto$alumacc.cc:474:replace_alu$29.C[4] O=$0\counter[21:0][4] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[4] CO=$auto$alumacc.cc:474:replace_alu$29.C[5] I0=$false I1=counter[4] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[5] I3=$auto$alumacc.cc:474:replace_alu$29.C[5] O=$0\counter[21:0][5] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[5] CO=$auto$alumacc.cc:474:replace_alu$29.C[6] I0=$false I1=counter[5] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[6] I3=$auto$alumacc.cc:474:replace_alu$29.C[6] O=$0\counter[21:0][6] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[6] CO=$auto$alumacc.cc:474:replace_alu$29.C[7] I0=$false I1=counter[6] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[7] I3=$auto$alumacc.cc:474:replace_alu$29.C[7] O=$0\counter[21:0][7] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[7] CO=$auto$alumacc.cc:474:replace_alu$29.C[8] I0=$false I1=counter[7] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[8] I3=$auto$alumacc.cc:474:replace_alu$29.C[8] O=$0\counter[21:0][8] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[8] CO=$auto$alumacc.cc:474:replace_alu$29.C[9] I0=$false I1=counter[8] -.attr src "led_chaser.v:44" -.gate SB_LUT4 I0=$false I1=$false I2=counter[9] I3=$auto$alumacc.cc:474:replace_alu$29.C[9] O=$0\counter[21:0][9] -.attr src "led_chaser.v:44" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[9] CO=$auto$alumacc.cc:474:replace_alu$29.C[10] I0=$false I1=counter[9] -.attr src "led_chaser.v:44" -.gate SB_DFFE C=clk D=$0\counter[21:0][0] E=resetn Q=counter[0] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][1] E=$abc$622$n5 Q=counter[1] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][2] E=resetn Q=counter[2] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][3] E=resetn Q=counter[3] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][4] E=resetn Q=counter[4] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][5] E=resetn Q=counter[5] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][6] E=resetn Q=counter[6] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][7] E=resetn Q=counter[7] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][8] E=resetn Q=counter[8] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][9] E=resetn Q=counter[9] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][10] E=resetn Q=counter[10] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][11] E=resetn Q=counter[11] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][12] E=resetn Q=counter[12] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][13] E=resetn Q=counter[13] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][14] E=resetn Q=counter[14] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][15] E=resetn Q=counter[15] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][16] E=resetn Q=counter[16] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][17] E=resetn Q=counter[17] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][18] E=resetn Q=counter[18] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][19] E=resetn Q=counter[19] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][20] E=resetn Q=counter[20] -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\counter[21:0][21] E=resetn Q=counter[21] -.attr src "led_chaser.v:36" -.gate SB_DFFESS C=clk D=LED2 E=$abc$622$n7 Q=LED5 S=$abc$622$n1 -.attr src "led_chaser.v:36" -.gate SB_DFFESR C=clk D=LED5 E=$abc$622$n7 Q=LED4 R=$abc$622$n1 -.attr src "led_chaser.v:36" -.gate SB_DFFESR C=clk D=LED4 E=$abc$622$n7 Q=LED3 R=$abc$622$n1 -.attr src "led_chaser.v:36" -.gate SB_DFFESR C=clk D=LED3 E=$abc$622$n7 Q=LED2 R=$abc$622$n1 -.attr src "led_chaser.v:36" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][0] E=$abc$622$n3 Q=resetn_counter[0] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][1] E=$abc$622$n10 Q=resetn_counter[1] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][2] E=$abc$622$n3 Q=resetn_counter[2] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][3] E=$abc$622$n3 Q=resetn_counter[3] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][4] E=$abc$622$n3 Q=resetn_counter[4] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][5] E=$abc$622$n3 Q=resetn_counter[5] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][6] E=$abc$622$n3 Q=resetn_counter[6] -.attr src "led_chaser.v:29" -.gate SB_DFFE C=clk D=$0\resetn_counter[7:0][7] E=$abc$622$n3 Q=resetn_counter[7] -.attr src "led_chaser.v:29" -.names LED5 rot[0] -1 1 -.names LED4 rot[1] -1 1 -.names LED3 rot[2] -1 1 -.names LED2 rot[3] -1 1 -.end diff --git a/ice40hx1k/led_chaser/led_chaser.pcf b/ice40hx1k/led_chaser/led_chaser.pcf deleted file mode 100644 index 0d5e6c1..0000000 --- a/ice40hx1k/led_chaser/led_chaser.pcf +++ /dev/null @@ -1,5 +0,0 @@ -set_io LED2 59 -set_io LED3 56 -set_io LED4 53 -set_io LED5 51 -set_io clk 13 diff --git a/ice40hx1k/led_chaser/led_chaser.v b/ice40hx1k/led_chaser/led_chaser.v deleted file mode 100644 index 0f3d1b2..0000000 --- a/ice40hx1k/led_chaser/led_chaser.v +++ /dev/null @@ -1,53 +0,0 @@ -/* - led_chaser.v - - Basic LED sequencer on the Lattice iCEBlink40 board. - - electronut.in - -*/ -module top ( - input clk, - output LED2, - output LED3, - output LED4, - output LED5 -); - - // clk is at 3.3 MHz - reg [21:0] counter; - reg [3:0] rot; - - // BEGIN - init hack - // iCE40 does not allow registers to initialised to - // anything other than 0 - // For workaround see: - // https://github.com/YosysHQ/yosys/issues/103 - reg [7:0] resetn_counter = 0; - assign resetn = &resetn_counter; - - always @(posedge clk) - begin - if (!resetn) - resetn_counter <= resetn_counter + 1; - end - // END - init hack - - always @(posedge clk) - begin - // initialise rot - if (!resetn) - rot <= 4'b0001; - else - // inc counter and rotate - begin - counter <= counter + 1; - if (!counter) - rot <= {rot[2:0], rot[3]}; - end - end - - // set LED output - assign {LED2, LED3, LED4, LED5} = rot; - -endmodule diff --git a/ice40up5k/picosoc_gpio/.gitignore b/ice40up5k/picosoc_gpio/.gitignore deleted file mode 100644 index 46c32b1..0000000 --- a/ice40up5k/picosoc_gpio/.gitignore +++ /dev/null @@ -1,17 +0,0 @@ -/spiflash_tb.vcd -/spiflash_tb.vvp -/lice40up5k.asc -/lice40up5k.bin -/lice40up5k.json -/lice40up5k.log -/lice40up5k.rpt -/lice40up5k_syn.v -/lice40up5k_syn_tb.vvp -/lice40up5k_tb.vvp -/lice40up5k_fw.elf -/lice40up5k_fw.hex -/lice40up5k_fw.bin -/lice40up5k_sections.lds -/testbench.vcd -/cmos.log - diff --git a/ice40up5k/picosoc_gpio/Makefile b/ice40up5k/picosoc_gpio/Makefile deleted file mode 100644 index a110747..0000000 --- a/ice40up5k/picosoc_gpio/Makefile +++ /dev/null @@ -1,76 +0,0 @@ - -CROSS=riscv32-unknown-elf- -CFLAGS= - -PICORV32_DIR=../picorv32 -PICOSOC_DIR=$(PICORV32_DIR) - -# ---- Lattice iCE40 Ultra Plus Breakout Board ---- - -lice40upsim: lice40up_tb.vvp lice40up_fw.hex - vvp -N $< +firmware=lice40up_fw.hex - -lice40upsynsim: lice40up_syn_tb.vvp lice40up_fw.hex - vvp -N $< +firmware=lice40up_fw.hex - -lice40up.json: lice40up.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v $(PICORV32_DIR)/picorv32.v - yosys -ql lice40up.log -p 'synth_ice40 -top lice40up -json lice40up.json' $^ - -lice40up_tb.vvp: lice40up_tb.v lice40up.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v $(PICORV32_DIR)/picorv32.v spiflash.v - iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` - -lice40up_syn_tb.vvp: lice40up_tb.v lice40up_syn.v spiflash.v - iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` - -lice40up_syn.v: lice40up.json - yosys -p 'read_json lice40up.json; write_verilog lice40up_syn.v' - -lice40up.asc: lice40up.pcf lice40up.json - nextpnr-ice40 --freq 13 --up5k --asc lice40up.asc --pcf lice40up.pcf --json lice40up.json - -lice40up.bin: lice40up.asc - icetime -d up5k -c 12 -mtr lice40up.rpt lice40up.asc - icepack lice40up.asc lice40up.bin - -lice40upprog: lice40up.bin lice40up_fw.bin - iceprog lice40up.bin - iceprog -o 1M lice40up_fw.bin - -lice40upprog_fw: lice40up_fw.bin - iceprog -o 1M lice40up_fw.bin - -lice40up_sections.lds: sections.lds - $(CROSS)cpp -P -DLICE40UP -o $@ $^ - -lice40up_fw.elf: lice40up_sections.lds start.s firmware_lice40up.c - $(CROSS)gcc $(CFLAGS) -DLICE40UP -march=rv32ic -Wl,-Bstatic,-T,lice40up_sections.lds,--strip-debug -ffreestanding -nostdlib -o lice40up_fw.elf start.s firmware_lice40up.c - -lice40up_fw.hex: lice40up_fw.elf - $(CROSS)objcopy -O verilog lice40up_fw.elf lice40up_fw.hex - -lice40up_fw.bin: lice40up_fw.elf - $(CROSS)objcopy -O binary lice40up_fw.elf lice40up_fw.bin - -# ---- Testbench for SPI Flash Model ---- - -spiflash_tb: spiflash_tb.vvp firmware.hex - vvp -N $< - -spiflash_tb.vvp: spiflash.v spiflash_tb.v - iverilog -s testbench -o $@ $^ - -# ---- ASIC Synthesis Tests ---- - -cmos.log: spimemio.v simpleuart.v picosoc.v $(PICORV32_DIR)/picorv32.v - yosys -l cmos.log -p 'synth -top picosoc; abc -g cmos2; opt -fast; stat' $^ - -# ---- Clean ---- - -clean: - rm -f testbench.vvp testbench.vcd spiflash_tb.vvp spiflash_tb.vcd - rm -f lice40up_fw.elf lice40up_fw.hex lice40up_fw.bin - rm -f lice40up.json lice40up.log lice40up.asc lice40up.rpt lice40up.bin - rm -f lice40up_syn.v lice40up_syn_tb.vvp lice40up_tb.vvp - -.PHONY: spiflash_tb clean -.PHONY: lice40upprog lice40upprog_fw lice40upsim lice40upsynsim \ No newline at end of file diff --git a/ice40up5k/picosoc_gpio/README.md b/ice40up5k/picosoc_gpio/README.md deleted file mode 100644 index 5f809e1..0000000 --- a/ice40up5k/picosoc_gpio/README.md +++ /dev/null @@ -1,9 +0,0 @@ -## picosoc_gpio - -A simple demo of interrupts on RISC-V Picosoc on iCEUP5k FPGA. - -Install picorv32 from: - -https://github.com/cliffordwolf/picorv32 - -Ensure that you set `PICORV32_DIR` to the correct path in the Makefile. diff --git a/ice40up5k/picosoc_gpio/custom_ops.S b/ice40up5k/picosoc_gpio/custom_ops.S deleted file mode 100644 index 71889b9..0000000 --- a/ice40up5k/picosoc_gpio/custom_ops.S +++ /dev/null @@ -1,102 +0,0 @@ -// This is free and unencumbered software released into the public domain. -// -// Anyone is free to copy, modify, publish, use, compile, sell, or -// distribute this software, either in source code form or as a compiled -// binary, for any purpose, commercial or non-commercial, and by any -// means. - -#define regnum_q0 0 -#define regnum_q1 1 -#define regnum_q2 2 -#define regnum_q3 3 - -#define regnum_x0 0 -#define regnum_x1 1 -#define regnum_x2 2 -#define regnum_x3 3 -#define regnum_x4 4 -#define regnum_x5 5 -#define regnum_x6 6 -#define regnum_x7 7 -#define regnum_x8 8 -#define regnum_x9 9 -#define regnum_x10 10 -#define regnum_x11 11 -#define regnum_x12 12 -#define regnum_x13 13 -#define regnum_x14 14 -#define regnum_x15 15 -#define regnum_x16 16 -#define regnum_x17 17 -#define regnum_x18 18 -#define regnum_x19 19 -#define regnum_x20 20 -#define regnum_x21 21 -#define regnum_x22 22 -#define regnum_x23 23 -#define regnum_x24 24 -#define regnum_x25 25 -#define regnum_x26 26 -#define regnum_x27 27 -#define regnum_x28 28 -#define regnum_x29 29 -#define regnum_x30 30 -#define regnum_x31 31 - -#define regnum_zero 0 -#define regnum_ra 1 -#define regnum_sp 2 -#define regnum_gp 3 -#define regnum_tp 4 -#define regnum_t0 5 -#define regnum_t1 6 -#define regnum_t2 7 -#define regnum_s0 8 -#define regnum_s1 9 -#define regnum_a0 10 -#define regnum_a1 11 -#define regnum_a2 12 -#define regnum_a3 13 -#define regnum_a4 14 -#define regnum_a5 15 -#define regnum_a6 16 -#define regnum_a7 17 -#define regnum_s2 18 -#define regnum_s3 19 -#define regnum_s4 20 -#define regnum_s5 21 -#define regnum_s6 22 -#define regnum_s7 23 -#define regnum_s8 24 -#define regnum_s9 25 -#define regnum_s10 26 -#define regnum_s11 27 -#define regnum_t3 28 -#define regnum_t4 29 -#define regnum_t5 30 -#define regnum_t6 31 - -// x8 is s0 and also fp -#define regnum_fp 8 - -#define r_type_insn(_f7, _rs2, _rs1, _f3, _rd, _opc) \ -.word (((_f7) << 25) | ((_rs2) << 20) | ((_rs1) << 15) | ((_f3) << 12) | ((_rd) << 7) | ((_opc) << 0)) - -#define picorv32_getq_insn(_rd, _qs) \ -r_type_insn(0b0000000, 0, regnum_ ## _qs, 0b100, regnum_ ## _rd, 0b0001011) - -#define picorv32_setq_insn(_qd, _rs) \ -r_type_insn(0b0000001, 0, regnum_ ## _rs, 0b010, regnum_ ## _qd, 0b0001011) - -#define picorv32_retirq_insn() \ -r_type_insn(0b0000010, 0, 0, 0b000, 0, 0b0001011) - -#define picorv32_maskirq_insn(_rd, _rs) \ -r_type_insn(0b0000011, 0, regnum_ ## _rs, 0b110, regnum_ ## _rd, 0b0001011) - -#define picorv32_waitirq_insn(_rd) \ -r_type_insn(0b0000100, 0, 0, 0b100, regnum_ ## _rd, 0b0001011) - -#define picorv32_timer_insn(_rd, _rs) \ -r_type_insn(0b0000101, 0, regnum_ ## _rs, 0b110, regnum_ ## _rd, 0b0001011) - diff --git a/ice40up5k/picosoc_gpio/firmware_lice40up.c b/ice40up5k/picosoc_gpio/firmware_lice40up.c deleted file mode 100644 index 62e7064..0000000 --- a/ice40up5k/picosoc_gpio/firmware_lice40up.c +++ /dev/null @@ -1,176 +0,0 @@ -#include -#include - - -// a pointer to this is a null pointer, but the compiler does not -// know that because "sram" is a linker symbol from sections.lds. -extern uint32_t sram; - -#define reg_spictrl (*(volatile uint32_t*)0x02000000) -#define reg_uart_clkdiv (*(volatile uint32_t*)0x02000004) -#define reg_uart_data (*(volatile uint32_t*)0x02000008) -#define reg_leds (*(volatile uint32_t*)0x03000000) -#define reg_btns (*(volatile uint32_t*)0x03000004) - - -// -------------------------------------------------------- - -void putchar(char c) -{ - if (c == '\n') - putchar('\r'); - reg_uart_data = c; -} - -void print(const char *p) -{ - while (*p) - putchar(*(p++)); -} - -void print_hex(uint32_t v, int digits) -{ - for (int i = 7; i >= 0; i--) { - char c = "0123456789abcdef"[(v >> (4*i)) & 15]; - if (c == '0' && i >= digits) continue; - putchar(c); - digits = i; - } -} - -void print_dec(uint32_t v) -{ - if (v >= 1000) { - print(">=1000"); - return; - } - - if (v >= 900) { putchar('9'); v -= 900; } - else if (v >= 800) { putchar('8'); v -= 800; } - else if (v >= 700) { putchar('7'); v -= 700; } - else if (v >= 600) { putchar('6'); v -= 600; } - else if (v >= 500) { putchar('5'); v -= 500; } - else if (v >= 400) { putchar('4'); v -= 400; } - else if (v >= 300) { putchar('3'); v -= 300; } - else if (v >= 200) { putchar('2'); v -= 200; } - else if (v >= 100) { putchar('1'); v -= 100; } - - if (v >= 90) { putchar('9'); v -= 90; } - else if (v >= 80) { putchar('8'); v -= 80; } - else if (v >= 70) { putchar('7'); v -= 70; } - else if (v >= 60) { putchar('6'); v -= 60; } - else if (v >= 50) { putchar('5'); v -= 50; } - else if (v >= 40) { putchar('4'); v -= 40; } - else if (v >= 30) { putchar('3'); v -= 30; } - else if (v >= 20) { putchar('2'); v -= 20; } - else if (v >= 10) { putchar('1'); v -= 10; } - - if (v >= 9) { putchar('9'); v -= 9; } - else if (v >= 8) { putchar('8'); v -= 8; } - else if (v >= 7) { putchar('7'); v -= 7; } - else if (v >= 6) { putchar('6'); v -= 6; } - else if (v >= 5) { putchar('5'); v -= 5; } - else if (v >= 4) { putchar('4'); v -= 4; } - else if (v >= 3) { putchar('3'); v -= 3; } - else if (v >= 2) { putchar('2'); v -= 2; } - else if (v >= 1) { putchar('1'); v -= 1; } - else putchar('0'); -} - -char getchar_prompt(char *prompt) -{ - int32_t c = -1; - - uint32_t cycles_begin, cycles_now, cycles; - __asm__ volatile ("rdcycle %0" : "=r"(cycles_begin)); - - reg_leds = ~0; - - if (prompt) - print(prompt); - - while (c == -1) { - __asm__ volatile ("rdcycle %0" : "=r"(cycles_now)); - cycles = cycles_now - cycles_begin; - if (cycles > 12000000) { - if (prompt) - print(prompt); - cycles_begin = cycles_now; - reg_leds = ~reg_leds; - } - c = reg_uart_data; - } - - reg_leds = 0; - return c; -} - -char getchar() -{ - return getchar_prompt(0); -} - -static void delay_ms(uint16_t delayms) -{ - uint32_t N = 25*delayms; - for (uint32_t i = 0; i < N; i++) { - // do nothing - } -} - - -void test_leds() -{ - print("testing LEDs...\n\n"); - - reg_leds = 0xff; - - for(uint8_t i = 0; i < 10; i++) { - reg_leds = 0x0; - delay_ms(1000); - reg_leds = 0x2 ; - delay_ms(1000); - } -} - -uint32_t set_irq_mask(uint32_t mask); asm ( - ".global set_irq_mask\n" - "set_irq_mask:\n" - ".word 0x0605650b\n" - "ret\n" -); - -//void irq_handler(uint32_t irqs, uint32_t *regs) -uint32_t* irq_handler(uint32_t irqs, uint32_t *regs) -//uint32_t *irq(uint32_t *regs, uint32_t irqs) -//uint32_t* irq(uint32_t irqs, uint32_t* regs) -{ - if ((irqs & (1<<5)) != 0) { - print("i\n"); - //reg_leds ^= 0x01; - } - - return regs; -} - -void main() { - // test with UART 115200 8N1 - reg_uart_clkdiv = 104; - - set_irq_mask(0x00); - - print("\n"); - print(" ____ _ ____ ____\n"); - print(" | _ \\(_) ___ ___/ ___| ___ / ___|\n"); - print(" | |_) | |/ __/ _ \\___ \\ / _ \\| |\n"); - print(" | __/| | (_| (_) |__) | (_) | |___\n"); - print(" |_| |_|\\___\\___/____/ \\___/ \\____|\n"); - - // blink the user LED - uint32_t led_timer = 0; - - while (1) { - reg_leds = led_timer >> 16; - led_timer = led_timer + 1; - } -} diff --git a/ice40up5k/picosoc_gpio/ice40up5k_spram.v b/ice40up5k/picosoc_gpio/ice40up5k_spram.v deleted file mode 100644 index 6edb23b..0000000 --- a/ice40up5k/picosoc_gpio/ice40up5k_spram.v +++ /dev/null @@ -1,91 +0,0 @@ - -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -module ice40up5k_spram #( - // We current always use the whole SPRAM (128 kB) - parameter integer WORDS = 32768 -) ( - input clk, - input [3:0] wen, - input [21:0] addr, - input [31:0] wdata, - output [31:0] rdata -); - - wire cs_0, cs_1; - wire [31:0] rdata_0, rdata_1; - - assign cs_0 = !addr[14]; - assign cs_1 = addr[14]; - assign rdata = addr[14] ? rdata_1 : rdata_0; - - SB_SPRAM256KA ram00 ( - .ADDRESS(addr[13:0]), - .DATAIN(wdata[15:0]), - .MASKWREN({wen[1], wen[1], wen[0], wen[0]}), - .WREN(wen[1]|wen[0]), - .CHIPSELECT(cs_0), - .CLOCK(clk), - .STANDBY(1'b0), - .SLEEP(1'b0), - .POWEROFF(1'b1), - .DATAOUT(rdata_0[15:0]) - ); - - SB_SPRAM256KA ram01 ( - .ADDRESS(addr[13:0]), - .DATAIN(wdata[31:16]), - .MASKWREN({wen[3], wen[3], wen[2], wen[2]}), - .WREN(wen[3]|wen[2]), - .CHIPSELECT(cs_0), - .CLOCK(clk), - .STANDBY(1'b0), - .SLEEP(1'b0), - .POWEROFF(1'b1), - .DATAOUT(rdata_0[31:16]) - ); - - SB_SPRAM256KA ram10 ( - .ADDRESS(addr[13:0]), - .DATAIN(wdata[15:0]), - .MASKWREN({wen[1], wen[1], wen[0], wen[0]}), - .WREN(wen[1]|wen[0]), - .CHIPSELECT(cs_1), - .CLOCK(clk), - .STANDBY(1'b0), - .SLEEP(1'b0), - .POWEROFF(1'b1), - .DATAOUT(rdata_1[15:0]) - ); - - SB_SPRAM256KA ram11 ( - .ADDRESS(addr[13:0]), - .DATAIN(wdata[31:16]), - .MASKWREN({wen[3], wen[3], wen[2], wen[2]}), - .WREN(wen[3]|wen[2]), - .CHIPSELECT(cs_1), - .CLOCK(clk), - .STANDBY(1'b0), - .SLEEP(1'b0), - .POWEROFF(1'b1), - .DATAOUT(rdata_1[31:16]) - ); - -endmodule diff --git a/ice40up5k/picosoc_gpio/icebreaker_sections.lds b/ice40up5k/picosoc_gpio/icebreaker_sections.lds deleted file mode 100644 index 8fe5c8a..0000000 --- a/ice40up5k/picosoc_gpio/icebreaker_sections.lds +++ /dev/null @@ -1,50 +0,0 @@ -MEMORY -{ - FLASH (rx) : ORIGIN = 0x00100000, LENGTH = 0x400000 - RAM (xrw) : ORIGIN = 0x00000000, LENGTH = 0x20000 -} -SECTIONS { - .text : - { - . = ALIGN(4); - *(.text) - *(.text*) - *(.rodata) - *(.rodata*) - *(.srodata) - *(.srodata*) - . = ALIGN(4); - _etext = .; - _sidata = _etext; - } >FLASH - .data : AT ( _sidata ) - { - . = ALIGN(4); - _sdata = .; - _ram_start = .; - . = ALIGN(4); - *(.data) - *(.data*) - *(.sdata) - *(.sdata*) - . = ALIGN(4); - _edata = .; - } >RAM - .bss : - { - . = ALIGN(4); - _sbss = .; - *(.bss) - *(.bss*) - *(.sbss) - *(.sbss*) - *(COMMON) - . = ALIGN(4); - _ebss = .; - } >RAM - .heap : - { - . = ALIGN(4); - _heap_start = .; - } >RAM -} diff --git a/ice40up5k/picosoc_gpio/lice40up.pcf b/ice40up5k/picosoc_gpio/lice40up.pcf deleted file mode 100644 index e82b33f..0000000 --- a/ice40up5k/picosoc_gpio/lice40up.pcf +++ /dev/null @@ -1,22 +0,0 @@ -# 12 MHz clock -set_io clk 35 - -# RS232 -set_io ser_rx 6 # 13B -set_io ser_tx 9 # 16A - -# SPI Flash -set_io flash_clk 15 -set_io flash_csb 16 -set_io flash_io0 14 -set_io flash_io1 17 -set_io flash_io2 12 -set_io flash_io3 13 - -# Onboard LEDs -set_io ledr_n 41 -set_io ledg_n 40 -set_io ledb_n 39 - -# external push button -set_io -pullup yes BTN1 23 # 37A diff --git a/ice40up5k/picosoc_gpio/lice40up.v b/ice40up5k/picosoc_gpio/lice40up.v deleted file mode 100644 index aaf849f..0000000 --- a/ice40up5k/picosoc_gpio/lice40up.v +++ /dev/null @@ -1,178 +0,0 @@ -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -`ifdef PICOSOC_V -`error "icebreaker.v must be read before picosoc.v!" -`endif - -`define PICOSOC_MEM ice40up5k_spram - -module lice40up ( - input clk, - - output ser_tx, - input ser_rx, - - output ledr_n, - output ledg_n, - output ledb_n, - - input BTN1, - - output flash_csb, - output flash_clk, - inout flash_io0, - inout flash_io1, - inout flash_io2, - inout flash_io3 -); - parameter integer MEM_WORDS = 32768; - - reg [5:0] reset_cnt = 0; - wire resetn = &reset_cnt; - - always @(posedge clk) begin - reset_cnt <= reset_cnt + !resetn; - end - - wire [7:0] leds; - - assign ledr_n = !leds[0]; - assign ledg_n = !leds[1]; - assign ledb_n = !leds[2]; - - wire flash_io0_oe, flash_io0_do, flash_io0_di; - wire flash_io1_oe, flash_io1_do, flash_io1_di; - wire flash_io2_oe, flash_io2_do, flash_io2_di; - wire flash_io3_oe, flash_io3_do, flash_io3_di; - - SB_IO #( - .PIN_TYPE(6'b 1010_01), - .PULLUP(1'b 0) - ) flash_io_buf [3:0] ( - .PACKAGE_PIN({flash_io3, flash_io2, flash_io1, flash_io0}), - .OUTPUT_ENABLE({flash_io3_oe, flash_io2_oe, flash_io1_oe, flash_io0_oe}), - .D_OUT_0({flash_io3_do, flash_io2_do, flash_io1_do, flash_io0_do}), - .D_IN_0({flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}) - ); - - wire iomem_valid; - reg iomem_ready; - wire [3:0] iomem_wstrb; - wire [31:0] iomem_addr; - wire [31:0] iomem_wdata; - reg [31:0] iomem_rdata; - - reg [31:0] gpio; - assign leds = gpio; - - wire [7:0] gpio_buttons; - assign BTN1 = gpio_buttons[0]; - assign gpio_buttons[7:1] = 7'd0; - - always @(posedge clk) begin - if (!resetn) begin - gpio <= 0; - end else begin - iomem_ready <= 0; - if (iomem_valid && !iomem_ready && iomem_addr[31:24] == 8'h 03) begin - iomem_ready <= 1; - if (iomem_addr[7:0] == 8'h00) begin - iomem_rdata <= gpio; - if (iomem_wstrb[0]) gpio[ 7: 0] <= iomem_wdata[ 7: 0]; - if (iomem_wstrb[1]) gpio[15: 8] <= iomem_wdata[15: 8]; - if (iomem_wstrb[2]) gpio[23:16] <= iomem_wdata[23:16]; - if (iomem_wstrb[3]) gpio[31:24] <= iomem_wdata[31:24]; - end else if (iomem_addr[7:0] == 8'h04) begin - iomem_rdata <= ~gpio_buttons; - end - end - end - end - - /* - // generate irq for gpio buttons - reg [1:0] btn_state; - always @(posedge clk) begin - - if (!resetn) begin - btn_state <= 2'b00; - end else begin - btn_state <= {btn_state[0], gpio_buttons[0]}; - end - end - - // trigger IRQ - wire irq_btn0 = ((btn_state == 2'b01) || (btn_state == 2'b10)) ? 1'b1 : 1'b0; - - */ - - reg [23:0] counter; - always @(posedge clk) begin - - if (!resetn) begin - counter <= 24'd0; - end else begin - counter <= counter + 1; - end - end - wire irq_btn0 = !counter ? 1'b1 : 1'b0; - - picosoc #( - .BARREL_SHIFTER(0), - .ENABLE_MULDIV(0), - .MEM_WORDS(MEM_WORDS) - ) soc ( - .clk (clk ), - .resetn (resetn ), - - .ser_tx (ser_tx ), - .ser_rx (ser_rx ), - - .flash_csb (flash_csb ), - .flash_clk (flash_clk ), - - .flash_io0_oe (flash_io0_oe), - .flash_io1_oe (flash_io1_oe), - .flash_io2_oe (flash_io2_oe), - .flash_io3_oe (flash_io3_oe), - - .flash_io0_do (flash_io0_do), - .flash_io1_do (flash_io1_do), - .flash_io2_do (flash_io2_do), - .flash_io3_do (flash_io3_do), - - .flash_io0_di (flash_io0_di), - .flash_io1_di (flash_io1_di), - .flash_io2_di (flash_io2_di), - .flash_io3_di (flash_io3_di), - - //.irq_5 (1'b0 ), - .irq_5 (irq_btn0), //(1'b0 ), - .irq_6 (1'b0 ), - .irq_7 (1'b0 ), - - .iomem_valid (iomem_valid ), - .iomem_ready (iomem_ready ), - .iomem_wstrb (iomem_wstrb ), - .iomem_addr (iomem_addr ), - .iomem_wdata (iomem_wdata ), - .iomem_rdata (iomem_rdata ) - ); -endmodule diff --git a/ice40up5k/picosoc_gpio/lice40up_sections.lds b/ice40up5k/picosoc_gpio/lice40up_sections.lds deleted file mode 100644 index 8fe5c8a..0000000 --- a/ice40up5k/picosoc_gpio/lice40up_sections.lds +++ /dev/null @@ -1,50 +0,0 @@ -MEMORY -{ - FLASH (rx) : ORIGIN = 0x00100000, LENGTH = 0x400000 - RAM (xrw) : ORIGIN = 0x00000000, LENGTH = 0x20000 -} -SECTIONS { - .text : - { - . = ALIGN(4); - *(.text) - *(.text*) - *(.rodata) - *(.rodata*) - *(.srodata) - *(.srodata*) - . = ALIGN(4); - _etext = .; - _sidata = _etext; - } >FLASH - .data : AT ( _sidata ) - { - . = ALIGN(4); - _sdata = .; - _ram_start = .; - . = ALIGN(4); - *(.data) - *(.data*) - *(.sdata) - *(.sdata*) - . = ALIGN(4); - _edata = .; - } >RAM - .bss : - { - . = ALIGN(4); - _sbss = .; - *(.bss) - *(.bss*) - *(.sbss) - *(.sbss*) - *(COMMON) - . = ALIGN(4); - _ebss = .; - } >RAM - .heap : - { - . = ALIGN(4); - _heap_start = .; - } >RAM -} diff --git a/ice40up5k/picosoc_gpio/picosoc.core b/ice40up5k/picosoc_gpio/picosoc.core deleted file mode 100644 index eb0988a..0000000 --- a/ice40up5k/picosoc_gpio/picosoc.core +++ /dev/null @@ -1,27 +0,0 @@ -CAPI=2: - -name : ::picosoc:0 - -filesets: - picosoc: - files: - - simpleuart.v - - spimemio.v - - picosoc.v - file_type : verilogSource - depend : [picorv32] - -targets: - default: - filesets : [picosoc] - parameters : [PICORV32_REGS, PICOSOC_MEM] - -parameters: - PICORV32_REGS: - datatype : str - default : picosoc_regs - paramtype : vlogdefine - PICOSOC_MEM: - datatype : str - default : picosoc_mem - paramtype : vlogdefine diff --git a/ice40up5k/picosoc_gpio/picosoc.v b/ice40up5k/picosoc_gpio/picosoc.v deleted file mode 100644 index e76e64a..0000000 --- a/ice40up5k/picosoc_gpio/picosoc.v +++ /dev/null @@ -1,259 +0,0 @@ -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -`ifndef PICORV32_REGS -`ifdef PICORV32_V -`error "picosoc.v must be read before picorv32.v!" -`endif - -`define PICORV32_REGS picosoc_regs -`endif - -`ifndef PICOSOC_MEM -`define PICOSOC_MEM picosoc_mem -`endif - -// this macro can be used to check if the verilog files in your -// design are read in the correct order. -`define PICOSOC_V - -module picosoc ( - input clk, - input resetn, - - output iomem_valid, - input iomem_ready, - output [ 3:0] iomem_wstrb, - output [31:0] iomem_addr, - output [31:0] iomem_wdata, - input [31:0] iomem_rdata, - - input irq_5, - input irq_6, - input irq_7, - - output ser_tx, - input ser_rx, - - output flash_csb, - output flash_clk, - - output flash_io0_oe, - output flash_io1_oe, - output flash_io2_oe, - output flash_io3_oe, - - output flash_io0_do, - output flash_io1_do, - output flash_io2_do, - output flash_io3_do, - - input flash_io0_di, - input flash_io1_di, - input flash_io2_di, - input flash_io3_di -); - parameter [0:0] BARREL_SHIFTER = 1; - parameter [0:0] ENABLE_MULDIV = 1; - parameter [0:0] ENABLE_COMPRESSED = 1; - parameter [0:0] ENABLE_COUNTERS = 1; - parameter [0:0] ENABLE_IRQ_QREGS = 1; - - parameter integer MEM_WORDS = 256; - parameter [31:0] STACKADDR = (4*MEM_WORDS); // end of memory - parameter [31:0] PROGADDR_RESET = 32'h 0010_0000; // 1 MB into flash - parameter [31:0] PROGADDR_IRQ = 32'h 0010_0010;// 0000_0000; - - reg [31:0] irq; - wire irq_stall = 0; - wire irq_uart = 0; - - always @* begin - irq = 0; - irq[3] = irq_stall; - irq[4] = irq_uart; - irq[5] = irq_5; - irq[6] = irq_6; - irq[7] = irq_7; - end - - wire mem_valid; - wire mem_instr; - wire mem_ready; - wire [31:0] mem_addr; - wire [31:0] mem_wdata; - wire [3:0] mem_wstrb; - wire [31:0] mem_rdata; - - wire spimem_ready; - wire [31:0] spimem_rdata; - - reg ram_ready; - wire [31:0] ram_rdata; - - assign iomem_valid = mem_valid && (mem_addr[31:24] > 8'h 01); - assign iomem_wstrb = mem_wstrb; - assign iomem_addr = mem_addr; - assign iomem_wdata = mem_wdata; - - wire spimemio_cfgreg_sel = mem_valid && (mem_addr == 32'h 0200_0000); - wire [31:0] spimemio_cfgreg_do; - - wire simpleuart_reg_div_sel = mem_valid && (mem_addr == 32'h 0200_0004); - wire [31:0] simpleuart_reg_div_do; - - wire simpleuart_reg_dat_sel = mem_valid && (mem_addr == 32'h 0200_0008); - wire [31:0] simpleuart_reg_dat_do; - wire simpleuart_reg_dat_wait; - - assign mem_ready = (iomem_valid && iomem_ready) || spimem_ready || ram_ready || spimemio_cfgreg_sel || - simpleuart_reg_div_sel || (simpleuart_reg_dat_sel && !simpleuart_reg_dat_wait); - - assign mem_rdata = (iomem_valid && iomem_ready) ? iomem_rdata : spimem_ready ? spimem_rdata : ram_ready ? ram_rdata : - spimemio_cfgreg_sel ? spimemio_cfgreg_do : simpleuart_reg_div_sel ? simpleuart_reg_div_do : - simpleuart_reg_dat_sel ? simpleuart_reg_dat_do : 32'h 0000_0000; - - picorv32 #( - .STACKADDR(STACKADDR), - .PROGADDR_RESET(PROGADDR_RESET), - .PROGADDR_IRQ(PROGADDR_IRQ), - .BARREL_SHIFTER(BARREL_SHIFTER), - .COMPRESSED_ISA(ENABLE_COMPRESSED), - .ENABLE_COUNTERS(ENABLE_COUNTERS), - .ENABLE_MUL(ENABLE_MULDIV), - .ENABLE_DIV(ENABLE_MULDIV), - .ENABLE_IRQ(1), - .ENABLE_IRQ_QREGS(ENABLE_IRQ_QREGS) - ) cpu ( - .clk (clk ), - .resetn (resetn ), - .mem_valid (mem_valid ), - .mem_instr (mem_instr ), - .mem_ready (mem_ready ), - .mem_addr (mem_addr ), - .mem_wdata (mem_wdata ), - .mem_wstrb (mem_wstrb ), - .mem_rdata (mem_rdata ), - .irq (irq ) - ); - - spimemio spimemio ( - .clk (clk), - .resetn (resetn), - .valid (mem_valid && mem_addr >= 4*MEM_WORDS && mem_addr < 32'h 0200_0000), - .ready (spimem_ready), - .addr (mem_addr[23:0]), - .rdata (spimem_rdata), - - .flash_csb (flash_csb ), - .flash_clk (flash_clk ), - - .flash_io0_oe (flash_io0_oe), - .flash_io1_oe (flash_io1_oe), - .flash_io2_oe (flash_io2_oe), - .flash_io3_oe (flash_io3_oe), - - .flash_io0_do (flash_io0_do), - .flash_io1_do (flash_io1_do), - .flash_io2_do (flash_io2_do), - .flash_io3_do (flash_io3_do), - - .flash_io0_di (flash_io0_di), - .flash_io1_di (flash_io1_di), - .flash_io2_di (flash_io2_di), - .flash_io3_di (flash_io3_di), - - .cfgreg_we(spimemio_cfgreg_sel ? mem_wstrb : 4'b 0000), - .cfgreg_di(mem_wdata), - .cfgreg_do(spimemio_cfgreg_do) - ); - - simpleuart simpleuart ( - .clk (clk ), - .resetn (resetn ), - - .ser_tx (ser_tx ), - .ser_rx (ser_rx ), - - .reg_div_we (simpleuart_reg_div_sel ? mem_wstrb : 4'b 0000), - .reg_div_di (mem_wdata), - .reg_div_do (simpleuart_reg_div_do), - - .reg_dat_we (simpleuart_reg_dat_sel ? mem_wstrb[0] : 1'b 0), - .reg_dat_re (simpleuart_reg_dat_sel && !mem_wstrb), - .reg_dat_di (mem_wdata), - .reg_dat_do (simpleuart_reg_dat_do), - .reg_dat_wait(simpleuart_reg_dat_wait) - ); - - always @(posedge clk) - ram_ready <= mem_valid && !mem_ready && mem_addr < 4*MEM_WORDS; - - `PICOSOC_MEM #( - .WORDS(MEM_WORDS) - ) memory ( - .clk(clk), - .wen((mem_valid && !mem_ready && mem_addr < 4*MEM_WORDS) ? mem_wstrb : 4'b0), - .addr(mem_addr[23:2]), - .wdata(mem_wdata), - .rdata(ram_rdata) - ); -endmodule - -// Implementation note: -// Replace the following two modules with wrappers for your SRAM cells. - -module picosoc_regs ( - input clk, wen, - input [5:0] waddr, - input [5:0] raddr1, - input [5:0] raddr2, - input [31:0] wdata, - output [31:0] rdata1, - output [31:0] rdata2 -); - reg [31:0] regs [0:31]; - - always @(posedge clk) - if (wen) regs[waddr[4:0]] <= wdata; - - assign rdata1 = regs[raddr1[4:0]]; - assign rdata2 = regs[raddr2[4:0]]; -endmodule - -module picosoc_mem #( - parameter integer WORDS = 256 -) ( - input clk, - input [3:0] wen, - input [21:0] addr, - input [31:0] wdata, - output reg [31:0] rdata -); - reg [31:0] mem [0:WORDS-1]; - - always @(posedge clk) begin - rdata <= mem[addr]; - if (wen[0]) mem[addr][ 7: 0] <= wdata[ 7: 0]; - if (wen[1]) mem[addr][15: 8] <= wdata[15: 8]; - if (wen[2]) mem[addr][23:16] <= wdata[23:16]; - if (wen[3]) mem[addr][31:24] <= wdata[31:24]; - end -endmodule - diff --git a/ice40up5k/picosoc_gpio/sections.lds b/ice40up5k/picosoc_gpio/sections.lds deleted file mode 100644 index be75d36..0000000 --- a/ice40up5k/picosoc_gpio/sections.lds +++ /dev/null @@ -1,71 +0,0 @@ -#if defined(ICEBREAKER) || defined(LICE40UP) -# define MEM_TOTAL 0x20000 /* 128 KB */ -#elif HX8KDEMO -# define MEM_TOTAL 0x200 /* 2 KB */ -#else -# error "Set -DICEBREAKER or -DHX8KDEMO when compiling firmware.c" -#endif - -MEMORY -{ - FLASH (rx) : ORIGIN = 0x00100000, LENGTH = 0x400000 /* entire flash, 4 MiB */ - RAM (xrw) : ORIGIN = 0x00000000, LENGTH = MEM_TOTAL -} - -SECTIONS { - /* The program code and other data goes into FLASH */ - .text : - { - . = ALIGN(4); - *(.text) /* .text sections (code) */ - *(.text*) /* .text* sections (code) */ - *(.rodata) /* .rodata sections (constants, strings, etc.) */ - *(.rodata*) /* .rodata* sections (constants, strings, etc.) */ - *(.srodata) /* .rodata sections (constants, strings, etc.) */ - *(.srodata*) /* .rodata* sections (constants, strings, etc.) */ - . = ALIGN(4); - _etext = .; /* define a global symbol at end of code */ - _sidata = _etext; /* This is used by the startup in order to initialize the .data secion */ - } >FLASH - - - /* This is the initialized data section - The program executes knowing that the data is in the RAM - but the loader puts the initial values in the FLASH (inidata). - It is one task of the startup to copy the initial values from FLASH to RAM. */ - .data : AT ( _sidata ) - { - . = ALIGN(4); - _sdata = .; /* create a global symbol at data start; used by startup code in order to initialise the .data section in RAM */ - _ram_start = .; /* create a global symbol at ram start for garbage collector */ - . = ALIGN(4); - *(.data) /* .data sections */ - *(.data*) /* .data* sections */ - *(.sdata) /* .sdata sections */ - *(.sdata*) /* .sdata* sections */ - . = ALIGN(4); - _edata = .; /* define a global symbol at data end; used by startup code in order to initialise the .data section in RAM */ - } >RAM - - /* Uninitialized data section */ - .bss : - { - . = ALIGN(4); - _sbss = .; /* define a global symbol at bss start; used by startup code */ - *(.bss) - *(.bss*) - *(.sbss) - *(.sbss*) - *(COMMON) - - . = ALIGN(4); - _ebss = .; /* define a global symbol at bss end; used by startup code */ - } >RAM - - /* this is to define the start of the heap, and make sure we have a minimum size */ - .heap : - { - . = ALIGN(4); - _heap_start = .; /* define a global symbol at heap start */ - } >RAM -} diff --git a/ice40up5k/picosoc_gpio/simpleuart.v b/ice40up5k/picosoc_gpio/simpleuart.v deleted file mode 100644 index 50808cb..0000000 --- a/ice40up5k/picosoc_gpio/simpleuart.v +++ /dev/null @@ -1,137 +0,0 @@ -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -module simpleuart ( - input clk, - input resetn, - - output ser_tx, - input ser_rx, - - input [3:0] reg_div_we, - input [31:0] reg_div_di, - output [31:0] reg_div_do, - - input reg_dat_we, - input reg_dat_re, - input [31:0] reg_dat_di, - output [31:0] reg_dat_do, - output reg_dat_wait -); - reg [31:0] cfg_divider; - - reg [3:0] recv_state; - reg [31:0] recv_divcnt; - reg [7:0] recv_pattern; - reg [7:0] recv_buf_data; - reg recv_buf_valid; - - reg [9:0] send_pattern; - reg [3:0] send_bitcnt; - reg [31:0] send_divcnt; - reg send_dummy; - - assign reg_div_do = cfg_divider; - - assign reg_dat_wait = reg_dat_we && (send_bitcnt || send_dummy); - assign reg_dat_do = recv_buf_valid ? recv_buf_data : ~0; - - always @(posedge clk) begin - if (!resetn) begin - cfg_divider <= 1; - end else begin - if (reg_div_we[0]) cfg_divider[ 7: 0] <= reg_div_di[ 7: 0]; - if (reg_div_we[1]) cfg_divider[15: 8] <= reg_div_di[15: 8]; - if (reg_div_we[2]) cfg_divider[23:16] <= reg_div_di[23:16]; - if (reg_div_we[3]) cfg_divider[31:24] <= reg_div_di[31:24]; - end - end - - always @(posedge clk) begin - if (!resetn) begin - recv_state <= 0; - recv_divcnt <= 0; - recv_pattern <= 0; - recv_buf_data <= 0; - recv_buf_valid <= 0; - end else begin - recv_divcnt <= recv_divcnt + 1; - if (reg_dat_re) - recv_buf_valid <= 0; - case (recv_state) - 0: begin - if (!ser_rx) - recv_state <= 1; - recv_divcnt <= 0; - end - 1: begin - if (2*recv_divcnt > cfg_divider) begin - recv_state <= 2; - recv_divcnt <= 0; - end - end - 10: begin - if (recv_divcnt > cfg_divider) begin - recv_buf_data <= recv_pattern; - recv_buf_valid <= 1; - recv_state <= 0; - end - end - default: begin - if (recv_divcnt > cfg_divider) begin - recv_pattern <= {ser_rx, recv_pattern[7:1]}; - recv_state <= recv_state + 1; - recv_divcnt <= 0; - end - end - endcase - end - end - - assign ser_tx = send_pattern[0]; - - always @(posedge clk) begin - if (reg_div_we) - send_dummy <= 1; - send_divcnt <= send_divcnt + 1; - if (!resetn) begin - send_pattern <= ~0; - send_bitcnt <= 0; - send_divcnt <= 0; - send_dummy <= 1; - end else begin - if (send_dummy && !send_bitcnt) begin - send_pattern <= ~0; - send_bitcnt <= 15; - send_divcnt <= 0; - send_dummy <= 0; - end else - if (reg_dat_we && !send_bitcnt) begin - send_pattern <= {1'b1, reg_dat_di[7:0], 1'b0}; - send_bitcnt <= 10; - send_divcnt <= 0; - end else - if (send_divcnt > cfg_divider && send_bitcnt) begin - send_pattern <= {1'b1, send_pattern[9:1]}; - send_bitcnt <= send_bitcnt - 1; - send_divcnt <= 0; - end - end - end -endmodule diff --git a/ice40up5k/picosoc_gpio/spiflash.core b/ice40up5k/picosoc_gpio/spiflash.core deleted file mode 100644 index 1b7d153..0000000 --- a/ice40up5k/picosoc_gpio/spiflash.core +++ /dev/null @@ -1,24 +0,0 @@ -CAPI=2: - -name : ::spiflash:0 - -filesets: - model: - files : [spiflash.v] - file_type : verilogSource - tb: - files : [spiflash_tb.v] - file_type : verilogSource - -targets: - default: - default_tool : icarus - filesets : [model, "is_toplevel? (tb)"] - parameters : [firmware] - toplevel : [testbench] - -parameters : - firmware: - datatype : file - description : Initial SPI Flash contents (in verilog hex format) - paramtype : plusarg diff --git a/ice40up5k/picosoc_gpio/spiflash.v b/ice40up5k/picosoc_gpio/spiflash.v deleted file mode 100644 index 1ade543..0000000 --- a/ice40up5k/picosoc_gpio/spiflash.v +++ /dev/null @@ -1,409 +0,0 @@ -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -`timescale 1 ns / 1 ps - -// -// Simple SPI flash simulation model -// -// This model samples io input signals 1ns before the SPI clock edge and -// updates output signals 1ns after the SPI clock edge. -// -// Supported commands: -// AB, B9, FF, 03, BB, EB, ED -// -// Well written SPI flash data sheets: -// Cypress S25FL064L http://www.cypress.com/file/316661/download -// Cypress S25FL128L http://www.cypress.com/file/316171/download -// -// SPI flash used on iCEBreaker board: -// https://www.winbond.com/resource-files/w25q128jv%20dtr%20revb%2011042016.pdf -// - -module spiflash ( - input csb, - input clk, - inout io0, // MOSI - inout io1, // MISO - inout io2, - inout io3 -); - localparam verbose = 0; - localparam integer latency = 8; - - reg [7:0] buffer; - integer bitcount = 0; - integer bytecount = 0; - integer dummycount = 0; - - reg [7:0] spi_cmd; - reg [7:0] xip_cmd = 0; - reg [23:0] spi_addr; - - reg [7:0] spi_in; - reg [7:0] spi_out; - reg spi_io_vld; - - reg powered_up = 0; - - localparam [3:0] mode_spi = 1; - localparam [3:0] mode_dspi_rd = 2; - localparam [3:0] mode_dspi_wr = 3; - localparam [3:0] mode_qspi_rd = 4; - localparam [3:0] mode_qspi_wr = 5; - localparam [3:0] mode_qspi_ddr_rd = 6; - localparam [3:0] mode_qspi_ddr_wr = 7; - - reg [3:0] mode = 0; - reg [3:0] next_mode = 0; - - reg io0_oe = 0; - reg io1_oe = 0; - reg io2_oe = 0; - reg io3_oe = 0; - - reg io0_dout = 0; - reg io1_dout = 0; - reg io2_dout = 0; - reg io3_dout = 0; - - assign #1 io0 = io0_oe ? io0_dout : 1'bz; - assign #1 io1 = io1_oe ? io1_dout : 1'bz; - assign #1 io2 = io2_oe ? io2_dout : 1'bz; - assign #1 io3 = io3_oe ? io3_dout : 1'bz; - - wire io0_delayed; - wire io1_delayed; - wire io2_delayed; - wire io3_delayed; - - assign #1 io0_delayed = io0; - assign #1 io1_delayed = io1; - assign #1 io2_delayed = io2; - assign #1 io3_delayed = io3; - - // 16 MB (128Mb) Flash - reg [7:0] memory [0:16*1024*1024-1]; - - reg [1023:0] firmware_file; - initial begin - if (!$value$plusargs("firmware=%s", firmware_file)) - firmware_file = "firmware.hex"; - $readmemh(firmware_file, memory); - end - - task spi_action; - begin - spi_in = buffer; - - if (bytecount == 1) begin - spi_cmd = buffer; - - if (spi_cmd == 8'h ab) - powered_up = 1; - - if (spi_cmd == 8'h b9) - powered_up = 0; - - if (spi_cmd == 8'h ff) - xip_cmd = 0; - end - - if (powered_up && spi_cmd == 'h 03) begin - if (bytecount == 2) - spi_addr[23:16] = buffer; - - if (bytecount == 3) - spi_addr[15:8] = buffer; - - if (bytecount == 4) - spi_addr[7:0] = buffer; - - if (bytecount >= 4) begin - buffer = memory[spi_addr]; - spi_addr = spi_addr + 1; - end - end - - if (powered_up && spi_cmd == 'h bb) begin - if (bytecount == 1) - mode = mode_dspi_rd; - - if (bytecount == 2) - spi_addr[23:16] = buffer; - - if (bytecount == 3) - spi_addr[15:8] = buffer; - - if (bytecount == 4) - spi_addr[7:0] = buffer; - - if (bytecount == 5) begin - xip_cmd = (buffer == 8'h a5) ? spi_cmd : 8'h 00; - mode = mode_dspi_wr; - dummycount = latency; - end - - if (bytecount >= 5) begin - buffer = memory[spi_addr]; - spi_addr = spi_addr + 1; - end - end - - if (powered_up && spi_cmd == 'h eb) begin - if (bytecount == 1) - mode = mode_qspi_rd; - - if (bytecount == 2) - spi_addr[23:16] = buffer; - - if (bytecount == 3) - spi_addr[15:8] = buffer; - - if (bytecount == 4) - spi_addr[7:0] = buffer; - - if (bytecount == 5) begin - xip_cmd = (buffer == 8'h a5) ? spi_cmd : 8'h 00; - mode = mode_qspi_wr; - dummycount = latency; - end - - if (bytecount >= 5) begin - buffer = memory[spi_addr]; - spi_addr = spi_addr + 1; - end - end - - if (powered_up && spi_cmd == 'h ed) begin - if (bytecount == 1) - next_mode = mode_qspi_ddr_rd; - - if (bytecount == 2) - spi_addr[23:16] = buffer; - - if (bytecount == 3) - spi_addr[15:8] = buffer; - - if (bytecount == 4) - spi_addr[7:0] = buffer; - - if (bytecount == 5) begin - xip_cmd = (buffer == 8'h a5) ? spi_cmd : 8'h 00; - mode = mode_qspi_ddr_wr; - dummycount = latency; - end - - if (bytecount >= 5) begin - buffer = memory[spi_addr]; - spi_addr = spi_addr + 1; - end - end - - spi_out = buffer; - spi_io_vld = 1; - - if (verbose) begin - if (bytecount == 1) - $write(""); - $write("", spi_in, spi_out); - end - - end - endtask - - task ddr_rd_edge; - begin - buffer = {buffer, io3_delayed, io2_delayed, io1_delayed, io0_delayed}; - bitcount = bitcount + 4; - if (bitcount == 8) begin - bitcount = 0; - bytecount = bytecount + 1; - spi_action; - end - end - endtask - - task ddr_wr_edge; - begin - io0_oe = 1; - io1_oe = 1; - io2_oe = 1; - io3_oe = 1; - - io0_dout = buffer[4]; - io1_dout = buffer[5]; - io2_dout = buffer[6]; - io3_dout = buffer[7]; - - buffer = {buffer, 4'h 0}; - bitcount = bitcount + 4; - if (bitcount == 8) begin - bitcount = 0; - bytecount = bytecount + 1; - spi_action; - end - end - endtask - - always @(csb) begin - if (csb) begin - if (verbose) begin - $display(""); - $fflush; - end - buffer = 0; - bitcount = 0; - bytecount = 0; - mode = mode_spi; - io0_oe = 0; - io1_oe = 0; - io2_oe = 0; - io3_oe = 0; - end else - if (xip_cmd) begin - buffer = xip_cmd; - bitcount = 0; - bytecount = 1; - spi_action; - end - end - - always @(csb, clk) begin - spi_io_vld = 0; - if (!csb && !clk) begin - if (dummycount > 0) begin - io0_oe = 0; - io1_oe = 0; - io2_oe = 0; - io3_oe = 0; - end else - case (mode) - mode_spi: begin - io0_oe = 0; - io1_oe = 1; - io2_oe = 0; - io3_oe = 0; - io1_dout = buffer[7]; - end - mode_dspi_rd: begin - io0_oe = 0; - io1_oe = 0; - io2_oe = 0; - io3_oe = 0; - end - mode_dspi_wr: begin - io0_oe = 1; - io1_oe = 1; - io2_oe = 0; - io3_oe = 0; - io0_dout = buffer[6]; - io1_dout = buffer[7]; - end - mode_qspi_rd: begin - io0_oe = 0; - io1_oe = 0; - io2_oe = 0; - io3_oe = 0; - end - mode_qspi_wr: begin - io0_oe = 1; - io1_oe = 1; - io2_oe = 1; - io3_oe = 1; - io0_dout = buffer[4]; - io1_dout = buffer[5]; - io2_dout = buffer[6]; - io3_dout = buffer[7]; - end - mode_qspi_ddr_rd: begin - ddr_rd_edge; - end - mode_qspi_ddr_wr: begin - ddr_wr_edge; - end - endcase - if (next_mode) begin - case (next_mode) - mode_qspi_ddr_rd: begin - io0_oe = 0; - io1_oe = 0; - io2_oe = 0; - io3_oe = 0; - end - mode_qspi_ddr_wr: begin - io0_oe = 1; - io1_oe = 1; - io2_oe = 1; - io3_oe = 1; - io0_dout = buffer[4]; - io1_dout = buffer[5]; - io2_dout = buffer[6]; - io3_dout = buffer[7]; - end - endcase - mode = next_mode; - next_mode = 0; - end - end - end - - always @(posedge clk) begin - if (!csb) begin - if (dummycount > 0) begin - dummycount = dummycount - 1; - end else - case (mode) - mode_spi: begin - buffer = {buffer, io0}; - bitcount = bitcount + 1; - if (bitcount == 8) begin - bitcount = 0; - bytecount = bytecount + 1; - spi_action; - end - end - mode_dspi_rd, mode_dspi_wr: begin - buffer = {buffer, io1, io0}; - bitcount = bitcount + 2; - if (bitcount == 8) begin - bitcount = 0; - bytecount = bytecount + 1; - spi_action; - end - end - mode_qspi_rd, mode_qspi_wr: begin - buffer = {buffer, io3, io2, io1, io0}; - bitcount = bitcount + 4; - if (bitcount == 8) begin - bitcount = 0; - bytecount = bytecount + 1; - spi_action; - end - end - mode_qspi_ddr_rd: begin - ddr_rd_edge; - end - mode_qspi_ddr_wr: begin - ddr_wr_edge; - end - endcase - end - end -endmodule diff --git a/ice40up5k/picosoc_gpio/spiflash_tb.v b/ice40up5k/picosoc_gpio/spiflash_tb.v deleted file mode 100644 index f300373..0000000 --- a/ice40up5k/picosoc_gpio/spiflash_tb.v +++ /dev/null @@ -1,366 +0,0 @@ -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -`timescale 1 ns / 1 ps - -module testbench; - reg flash_csb = 1; - reg flash_clk = 0; - - wire flash_io0; - wire flash_io1; - wire flash_io2; - wire flash_io3; - - reg flash_io0_oe = 0; - reg flash_io1_oe = 0; - reg flash_io2_oe = 0; - reg flash_io3_oe = 0; - - reg flash_io0_dout = 0; - reg flash_io1_dout = 0; - reg flash_io2_dout = 0; - reg flash_io3_dout = 0; - - assign flash_io0 = flash_io0_oe ? flash_io0_dout : 1'bz; - assign flash_io1 = flash_io1_oe ? flash_io1_dout : 1'bz; - assign flash_io2 = flash_io2_oe ? flash_io2_dout : 1'bz; - assign flash_io3 = flash_io3_oe ? flash_io3_dout : 1'bz; - - spiflash uut ( - .csb(flash_csb), - .clk(flash_clk), - .io0(flash_io0), - .io1(flash_io1), - .io2(flash_io2), - .io3(flash_io3) - ); - - localparam [23:0] offset = 24'h100000; - localparam [31:0] word0 = 32'h 00000093; - localparam [31:0] word1 = 32'h 00000193; - - reg [7:0] rdata; - integer errcount = 0; - - task expect; - input [7:0] data; - begin - if (data !== rdata) begin - $display("ERROR: Got %x (%b) but expected %x (%b).", rdata, rdata, data, data); - errcount = errcount + 1; - end - end - endtask - - task xfer_begin; - begin - #5; - flash_csb = 0; - $display("-- BEGIN"); - #5; - end - endtask - - task xfer_dummy; - begin - flash_io0_oe = 0; - flash_io1_oe = 0; - flash_io2_oe = 0; - flash_io3_oe = 0; - - #5; - flash_clk = 1; - #5; - flash_clk = 0; - #5; - end - endtask - - task xfer_end; - begin - #5; - flash_csb = 1; - flash_io0_oe = 0; - flash_io1_oe = 0; - flash_io2_oe = 0; - flash_io3_oe = 0; - $display("-- END"); - $display(""); - #5; - end - endtask - - task xfer_spi; - input [7:0] data; - integer i; - begin - flash_io0_oe = 1; - flash_io1_oe = 0; - flash_io2_oe = 0; - flash_io3_oe = 0; - - for (i = 0; i < 8; i=i+1) begin - flash_io0_dout = data[7-i]; - #5; - flash_clk = 1; - rdata[7-i] = flash_io1; - #5; - flash_clk = 0; - end - - $display("-- SPI SDR %02x %02x", data, rdata); - #5; - end - endtask - - task xfer_qspi_wr; - input [7:0] data; - integer i; - begin - flash_io0_oe = 1; - flash_io1_oe = 1; - flash_io2_oe = 1; - flash_io3_oe = 1; - - flash_io0_dout = data[4]; - flash_io1_dout = data[5]; - flash_io2_dout = data[6]; - flash_io3_dout = data[7]; - - #5; - flash_clk = 1; - - #5; - flash_clk = 0; - flash_io0_dout = data[0]; - flash_io1_dout = data[1]; - flash_io2_dout = data[2]; - flash_io3_dout = data[3]; - - #5; - flash_clk = 1; - #5; - flash_clk = 0; - - $display("-- QSPI SDR %02x --", data); - #5; - end - endtask - - task xfer_qspi_rd; - integer i; - begin - flash_io0_oe = 0; - flash_io1_oe = 0; - flash_io2_oe = 0; - flash_io3_oe = 0; - - #5; - flash_clk = 1; - rdata[4] = flash_io0; - rdata[5] = flash_io1; - rdata[6] = flash_io2; - rdata[7] = flash_io3; - - #5; - flash_clk = 0; - - #5; - flash_clk = 1; - rdata[0] = flash_io0; - rdata[1] = flash_io1; - rdata[2] = flash_io2; - rdata[3] = flash_io3; - - #5; - flash_clk = 0; - - $display("-- QSPI SDR -- %02x", rdata); - #5; - end - endtask - - task xfer_qspi_ddr_wr; - input [7:0] data; - integer i; - begin - flash_io0_oe = 1; - flash_io1_oe = 1; - flash_io2_oe = 1; - flash_io3_oe = 1; - - flash_io0_dout = data[4]; - flash_io1_dout = data[5]; - flash_io2_dout = data[6]; - flash_io3_dout = data[7]; - - #5; - flash_clk = 1; - flash_io0_dout = data[0]; - flash_io1_dout = data[1]; - flash_io2_dout = data[2]; - flash_io3_dout = data[3]; - - #5; - flash_clk = 0; - - $display("-- QSPI DDR %02x --", data); - #5; - end - endtask - - task xfer_qspi_ddr_rd; - integer i; - begin - flash_io0_oe = 0; - flash_io1_oe = 0; - flash_io2_oe = 0; - flash_io3_oe = 0; - - #5; - flash_clk = 1; - rdata[4] = flash_io0; - rdata[5] = flash_io1; - rdata[6] = flash_io2; - rdata[7] = flash_io3; - - #5; - flash_clk = 0; - rdata[0] = flash_io0; - rdata[1] = flash_io1; - rdata[2] = flash_io2; - rdata[3] = flash_io3; - - $display("-- QSPI DDR -- %02x", rdata); - #5; - end - endtask - - initial begin - $dumpfile("spiflash_tb.vcd"); - $dumpvars(0, testbench); - $display(""); - - $display("Reset (FFh)"); - xfer_begin; - xfer_spi(8'h ff); - xfer_end; - - $display("Power Up (ABh)"); - xfer_begin; - xfer_spi(8'h ab); - xfer_end; - - $display("Read Data (03h)"); - xfer_begin; - xfer_spi(8'h 03); - xfer_spi(offset[23:16]); - xfer_spi(offset[15:8]); - xfer_spi(offset[7:0]); - xfer_spi(8'h 00); expect(word0[7:0]); - xfer_spi(8'h 00); expect(word0[15:8]); - xfer_spi(8'h 00); expect(word0[23:16]); - xfer_spi(8'h 00); expect(word0[31:24]); - xfer_spi(8'h 00); expect(word1[7:0]); - xfer_spi(8'h 00); expect(word1[15:8]); - xfer_spi(8'h 00); expect(word1[23:16]); - xfer_spi(8'h 00); expect(word1[31:24]); - xfer_end; - - $display("Quad I/O Read (EBh)"); - xfer_begin; - xfer_spi(8'h eb); - xfer_qspi_wr(offset[23:16]); - xfer_qspi_wr(offset[15:8]); - xfer_qspi_wr(offset[7:0]); - xfer_qspi_wr(8'h a5); - repeat (8) xfer_dummy; - xfer_qspi_rd; expect(word0[7:0]); - xfer_qspi_rd; expect(word0[15:8]); - xfer_qspi_rd; expect(word0[23:16]); - xfer_qspi_rd; expect(word0[31:24]); - xfer_qspi_rd; expect(word1[7:0]); - xfer_qspi_rd; expect(word1[15:8]); - xfer_qspi_rd; expect(word1[23:16]); - xfer_qspi_rd; expect(word1[31:24]); - xfer_end; - - $display("Continous Quad I/O Read"); - xfer_begin; - xfer_qspi_wr(offset[23:16]); - xfer_qspi_wr(offset[15:8]); - xfer_qspi_wr(offset[7:0]); - xfer_qspi_wr(8'h ff); - repeat (8) xfer_dummy; - xfer_qspi_rd; expect(word0[7:0]); - xfer_qspi_rd; expect(word0[15:8]); - xfer_qspi_rd; expect(word0[23:16]); - xfer_qspi_rd; expect(word0[31:24]); - xfer_qspi_rd; expect(word1[7:0]); - xfer_qspi_rd; expect(word1[15:8]); - xfer_qspi_rd; expect(word1[23:16]); - xfer_qspi_rd; expect(word1[31:24]); - xfer_end; - - $display("DDR Quad I/O Read (EDh)"); - xfer_begin; - xfer_spi(8'h ed); - xfer_qspi_ddr_wr(offset[23:16]); - xfer_qspi_ddr_wr(offset[15:8]); - xfer_qspi_ddr_wr(offset[7:0]); - xfer_qspi_ddr_wr(8'h a5); - repeat (8) xfer_dummy; - xfer_qspi_ddr_rd; expect(word0[7:0]); - xfer_qspi_ddr_rd; expect(word0[15:8]); - xfer_qspi_ddr_rd; expect(word0[23:16]); - xfer_qspi_ddr_rd; expect(word0[31:24]); - xfer_qspi_ddr_rd; expect(word1[7:0]); - xfer_qspi_ddr_rd; expect(word1[15:8]); - xfer_qspi_ddr_rd; expect(word1[23:16]); - xfer_qspi_ddr_rd; expect(word1[31:24]); - xfer_end; - - $display("Continous DDR Quad I/O Read"); - xfer_begin; - xfer_qspi_ddr_wr(offset[23:16]); - xfer_qspi_ddr_wr(offset[15:8]); - xfer_qspi_ddr_wr(offset[7:0]); - xfer_qspi_ddr_wr(8'h ff); - repeat (8) xfer_dummy; - xfer_qspi_ddr_rd; expect(word0[7:0]); - xfer_qspi_ddr_rd; expect(word0[15:8]); - xfer_qspi_ddr_rd; expect(word0[23:16]); - xfer_qspi_ddr_rd; expect(word0[31:24]); - xfer_qspi_ddr_rd; expect(word1[7:0]); - xfer_qspi_ddr_rd; expect(word1[15:8]); - xfer_qspi_ddr_rd; expect(word1[23:16]); - xfer_qspi_ddr_rd; expect(word1[31:24]); - xfer_end; - - #5; - - if (errcount) begin - $display("FAIL"); - $stop; - end else begin - $display("PASS"); - end - end -endmodule diff --git a/ice40up5k/picosoc_gpio/spimemio.v b/ice40up5k/picosoc_gpio/spimemio.v deleted file mode 100644 index 0bbf532..0000000 --- a/ice40up5k/picosoc_gpio/spimemio.v +++ /dev/null @@ -1,579 +0,0 @@ -/* - * PicoSoC - A simple example SoC using PicoRV32 - * - * Copyright (C) 2017 Clifford Wolf - * - * Permission to use, copy, modify, and/or distribute this software for any - * purpose with or without fee is hereby granted, provided that the above - * copyright notice and this permission notice appear in all copies. - * - * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES - * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF - * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR - * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES - * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN - * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF - * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. - * - */ - -module spimemio ( - input clk, resetn, - - input valid, - output ready, - input [23:0] addr, - output reg [31:0] rdata, - - output flash_csb, - output flash_clk, - - output flash_io0_oe, - output flash_io1_oe, - output flash_io2_oe, - output flash_io3_oe, - - output flash_io0_do, - output flash_io1_do, - output flash_io2_do, - output flash_io3_do, - - input flash_io0_di, - input flash_io1_di, - input flash_io2_di, - input flash_io3_di, - - input [3:0] cfgreg_we, - input [31:0] cfgreg_di, - output [31:0] cfgreg_do -); - reg xfer_resetn; - reg din_valid; - wire din_ready; - reg [7:0] din_data; - reg [3:0] din_tag; - reg din_cont; - reg din_qspi; - reg din_ddr; - reg din_rd; - - wire dout_valid; - wire [7:0] dout_data; - wire [3:0] dout_tag; - - reg [23:0] buffer; - - reg [23:0] rd_addr; - reg rd_valid; - reg rd_wait; - reg rd_inc; - - assign ready = valid && (addr == rd_addr) && rd_valid; - wire jump = valid && !ready && (addr != rd_addr+4) && rd_valid; - - reg softreset; - - reg config_en; // cfgreg[31] - reg config_ddr; // cfgreg[22] - reg config_qspi; // cfgreg[21] - reg config_cont; // cfgreg[20] - reg [3:0] config_dummy; // cfgreg[19:16] - reg [3:0] config_oe; // cfgreg[11:8] - reg config_csb; // cfgreg[5] - reg config_clk; // cfgref[4] - reg [3:0] config_do; // cfgreg[3:0] - - assign cfgreg_do[31] = config_en; - assign cfgreg_do[30:23] = 0; - assign cfgreg_do[22] = config_ddr; - assign cfgreg_do[21] = config_qspi; - assign cfgreg_do[20] = config_cont; - assign cfgreg_do[19:16] = config_dummy; - assign cfgreg_do[15:12] = 0; - assign cfgreg_do[11:8] = {flash_io3_oe, flash_io2_oe, flash_io1_oe, flash_io0_oe}; - assign cfgreg_do[7:6] = 0; - assign cfgreg_do[5] = flash_csb; - assign cfgreg_do[4] = flash_clk; - assign cfgreg_do[3:0] = {flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}; - - always @(posedge clk) begin - softreset <= !config_en || cfgreg_we; - if (!resetn) begin - softreset <= 1; - config_en <= 1; - config_csb <= 0; - config_clk <= 0; - config_oe <= 0; - config_do <= 0; - config_ddr <= 0; - config_qspi <= 0; - config_cont <= 0; - config_dummy <= 8; - end else begin - if (cfgreg_we[0]) begin - config_csb <= cfgreg_di[5]; - config_clk <= cfgreg_di[4]; - config_do <= cfgreg_di[3:0]; - end - if (cfgreg_we[1]) begin - config_oe <= cfgreg_di[11:8]; - end - if (cfgreg_we[2]) begin - config_ddr <= cfgreg_di[22]; - config_qspi <= cfgreg_di[21]; - config_cont <= cfgreg_di[20]; - config_dummy <= cfgreg_di[19:16]; - end - if (cfgreg_we[3]) begin - config_en <= cfgreg_di[31]; - end - end - end - - wire xfer_csb; - wire xfer_clk; - - wire xfer_io0_oe; - wire xfer_io1_oe; - wire xfer_io2_oe; - wire xfer_io3_oe; - - wire xfer_io0_do; - wire xfer_io1_do; - wire xfer_io2_do; - wire xfer_io3_do; - - reg xfer_io0_90; - reg xfer_io1_90; - reg xfer_io2_90; - reg xfer_io3_90; - - always @(negedge clk) begin - xfer_io0_90 <= xfer_io0_do; - xfer_io1_90 <= xfer_io1_do; - xfer_io2_90 <= xfer_io2_do; - xfer_io3_90 <= xfer_io3_do; - end - - assign flash_csb = config_en ? xfer_csb : config_csb; - assign flash_clk = config_en ? xfer_clk : config_clk; - - assign flash_io0_oe = config_en ? xfer_io0_oe : config_oe[0]; - assign flash_io1_oe = config_en ? xfer_io1_oe : config_oe[1]; - assign flash_io2_oe = config_en ? xfer_io2_oe : config_oe[2]; - assign flash_io3_oe = config_en ? xfer_io3_oe : config_oe[3]; - - assign flash_io0_do = config_en ? (config_ddr ? xfer_io0_90 : xfer_io0_do) : config_do[0]; - assign flash_io1_do = config_en ? (config_ddr ? xfer_io1_90 : xfer_io1_do) : config_do[1]; - assign flash_io2_do = config_en ? (config_ddr ? xfer_io2_90 : xfer_io2_do) : config_do[2]; - assign flash_io3_do = config_en ? (config_ddr ? xfer_io3_90 : xfer_io3_do) : config_do[3]; - - wire xfer_dspi = din_ddr && !din_qspi; - wire xfer_ddr = din_ddr && din_qspi; - - spimemio_xfer xfer ( - .clk (clk ), - .resetn (xfer_resetn ), - .din_valid (din_valid ), - .din_ready (din_ready ), - .din_data (din_data ), - .din_tag (din_tag ), - .din_cont (din_cont ), - .din_dspi (xfer_dspi ), - .din_qspi (din_qspi ), - .din_ddr (xfer_ddr ), - .din_rd (din_rd ), - .dout_valid (dout_valid ), - .dout_data (dout_data ), - .dout_tag (dout_tag ), - .flash_csb (xfer_csb ), - .flash_clk (xfer_clk ), - .flash_io0_oe (xfer_io0_oe ), - .flash_io1_oe (xfer_io1_oe ), - .flash_io2_oe (xfer_io2_oe ), - .flash_io3_oe (xfer_io3_oe ), - .flash_io0_do (xfer_io0_do ), - .flash_io1_do (xfer_io1_do ), - .flash_io2_do (xfer_io2_do ), - .flash_io3_do (xfer_io3_do ), - .flash_io0_di (flash_io0_di), - .flash_io1_di (flash_io1_di), - .flash_io2_di (flash_io2_di), - .flash_io3_di (flash_io3_di) - ); - - reg [3:0] state; - - always @(posedge clk) begin - xfer_resetn <= 1; - din_valid <= 0; - - if (!resetn || softreset) begin - state <= 0; - xfer_resetn <= 0; - rd_valid <= 0; - din_tag <= 0; - din_cont <= 0; - din_qspi <= 0; - din_ddr <= 0; - din_rd <= 0; - end else begin - if (dout_valid && dout_tag == 1) buffer[ 7: 0] <= dout_data; - if (dout_valid && dout_tag == 2) buffer[15: 8] <= dout_data; - if (dout_valid && dout_tag == 3) buffer[23:16] <= dout_data; - if (dout_valid && dout_tag == 4) begin - rdata <= {dout_data, buffer}; - rd_addr <= rd_inc ? rd_addr + 4 : addr; - rd_valid <= 1; - rd_wait <= rd_inc; - rd_inc <= 1; - end - - if (valid) - rd_wait <= 0; - - case (state) - 0: begin - din_valid <= 1; - din_data <= 8'h ff; - din_tag <= 0; - if (din_ready) begin - din_valid <= 0; - state <= 1; - end - end - 1: begin - if (dout_valid) begin - xfer_resetn <= 0; - state <= 2; - end - end - 2: begin - din_valid <= 1; - din_data <= 8'h ab; - din_tag <= 0; - if (din_ready) begin - din_valid <= 0; - state <= 3; - end - end - 3: begin - if (dout_valid) begin - xfer_resetn <= 0; - state <= 4; - end - end - 4: begin - rd_inc <= 0; - din_valid <= 1; - din_tag <= 0; - case ({config_ddr, config_qspi}) - 2'b11: din_data <= 8'h ED; - 2'b01: din_data <= 8'h EB; - 2'b10: din_data <= 8'h BB; - 2'b00: din_data <= 8'h 03; - endcase - if (din_ready) begin - din_valid <= 0; - state <= 5; - end - end - 5: begin - if (valid && !ready) begin - din_valid <= 1; - din_tag <= 0; - din_data <= addr[23:16]; - din_qspi <= config_qspi; - din_ddr <= config_ddr; - if (din_ready) begin - din_valid <= 0; - state <= 6; - end - end - end - 6: begin - din_valid <= 1; - din_tag <= 0; - din_data <= addr[15:8]; - if (din_ready) begin - din_valid <= 0; - state <= 7; - end - end - 7: begin - din_valid <= 1; - din_tag <= 0; - din_data <= addr[7:0]; - if (din_ready) begin - din_valid <= 0; - din_data <= 0; - state <= config_qspi || config_ddr ? 8 : 9; - end - end - 8: begin - din_valid <= 1; - din_tag <= 0; - din_data <= config_cont ? 8'h A5 : 8'h FF; - if (din_ready) begin - din_rd <= 1; - din_data <= config_dummy; - din_valid <= 0; - state <= 9; - end - end - 9: begin - din_valid <= 1; - din_tag <= 1; - if (din_ready) begin - din_valid <= 0; - state <= 10; - end - end - 10: begin - din_valid <= 1; - din_data <= 8'h 00; - din_tag <= 2; - if (din_ready) begin - din_valid <= 0; - state <= 11; - end - end - 11: begin - din_valid <= 1; - din_tag <= 3; - if (din_ready) begin - din_valid <= 0; - state <= 12; - end - end - 12: begin - if (!rd_wait || valid) begin - din_valid <= 1; - din_tag <= 4; - if (din_ready) begin - din_valid <= 0; - state <= 9; - end - end - end - endcase - - if (jump) begin - rd_inc <= 0; - rd_valid <= 0; - xfer_resetn <= 0; - if (config_cont) begin - state <= 5; - end else begin - state <= 4; - din_qspi <= 0; - din_ddr <= 0; - end - din_rd <= 0; - end - end - end -endmodule - -module spimemio_xfer ( - input clk, resetn, - - input din_valid, - output din_ready, - input [7:0] din_data, - input [3:0] din_tag, - input din_cont, - input din_dspi, - input din_qspi, - input din_ddr, - input din_rd, - - output dout_valid, - output [7:0] dout_data, - output [3:0] dout_tag, - - output reg flash_csb, - output reg flash_clk, - - output reg flash_io0_oe, - output reg flash_io1_oe, - output reg flash_io2_oe, - output reg flash_io3_oe, - - output reg flash_io0_do, - output reg flash_io1_do, - output reg flash_io2_do, - output reg flash_io3_do, - - input flash_io0_di, - input flash_io1_di, - input flash_io2_di, - input flash_io3_di -); - reg [7:0] obuffer; - reg [7:0] ibuffer; - - reg [3:0] count; - reg [3:0] dummy_count; - - reg xfer_cont; - reg xfer_dspi; - reg xfer_qspi; - reg xfer_ddr; - reg xfer_ddr_q; - reg xfer_rd; - reg [3:0] xfer_tag; - reg [3:0] xfer_tag_q; - - reg [7:0] next_obuffer; - reg [7:0] next_ibuffer; - reg [3:0] next_count; - - reg fetch; - reg next_fetch; - reg last_fetch; - - always @(posedge clk) begin - xfer_ddr_q <= xfer_ddr; - xfer_tag_q <= xfer_tag; - end - - assign din_ready = din_valid && resetn && next_fetch; - - assign dout_valid = (xfer_ddr_q ? fetch && !last_fetch : next_fetch && !fetch) && resetn; - assign dout_data = ibuffer; - assign dout_tag = xfer_tag_q; - - always @* begin - flash_io0_oe = 0; - flash_io1_oe = 0; - flash_io2_oe = 0; - flash_io3_oe = 0; - - flash_io0_do = 0; - flash_io1_do = 0; - flash_io2_do = 0; - flash_io3_do = 0; - - next_obuffer = obuffer; - next_ibuffer = ibuffer; - next_count = count; - next_fetch = 0; - - if (dummy_count == 0) begin - casez ({xfer_ddr, xfer_qspi, xfer_dspi}) - 3'b 000: begin - flash_io0_oe = 1; - flash_io0_do = obuffer[7]; - - if (flash_clk) begin - next_obuffer = {obuffer[6:0], 1'b 0}; - next_count = count - |count; - end else begin - next_ibuffer = {ibuffer[6:0], flash_io1_di}; - end - - next_fetch = (next_count == 0); - end - 3'b 01?: begin - flash_io0_oe = !xfer_rd; - flash_io1_oe = !xfer_rd; - flash_io2_oe = !xfer_rd; - flash_io3_oe = !xfer_rd; - - flash_io0_do = obuffer[4]; - flash_io1_do = obuffer[5]; - flash_io2_do = obuffer[6]; - flash_io3_do = obuffer[7]; - - if (flash_clk) begin - next_obuffer = {obuffer[3:0], 4'b 0000}; - next_count = count - {|count, 2'b00}; - end else begin - next_ibuffer = {ibuffer[3:0], flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}; - end - - next_fetch = (next_count == 0); - end - 3'b 11?: begin - flash_io0_oe = !xfer_rd; - flash_io1_oe = !xfer_rd; - flash_io2_oe = !xfer_rd; - flash_io3_oe = !xfer_rd; - - flash_io0_do = obuffer[4]; - flash_io1_do = obuffer[5]; - flash_io2_do = obuffer[6]; - flash_io3_do = obuffer[7]; - - next_obuffer = {obuffer[3:0], 4'b 0000}; - next_ibuffer = {ibuffer[3:0], flash_io3_di, flash_io2_di, flash_io1_di, flash_io0_di}; - next_count = count - {|count, 2'b00}; - - next_fetch = (next_count == 0); - end - 3'b ??1: begin - flash_io0_oe = !xfer_rd; - flash_io1_oe = !xfer_rd; - - flash_io0_do = obuffer[6]; - flash_io1_do = obuffer[7]; - - if (flash_clk) begin - next_obuffer = {obuffer[5:0], 2'b 00}; - next_count = count - {|count, 1'b0}; - end else begin - next_ibuffer = {ibuffer[5:0], flash_io1_di, flash_io0_di}; - end - - next_fetch = (next_count == 0); - end - endcase - end - end - - always @(posedge clk) begin - if (!resetn) begin - fetch <= 1; - last_fetch <= 1; - flash_csb <= 1; - flash_clk <= 0; - count <= 0; - dummy_count <= 0; - xfer_tag <= 0; - xfer_cont <= 0; - xfer_dspi <= 0; - xfer_qspi <= 0; - xfer_ddr <= 0; - xfer_rd <= 0; - end else begin - fetch <= next_fetch; - last_fetch <= xfer_ddr ? fetch : 1; - if (dummy_count) begin - flash_clk <= !flash_clk && !flash_csb; - dummy_count <= dummy_count - flash_clk; - end else - if (count) begin - flash_clk <= !flash_clk && !flash_csb; - obuffer <= next_obuffer; - ibuffer <= next_ibuffer; - count <= next_count; - end - if (din_valid && din_ready) begin - flash_csb <= 0; - flash_clk <= 0; - - count <= 8; - dummy_count <= din_rd ? din_data : 0; - obuffer <= din_data; - - xfer_tag <= din_tag; - xfer_cont <= din_cont; - xfer_dspi <= din_dspi; - xfer_qspi <= din_qspi; - xfer_ddr <= din_ddr; - xfer_rd <= din_rd; - end - end - end -endmodule diff --git a/ice40up5k/picosoc_gpio/start.S b/ice40up5k/picosoc_gpio/start.S deleted file mode 100644 index 05dd6a4..0000000 --- a/ice40up5k/picosoc_gpio/start.S +++ /dev/null @@ -1,202 +0,0 @@ -// This is free and unencumbered software released into the public domain. -// -// Anyone is free to copy, modify, publish, use, compile, sell, or -// distribute this software, either in source code form or as a compiled -// binary, for any purpose, commercial or non-commercial, and by any -// means. - - -#include "custom_ops.S" - -.section .text -.global init - -reset_vec: - // no more than 16 bytes here ! - picorv32_waitirq_insn(zero) - picorv32_maskirq_insn(zero, zero) - j start - -.balign 16 -irq_vec: - - picorv32_setq_insn(q2, x1) // q2 = ra - picorv32_setq_insn(q3, sp) // q3 = stack pointer - - // x2 is the stack pointer - // stack normally is consumed from top downwards, but - // irq handler uses stack right at bottom of stack range - // (leaving top of stack for normal programs).. - - addi sp, zero, 0 - - picorv32_getq_insn(x1, q0) - sw x1, 0*4(sp) // Q0 = return address from IRQ vector - - picorv32_getq_insn(x1, q2) - sw x1, 1*4(sp) // Q2 = X1 = RA - - picorv32_getq_insn(x1, q3) - sw x1, 2*4(sp) // Q3 = SP - - sw x3, 3*4(sp) - sw x4, 4*4(sp) - sw x5, 5*4(sp) - sw x6, 6*4(sp) - sw x7, 7*4(sp) - sw x8, 8*4(sp) - sw x9, 9*4(sp) - sw x10, 10*4(sp) - sw x11, 11*4(sp) - sw x12, 12*4(sp) - sw x13, 13*4(sp) - sw x14, 14*4(sp) - sw x15, 15*4(sp) - sw x16, 16*4(sp) - sw x17, 17*4(sp) - sw x18, 18*4(sp) - sw x19, 19*4(sp) - sw x20, 20*4(sp) - sw x21, 21*4(sp) - sw x22, 22*4(sp) - sw x23, 23*4(sp) - sw x24, 24*4(sp) - sw x25, 25*4(sp) - sw x26, 26*4(sp) - sw x27, 27*4(sp) - sw x28, 28*4(sp) - sw x29, 29*4(sp) - sw x30, 30*4(sp) - sw x31, 31*4(sp) - - /* call interrupt handler C function */ - - // arg1 = pointer to stored registers - mv x11, sp - - // arg0 = interrupt type bitmask - picorv32_getq_insn(x10, q1) // Q1 contains bitmask of interrupts that were triggered - - // make some room on the stack for the interrupt handler - addi sp, sp, 384 // 128 bytes for register file, 256 bytes for interrupt handler stack - - // jump to irq handler - jal ra, irq_handler - -cleanup: - - //addi sp, sp, -384 - addi sp, zero, 0 - - /* restore registers */ - lw x1, 0*4(sp) - picorv32_setq_insn(q0, x1) // Q0 - - lw x1, 1*4(sp) - picorv32_setq_insn(q3, x1) // RA - - lw x1, 2*4(sp) - picorv32_setq_insn(q2, x1) // SP - - // the following was essential or interrupts hang - picorv32_getq_insn(x1, q2) - picorv32_getq_insn(x2, q3) - - lw x3, 3*4(sp) - lw x4, 4*4(sp) - lw x5, 5*4(sp) - lw x6, 6*4(sp) - lw x7, 7*4(sp) - lw x8, 8*4(sp) - lw x9, 9*4(sp) - lw x10, 10*4(sp) - lw x11, 11*4(sp) - lw x12, 12*4(sp) - lw x13, 13*4(sp) - lw x14, 14*4(sp) - lw x15, 15*4(sp) - lw x16, 16*4(sp) - lw x17, 17*4(sp) - lw x18, 18*4(sp) - lw x19, 19*4(sp) - lw x20, 20*4(sp) - lw x21, 21*4(sp) - lw x22, 22*4(sp) - lw x23, 23*4(sp) - lw x24, 24*4(sp) - lw x25, 25*4(sp) - lw x26, 26*4(sp) - lw x27, 27*4(sp) - lw x28, 28*4(sp) - lw x29, 29*4(sp) - lw x30, 30*4(sp) - lw x31, 31*4(sp) - - picorv32_retirq_insn() - -/* Main program - **********************************/ - -start: - # zero initialize entire scratchpad memory - li a0, 0x00000000 - li a1, 0x00001000 -setmemloop: - sw a0, 0(a0) - addi a0, a0, 4 - blt a0, a1, setmemloop - - # copy data section - la a0, _sidata - la a1, _sdata - la a2, _edata - bge a1, a2, end_init_data -loop_init_data: - lw a3, 0(a0) - sw a3, 0(a1) - addi a0, a0, 4 - addi a1, a1, 4 - blt a1, a2, loop_init_data -end_init_data: - - # zero-initialize register file - addi x1, zero, 0 - # x2 (sp) is initialized by reset - addi x3, zero, 0 - addi x4, zero, 0 - addi x5, zero, 0 - addi x6, zero, 0 - addi x7, zero, 0 - addi x8, zero, 0 - addi x9, zero, 0 - addi x10, zero, 0 - addi x11, zero, 0 - addi x12, zero, 0 - addi x13, zero, 0 - addi x14, zero, 0 - addi x15, zero, 0 - addi x16, zero, 0 - addi x17, zero, 0 - addi x18, zero, 0 - addi x19, zero, 0 - addi x20, zero, 0 - addi x21, zero, 0 - addi x22, zero, 0 - addi x23, zero, 0 - addi x24, zero, 0 - addi x25, zero, 0 - addi x26, zero, 0 - addi x27, zero, 0 - addi x28, zero, 0 - addi x29, zero, 0 - addi x30, zero, 0 - addi x31, zero, 0 - - lui sp, %hi(0x400); - addi sp, sp, %lo(0x400); - # call main - call main -loop: - j loop - -.balign 4 diff --git a/ice40up5k/picosoc_gpio/start.s b/ice40up5k/picosoc_gpio/start.s deleted file mode 100644 index cd53d1a..0000000 --- a/ice40up5k/picosoc_gpio/start.s +++ /dev/null @@ -1,203 +0,0 @@ -# 1 "start.S" -# 1 "" -# 1 "" -# 31 "" -# 1 "/usr/include/stdc-predef.h" 1 3 4 -# 32 "" 2 -# 1 "start.S" -# 9 "start.S" -# 1 "custom_ops.S" 1 -# 10 "start.S" 2 - -.section .text -.global init - -reset_vec: - - .word (((0b0000100) << 25) | ((0) << 20) | ((0) << 15) | ((0b100) << 12) | ((0) << 7) | ((0b0001011) << 0)) - .word (((0b0000011) << 25) | ((0) << 20) | ((0) << 15) | ((0b110) << 12) | ((0) << 7) | ((0b0001011) << 0)) - j start - -.balign 16 -irq_vec: - - .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((2) << 7) | ((0b0001011) << 0)) - .word (((0b0000001) << 25) | ((0) << 20) | ((2) << 15) | ((0b010) << 12) | ((3) << 7) | ((0b0001011) << 0)) - - - - - - - addi sp, zero, 0 - - .word (((0b0000000) << 25) | ((0) << 20) | ((0) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) - sw x1, 0*4(sp) - - .word (((0b0000000) << 25) | ((0) << 20) | ((2) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) - sw x1, 1*4(sp) - - .word (((0b0000000) << 25) | ((0) << 20) | ((3) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) - sw x1, 2*4(sp) - - sw x3, 3*4(sp) - sw x4, 4*4(sp) - sw x5, 5*4(sp) - sw x6, 6*4(sp) - sw x7, 7*4(sp) - sw x8, 8*4(sp) - sw x9, 9*4(sp) - sw x10, 10*4(sp) - sw x11, 11*4(sp) - sw x12, 12*4(sp) - sw x13, 13*4(sp) - sw x14, 14*4(sp) - sw x15, 15*4(sp) - sw x16, 16*4(sp) - sw x17, 17*4(sp) - sw x18, 18*4(sp) - sw x19, 19*4(sp) - sw x20, 20*4(sp) - sw x21, 21*4(sp) - sw x22, 22*4(sp) - sw x23, 23*4(sp) - sw x24, 24*4(sp) - sw x25, 25*4(sp) - sw x26, 26*4(sp) - sw x27, 27*4(sp) - sw x28, 28*4(sp) - sw x29, 29*4(sp) - sw x30, 30*4(sp) - sw x31, 31*4(sp) - - - - - mv x11, sp - - - .word (((0b0000000) << 25) | ((0) << 20) | ((1) << 15) | ((0b100) << 12) | ((10) << 7) | ((0b0001011) << 0)) - - - addi sp, sp, 384 - - - jal ra, irq_handler - -cleanup: - - - addi sp, zero, 0 - - - lw x1, 0*4(sp) - .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((0) << 7) | ((0b0001011) << 0)) - - lw x1, 1*4(sp) - .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((3) << 7) | ((0b0001011) << 0)) - - lw x1, 2*4(sp) - .word (((0b0000001) << 25) | ((0) << 20) | ((1) << 15) | ((0b010) << 12) | ((2) << 7) | ((0b0001011) << 0)) - - - .word (((0b0000000) << 25) | ((0) << 20) | ((2) << 15) | ((0b100) << 12) | ((1) << 7) | ((0b0001011) << 0)) - .word (((0b0000000) << 25) | ((0) << 20) | ((3) << 15) | ((0b100) << 12) | ((2) << 7) | ((0b0001011) << 0)) - - lw x3, 3*4(sp) - lw x4, 4*4(sp) - lw x5, 5*4(sp) - lw x6, 6*4(sp) - lw x7, 7*4(sp) - lw x8, 8*4(sp) - lw x9, 9*4(sp) - lw x10, 10*4(sp) - lw x11, 11*4(sp) - lw x12, 12*4(sp) - lw x13, 13*4(sp) - lw x14, 14*4(sp) - lw x15, 15*4(sp) - lw x16, 16*4(sp) - lw x17, 17*4(sp) - lw x18, 18*4(sp) - lw x19, 19*4(sp) - lw x20, 20*4(sp) - lw x21, 21*4(sp) - lw x22, 22*4(sp) - lw x23, 23*4(sp) - lw x24, 24*4(sp) - lw x25, 25*4(sp) - lw x26, 26*4(sp) - lw x27, 27*4(sp) - lw x28, 28*4(sp) - lw x29, 29*4(sp) - lw x30, 30*4(sp) - lw x31, 31*4(sp) - - .word (((0b0000010) << 25) | ((0) << 20) | ((0) << 15) | ((0b000) << 12) | ((0) << 7) | ((0b0001011) << 0)) - - - - -start: - # zero initialize entire scratchpad memory - li a0, 0x00000000 - li a1, 0x00001000 -setmemloop: - sw a0, 0(a0) - addi a0, a0, 4 - blt a0, a1, setmemloop - - # copy data section - la a0, _sidata - la a1, _sdata - la a2, _edata - bge a1, a2, end_init_data -loop_init_data: - lw a3, 0(a0) - sw a3, 0(a1) - addi a0, a0, 4 - addi a1, a1, 4 - blt a1, a2, loop_init_data -end_init_data: - - # zero-initialize register file - addi x1, zero, 0 - # x2 (sp) is initialized by reset - addi x3, zero, 0 - addi x4, zero, 0 - addi x5, zero, 0 - addi x6, zero, 0 - addi x7, zero, 0 - addi x8, zero, 0 - addi x9, zero, 0 - addi x10, zero, 0 - addi x11, zero, 0 - addi x12, zero, 0 - addi x13, zero, 0 - addi x14, zero, 0 - addi x15, zero, 0 - addi x16, zero, 0 - addi x17, zero, 0 - addi x18, zero, 0 - addi x19, zero, 0 - addi x20, zero, 0 - addi x21, zero, 0 - addi x22, zero, 0 - addi x23, zero, 0 - addi x24, zero, 0 - addi x25, zero, 0 - addi x26, zero, 0 - addi x27, zero, 0 - addi x28, zero, 0 - addi x29, zero, 0 - addi x30, zero, 0 - addi x31, zero, 0 - - lui sp, %hi(0x400); - addi sp, sp, %lo(0x400); - # call main - call main -loop: - j loop - -.balign 4 diff --git a/ice40up5k/spram_test/Makefile b/ice40up5k/spram_test/Makefile deleted file mode 100644 index d92e1d1..0000000 --- a/ice40up5k/spram_test/Makefile +++ /dev/null @@ -1,48 +0,0 @@ -PROJ = spram_test -PIN_DEF = spram_test.pcf -DEVICE = up5k - -ARACHNE = arachne-pnr -ARACHNE_ARGS = -ICEPACK = icepack -ICETIME = icetime -ICEPROG = iceprog - -all: $(PROJ).bin - -%.blif: top.v vga_640x480.v pll.v spram.v spram_io.v - yosys -p 'synth_ice40 -top top -blif $@' $^ - -%.asc: $(PIN_DEF) %.blif - $(ARACHNE) $(ARACHNE_ARGS) -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ - -%.bin: %.asc - $(ICEPACK) $< $@ - -%.rpt: %.asc - $(ICETIME) -d $(DEVICE) -mtr $@ $< - -prog: $(PROJ).bin - $(ICEPROG) -S $< - -sudo-prog: $(PROJ).bin - @echo 'Executing prog as root!!!' - sudo $(ICEPROG) $< - -sudo-prog-ram: $(PROJ).bin - @echo 'Executing prog as root!!!' - sudo $(ICEPROG) -S $< -sim: - iverilog -o tb.out -s tb testbench.v vga_640x480.v spram_sim.v spram_io.v - vvp tb.out - -sim-show: - gtkwave testbench.vcd - -clean: - rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin log.txt out.txt - -.SECONDARY: -.PHONY: all prog clean - -# make > out.txt 2>&1 \ No newline at end of file diff --git a/ice40up5k/spram_test/README.md b/ice40up5k/spram_test/README.md deleted file mode 100644 index 02b406c..0000000 --- a/ice40up5k/spram_test/README.md +++ /dev/null @@ -1,14 +0,0 @@ -# SPRAM test - -![spram test](spram_test.jpg) - - -This project demonstrates how to use the SPRAM blocks in the Lattice iCE40UP5k FPGA. - -There is 1024 kbits of SPRAM which is enough to support 2 x 320 x 240 x 4 bits of colour. - -The RAM is first filled with 4-bit colour indices. There is a lookup table from the 4-bit index to a 12-bit RGB value. - -The RGB values are sent to a VGA module for display. - -The output hsync and vsync signals are delayed by a few clock cycles to give a chance for the SPRAM values to be read. diff --git a/ice40up5k/spram_test/pll.v b/ice40up5k/spram_test/pll.v deleted file mode 100644 index 8541a7f..0000000 --- a/ice40up5k/spram_test/pll.v +++ /dev/null @@ -1,33 +0,0 @@ -/** - * PLL configuration - * - * This Verilog module was generated automatically - * using the icepll tool from the IceStorm project. - * Use at your own risk. - * - * Given input frequency: 12.000 MHz - * Requested output frequency: 25.000 MHz - * Achieved output frequency: 25.125 MHz - */ - -module pll( - input clock_in, - output clock_out, - output locked - ); - -SB_PLL40_PAD #( - .FEEDBACK_PATH("SIMPLE"), - .DIVR(4'b0000), // DIVR = 0 - .DIVF(7'b1000010), // DIVF = 66 - .DIVQ(3'b101), // DIVQ = 5 - .FILTER_RANGE(3'b001) // FILTER_RANGE = 1 - ) uut ( - .LOCK(locked), - .RESETB(1'b1), - .BYPASS(1'b0), - .PACKAGEPIN(clock_in), - .PLLOUTCORE(clock_out) - ); - -endmodule diff --git a/ice40up5k/spram_test/saved.gtkw b/ice40up5k/spram_test/saved.gtkw deleted file mode 100644 index fd41e37..0000000 --- a/ice40up5k/spram_test/saved.gtkw +++ /dev/null @@ -1,53 +0,0 @@ -[*] -[*] GTKWave Analyzer v3.3.86 (w)1999-2017 BSI -[*] Sat Jun 13 16:00:06 2020 -[*] -[dumpfile] "/home/mahesh/projects/fp-private/projects/spram_test/testbench.vcd" -[dumpfile_mtime] "Sat Jun 13 15:58:32 2020" -[dumpfile_size] 993714 -[savefile] "/home/mahesh/projects/fp-private/projects/spram_test/saved.gtkw" -[timestart] 89 -[size] 1280 755 -[pos] -1 -1 -*-2.000000 93 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -[treeopen] tb. -[treeopen] tb.sprio. -[sst_width] 213 -[signals_width] 239 -[sst_expanded] 1 -[sst_vpaned_height] 209 -@24 -tb.clk -tb.resetn -tb.sprio.data_ready -tb.hpos[9:0] -tb.vpos[9:0] -tb.sprio.cin[3:0] -tb.sprio.cindex[3:0] -tb.sprio.state[2:0] -@22 -tb.sprio.addr[16:0] -tb.sprio.data_in[15:0] -tb.sprio.data_out[15:0] -@24 -tb.sprio.index[16:0] -tb.sprio.pixel_index[16:0] -@22 -tb.sprio.spr.\mem[0][15:0] -tb.sprio.spr.\mem[1][15:0] -tb.sprio.spr.\mem[2][15:0] -tb.sprio.spr.\mem[3][15:0] -tb.sprio.spr.\mem[4][15:0] -tb.sprio.spr.\mem[5][15:0] -tb.sprio.spr.\mem[6][15:0] -tb.sprio.spr.\mem[7][15:0] -tb.sprio.spr.\mem[8][15:0] -tb.sprio.spr.\mem[9][15:0] -tb.sprio.spr.\mem[10][15:0] -tb.sprio.spr.\mem[11][15:0] -tb.sprio.spr.\mem[12][15:0] -tb.sprio.spr.\mem[13][15:0] -tb.sprio.spr.\mem[14][15:0] -tb.sprio.spr.\mem[15][15:0] -[pattern_trace] 1 -[pattern_trace] 0 diff --git a/ice40up5k/spram_test/spram.v b/ice40up5k/spram_test/spram.v deleted file mode 100644 index 8fff321..0000000 --- a/ice40up5k/spram_test/spram.v +++ /dev/null @@ -1,116 +0,0 @@ -/* - spram.v - - Access the 1024Kbit SPRAM on the ice40up5k. - -*/ - -// Never forget this! -`default_nettype none - -module spram( - input clk, - input resetn, - - input [16:0] addr, // 17 bit address - 256K bits x 4 - input wren, // write enable - input [15:0] data_in, // 16 bit data input - output [15:0] data_out // 16 bit data output -); - -wire cs = 1'b1; -wire standby = 1'b0; -wire sleep = 1'b0; -wire pwroff = 1'b1; - -wire [15:0] data_out0; -wire [15:0] data_out1; -wire [15:0] data_out2; -wire [15:0] data_out3; - -// set mask based on high bits of address -wire [3:0] mask = 4'b1111; // this can be replaced by an input - -// The memory is used up in the following order -// spram0 - {1'b0, 1b'0, 1'b0, addr[13:0]} -// spram1 - {1'b0, 1b'0, 1'b1, addr[13:0]} -// spram2 - {1'b0, 1b'1, 1'b0, addr[13:0]} -// spram3 - {1'b1, 1b'1, 1'b1, addr[13:0]} - -// so the write enable needs to only look at addr[15] and addr[14] -wire [3:0] wren_spram; -assign wren_spram[0] = ~addr[15] & ~addr[14] & wren; -assign wren_spram[1] = ~addr[15] & addr[14] & wren; -assign wren_spram[2] = addr[15] & ~addr[14] & wren; -assign wren_spram[3] = addr[15] & addr[14] & wren; - -// data output is selected based on same memory order selection as above -reg [15:0] r_data_out; -assign data_out = r_data_out; -always @ (*) begin - case (addr[15:14]) - 2'b00: r_data_out = data_out0; - - 2'b01: r_data_out = data_out1; - - 2'b10: r_data_out = data_out2; - - 2'b11: r_data_out = data_out3; - - default: r_data_out = data_out0; - endcase -end - -SB_SPRAM256KA spram0 ( - .DATAIN(data_in), - .ADDRESS(addr[13:0]), - .MASKWREN(mask), - .WREN(wren), - .CHIPSELECT(cs), - .CLOCK(clk), - .STANDBY(standby), - .SLEEP(sleep), - .POWEROFF(pwroff), - .DATAOUT(data_out0) -); - -SB_SPRAM256KA spram1 ( - .DATAIN(data_in), - .ADDRESS(addr[13:0]), - .MASKWREN(mask), - .WREN(wren), - .CHIPSELECT(cs), - .CLOCK(clk), - .STANDBY(standby), - .SLEEP(sleep), - .POWEROFF(pwroff), - .DATAOUT(data_out1) -); - -SB_SPRAM256KA spram2 ( - .DATAIN(data_in), - .ADDRESS(addr[13:0]), - .MASKWREN(mask), - .WREN(wren), - .CHIPSELECT(cs), - .CLOCK(clk), - .STANDBY(standby), - .SLEEP(sleep), - .POWEROFF(pwroff), - .DATAOUT(data_out2) -); - -SB_SPRAM256KA spram3 ( - .DATAIN(data_in), - .ADDRESS(addr[13:0]), - .MASKWREN(mask), - .WREN(wren), - .CHIPSELECT(cs), - .CLOCK(clk), - .STANDBY(standby), - .SLEEP(sleep), - .POWEROFF(pwroff), - .DATAOUT(data_out3) -); - -endmodule \ No newline at end of file diff --git a/ice40up5k/spram_test/spram_io.v b/ice40up5k/spram_test/spram_io.v deleted file mode 100644 index d46c19c..0000000 --- a/ice40up5k/spram_test/spram_io.v +++ /dev/null @@ -1,206 +0,0 @@ -/* - spram_io.v - - read/write module for spram - -*/ - -// Never forget this! -`default_nettype none - -module spram_io( - input clk, - input resetn, - - input [9:0] hpos, // [0, 639] - input [9:0] vpos, // [0, 479] - - output [3:0] r, - output [3:0] g, - output [3:0] b, - - output data_ready // high when ram is ready to be read -); - -reg [16:0] addr; // 17 bit address - 256K bits x 4 -wire [16:0] w_addr = addr; -reg wren; // write enable -wire w_wren = wren; -reg [15:0] data_in; // 16 bit data input -wire [15:0] w_data_in = data_in; -wire [15:0] data_out; // 16 bit data output - -`ifdef __ICARUS__ -spram_sim spr ( - .clk(clk), - .resetn(resetn), - .addr(w_addr), - .wren(w_wren), - .data_in(w_data_in), - .w_data_out(data_out) -); -`else -// instantiate spram -spram spr ( - .clk(clk), - .resetn(resetn), - .addr(w_addr), - .wren(w_wren), - .data_in(w_data_in), - .data_out(data_out) -); -`endif - -// 4 bit colour map -wire [3:0] cin; // 4 bit index -reg [11:0] cout; // 12 bit RGB 444 output - -always @ (*) begin - - case (cin) - - 0: cout = {4'b1111, 4'b0000, 4'b0000}; // red - 1: cout = {4'b0000, 4'b1111, 4'b0000}; // green - 2: cout = {4'b0000, 4'b0000, 4'b1111}; // blue - 3: cout = {4'b1111, 4'b1111, 4'b1111}; // white - 4: cout = {4'b0000, 4'b0000, 4'b0000}; // black - 5: cout = {4'b1000, 4'b1000, 4'b1000}; // gray - 6: cout = {4'b1111, 4'b0000, 4'b1111}; // violet - 7: cout = {4'b1111, 4'b1111, 4'b0000}; // yellow - 8: cout = {4'b0000, 4'b1111, 4'b1111}; // cyan - 9: cout = {4'b1100, 4'b1100, 4'b1100}; // silver - 10: cout = {4'b1000, 4'b0000, 4'b0000}; // maroon - 11: cout = {4'b1000, 4'b1000, 4'b0000}; // olive - 12: cout = {4'b0000, 4'b1000, 4'b0000}; // green 2 - 13: cout = {4'b0000, 4'b1000, 4'b1000}; // teal - 14: cout = {4'b0000, 4'b0000, 4'b1000}; // navy - 15: cout = {4'b0111, 4'b0000, 4'b0111}; // purple - - default: cout = {4'b0000, 4'b1100, 4'b0000}; - endcase - -end - -parameter sSTART = 3'd0; -parameter sLOAD = 3'd1; -parameter sWAIT = 3'd2; -parameter sREADY = 3'd3; -reg [2:0] state; - -// high when loading is done -assign data_ready = (state == sREADY) ? 1'b1 : 1'b0; - -// get data index - frame is 320x240 -// (data) index = (pixel_index*4) / 16 = pixel_index >> 2 -wire [16:0] pixel_index = vpos*320 + hpos; -wire [16:0] index = pixel_index >> 2; - -// extract 4-bit value colour from stored 16-bit data -assign cin = data_out[4*pixel_index[1:0] +: 4]; - -// set colour value -assign {r, g, b} = {cout[11:8], cout[7:4], cout[3:0]}; - -// color index -reg [3:0] cindex; - -`ifdef __ICARUS__ -parameter ADDR_MAX = 17'd32; // (320*240*4)/16 -`else -parameter ADDR_MAX = 17'd19200; // (320*240*4)/16 -`endif - -// no. of clock cycles to wait after write -reg [10:0] nwait; - -always @ (posedge clk) begin - - if (!resetn) begin - - // set to write - wren <= 1'b1; - - cindex <= 0; - - // initial state - state <= sSTART; - - // init address - addr <= 17'd0; - - // data in - data_in <= 16'd0; - - nwait <= 0; - - cindex <= 0; - - end - else begin - - case (state) - - sSTART: begin - - // set to write mode - wren <= 1'b1; - - // switch to ready state - state <= sLOAD; - - end - - sLOAD: begin - - // done loading - if (addr == (ADDR_MAX-1)) begin - - // set to read mode - wren <= 1'b0; - - // set addr - addr <= index; - - // switch to ready state - state <= sWAIT; - end - else begin - // fill with colour indices - addr <= addr + 17'd1; - - data_in <= {4{cindex + 4'd1}}; - - cindex <= cindex + 4'd1; - end - - end - - sWAIT: begin - - // wait for n cycles - // then switch to next state - if (nwait == 7) begin - // next state - state <= sREADY; - end - else begin - // count - nwait <= nwait + 1; - end - end - - sREADY: begin - - // set read address - addr <= index; - end - - default: - state <= sLOAD; - - endcase - - end -end - -endmodule diff --git a/ice40up5k/spram_test/spram_sim.v b/ice40up5k/spram_test/spram_sim.v deleted file mode 100644 index 95b4f47..0000000 --- a/ice40up5k/spram_test/spram_sim.v +++ /dev/null @@ -1,53 +0,0 @@ -/* - spram_sim.v - - Simulate the 1024Kbit SPRAM on the ice40up5k. - -*/ - -// Never forget this! -`default_nettype none - -module spram_sim( - input clk, - input resetn, - - input [16:0] addr, // 17 bit address - 256K bits x 4 - input wren, // write enable - input [15:0] data_in, // 16 bit data input - output [15:0] w_data_out // 16 bit data output -); - - -// simulated memory just has 16 x 16-bit words -// will use LSB 4 bits of addr to read/write -reg [15:0] mem [0:15]; - -reg [15:0] data_out; -assign w_data_out = data_out; - -integer ii; -initial begin - - for (ii = 0; ii < 16; ii = ii + 1) begin - mem[ii] = 16'd10; - end - -end - -always @ (posedge clk) begin - - if (!resetn) begin - data_out <= 16'd0; - end - else begin - if (wren) begin - mem[addr[3:0]] <= data_in; - end - // set output - data_out <= mem[addr[3:0]]; - end -end - - -endmodule \ No newline at end of file diff --git a/ice40up5k/spram_test/spram_test.jpg b/ice40up5k/spram_test/spram_test.jpg deleted file mode 100644 index b04900c167adf881e5b752acc545b575826a85b7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 468185 zcmbSy2UrtZ*X{&V1W^Q36onuNNbkMmDAGhk1VnlV5d^8yb1aC0f^?A*8zNF7O*$yO z2oVq>q97fNw9t}}OzsYPzVG}0=fC&4_YRJ;vS*jo-nG_DcIaQ|KcM|*^$hhO1UMuS zk`P26m%pbQ;^_`ShKAzMZU}m9|&~P`45_liJ8#^PA14RX2{2T1}(7`*&*)i*T0ARsR-Co3(d3RaetmsOWlR+m!} zkdswcQczb?f`p+bw|?{g94wgqhkgTS!F&JaU-S-}5tc$@KKM&S%*HKY!?OpnIO@|JD!U1y|J7kJ489S3a@KwFB zp^yJ+Wd%8PMTP&dO+r>lT|pLt{`<9%9|3iP2}Oq>NH+?Cihea#JueiSAbTW!36*GVKDs< z{T2xP5B&=C{)a{Y2r}RXtjF91pva&Z-Z23{^-mumXy3nR;DG<45ddue^kIj1e$z}B z1R&_hKkb0#-Bu}3H!~4J{?iUt5!h}Q2JIwZB*wZxt{r@k&~_id!wz8pp+EEQkB3D5 zp#iU=|LQLVN&GX<4ka)Lb;d8iFiVM;-?LWNK} z^Z`VbLZ}j|fto=NEc6Zf0bOdxj<#r7e*zS^*aU5DgMTXhLexO~@W<0qZ;fy0(OJ zfxfOl$&fx20-+&gNCk=pP9q5n`1?;BXju%h~RC=mfBjAUJ>jiGW_)=>_-%69X}fs2k|`H;AbK%?QX(O;*+i zQqfUV)lohrC#5H+q$j0#N=;cxO-)ZlN?GTOimaZTo~(kR9vF2w0fMei1A-d_=+nR| z*CFVjv68Zmf|{J7f|Q=TlAM&HimaN{DMdwDsne%*XzRT*0dU^?;yOQ+8;U&hoFA#1M%Q13{?XzW%rTDB$em;w9i35!(@t3E&$6fXj%s=fTMY<^&-KdJ3XHBR@0Rb-}sg zPkR+;XXLJb6ufKu69jz%?d*U08-f0N|FnMr?fd_t91G4A9t6Ewf;-&bWN z8M$qjMEuTrj82F@^lQ+c3BkOr16!bKWjma4p_w1T2MV-f@_D=YgR_QQMk9+p3T?6~~@ z^Fe80akzzI~mpDzrX|p{f$jlwmqOj1+XiEnVD$^^Uj?+ zfJqRM;6Aj2b0^nvxl_BiO`TW-{SL_AOnkOm=yb`4gBSajPbfJ1-(qDu#B=xvuds;d zNilInC1n*=HFcdcx_bHshG)&pEiPGJwz76{b#wQ);(0Y7Fevy&NNCvYJJEMz?%j_~ zN=`{lOMjg42$p=;;g^SAvo&EdR4xLaO7hYkAwk_HJonW{Aza;yYVE>luE5yzW zaLmcf38A2v3-#{?7L0p7cOR1&Od#@C+!|H-6hQn|wMl&PIzkUkN*`v=Ztyx5zJOKj z#F?2Meiaiil-$lMm>7p=9#yzBu`(MIGuq%p*m{LMa)Rq;%lc?7O^# z2xYlUstcS^NxA@QE|19Y-VK?bt|VFB_faLB<%IHC)*FW+iFn_6PB_N6w9>8 zH{*say$bN)-T;l;HI^td{TkjJ{P+^rm0+{FboK9lU%H^0{$&2y%I2MC?owE5WJ>%| zrPVXeR#WjOJ4YAFEwUeE=pp5^c;}*T?Do%n)?>DpH+ja_Y-AmSB)NywRRx8Nlr&+M zivwUT?B3hG&+}ktY~0?R=WA+u9#i$y=lI7368s4=v1`mX`Cmir7aq3HTj1`O>zY0^ zw%vMxjt+&#oODjEAyeHaVrwAXE{kKBy++<{T}$%qXZvxQ(cQ79SV#x z|8bwzO3nXLkn;@K{?Z*2-Yg`RWEK;vC?E3q2el{E?+osnLsw>rO5`B*wck1Ephix$ zfx^xO1@xR!ljq-jXW7@j(F`3G_VeHQb!=QI(&wVu)i_8o(IF4!2Y#E;7LCX`_y8Tkl2F;!_};O&N{5Yo zNXq`A>tlZ1qq=NAzwYN0=vKb| z;>qwbU?SFM5^k^|OF5H%(4m&e)BFpzs_9!F^+}kicpN#$7=44rrtTGm>xE$p+`I=Y8YPZgBg2YL zQS2%to|%vG2_U^<@-!nQ;;RP~aU{d_ z%XFx0L9^VnLNoQfMmW2l-TXWd*0$A;dx}(MO+nD1_}FFVu|a$R9qNDV7}PT1OY;s; zr$yi4)zG{YJO?{J>^{trzeFJ*z_TN@^)JKCd}UFIBp10*#~0X*xgPQuGhe|`PDG6kw(%*PQ|~Rt3=d@r zp#;f$?oinM?4wbXwf8VP9a0}R0On|`3J-mtPVvEF;?S4k8rS`0_pP?5(7gS>F63sZ zpPwrd(3h9_SOTFn%^TQC%4)KA4i<7VEx@I+V@;8|DlPiFXA`Vg*69#i#gF4~;t@J@ zjSj7G+c&tpvNJ9MDd2>jEgcH5Yn;YR1@iOvtz{HP*v-tR`AgO0CGqamcIcu*H{#WU z-|#AD=HkZpuuhd!BstrJ*ZoyOzBe_5yG!C%M!O-C>Mt#SHW=ghHRAj6NHrb0SrIf~ zL{P3APJojhmga>$n!&pir8n~F$Smp?!TL$cXDW2`HmV?rH{}2O>HQKq-*^etK^K=NB4cd~}tyuN-sKLe4 zKMt8gcv&u~0wR0jyq)E}#wi}2I?SYP&UH)vREo0x*{uA5nb;`8{G6YY>o>l!OTHmn z*&^R2VH-O1>s6JV417A61aGbt6WU|c&#=Im3A7#fJ-KwK<2=V^V{U}Q7mu2&CL<3Tij9Ip5TfJZc2 zE2KlX!p5#@ngpz44}#SOx=2&y!(BSWL>-Pd-9Q!RJ^kvkb*MQ{XN+LmP=br+KoJ)u z^2%`=N(uHr?~Eq&!na_oAbQ7t#wRUyDG&Isbj)Hr9Xk7d8AXjQMvs{g>~;a=2-q}m zd`I?IFEInPThBK3VutF&05@U@`8g(3Ly8d{GSm`nMiEh7+Cw1J_`%zu#)PssMmZSF zf25r%S~G;29Yj4U*7?v#6z`-%b|d7WasVMC>V9gX6{_82DlA8}f&dpgdQ69Mn#oEU<3GqRwUbrgTN1KKPT@OnA3$i`y*xc;t(Ao6mWdTqh)&hcZEGgVt}=)4#yMf zJ@2CNom3nNk87rV<;Ud5JAl^{95`ycS4#%m88>Q5eQ3AL2Cr~znNZ(g?^g{xL#};B z3t3Wk$ePn3ps}v{hyhr44WCR+JiJ$SkPbBqJzs`*Wlw_KdnKXM`gMqpKL7p!XUq5Q z-U+0m7T@>2BZas1hiPJ-SC}r(QsdOmZb*TsUJ+CzXVQVK-=38-O`%sZjj2Q+w6 z78P6TaP(c^y%0K-MxZ|QQ}?Cb0d+t)#j-i_Zun*2$>zZ*k3u_8nj}P{ejVq4qc24m zRFN730ItCd&)Bem3NGW|(;r;hN6CCce^Erwoi1+zK>M_gfm5zuq(h4>gHSQg57z zG^3)ZiJjlk?LAAM=#cnqog4tBXBfJm_$~p~_Kmt*y~K|0uy&x#+UFw4Trv);avdNL zIH4R?wd_F#YGojL$YJ_2uO;;^)q)N=cu|lvnu@$9-hgl}0 zZlNcDyuDd6)CVQ}clk?E6DHyKMaovf)%VN#f8cCG0nFUjn%!#1nE;t!2jF>@wgy)a z7*n5%JV!)Nd|qSJ7!G&eYThUcw-zNHY$qwex*i}PSFRS%(pKsU_9ZN3id0?;_B^YFA&f-l3vg- zpPyjPAB{LZ>94++g`RwsF<$bJTW@p3j++jJM8$#drEhPwf+ai~Gin{)067=aRkbJL zeh_di6Ao%;F|BcOlSLCkow7%}8zz`Jvx)@sX&FaQ(ckkaLYatVXNOGSVBE;H-2e`_ zIWMF40@utEmWF&|QIox6@x|fc=yihGd!5a{w$$d=unx)t%!Z-z*HMcVW1FsC^C+SS z>3e%9K=3CM(xAh>$t`AGv(J-2E+rR3)n(*^%%RZ6HQti(qi*Tv`ciTd3!)lnVT82D z`4kzNFrSsn^b_<@yPqf(jehUd^VFBT7fA{6o$=~-qeBUk@Iq)&BPH9B^6ec;!9iiC zx3JPw{JBa}NZw3uc#8*Z*Ee(}O(Fvo5-E|pf~1DpxNNn+cdPHBl%k#`V!t<2QB(eo zjnS*>W8?%rw7n@^?B)Zn&8?Na@uz6E^10jg%*Ilm`=TK zi6v*aVu-RBEIFsZg$@ZT7=rj<1!~g|1E?vj2@GWm)iJc5KuxI!&kjJ#pS+mQ%{r`r zqA>3T^`Sa)ACkIf)!oYE3tW>;!%%NYg7~6V>~I;NY#dGaVrk;pmgokD<7Emkq+*^t zYB4X(Q8Q{eNpTK9UAwP!? zvD^ePMk)xVpsm+|t9QK8eif>}A|7VM$j3*U^I(Zc-+^C14NqgIH4i3`bmL8^mAhME)yC)zGc&5n3FoQHa`QZ+#<% zM_un;UcNc({Gybs%}45NoPT+nY(`a{+)~gvEHq^@CGiToGCNO)-ere+wAG_@8vHWb z+Wn{mocHyin%nWKC9uP!{of8exSTIE2$b2YMbd89*XZZ*|M zOrGPDIpx^+t)OwS@#D~dDk*59O>0pEHnOZkwx-2u98OPoe@Qnkm1HrI&pKT!q8WMM z686rKhSu?gZzZvs@adYL^RfA~K=smTY$vSmAwxx@`s==q!J6wj1b;g8(eh}rL%9r5sl{`Qxc@e7#cnJvo+c>Ck-5N1^NK0c zIfP}C{lLXW;&_Ym*hhyVIV{LIB|)GN#O+N6NCzo!&bcV$DY|ts6aH`;#HoYUOUPk< zKGYhG1CF^wH35)`ci7s+L1Qcb05b7!AOj?Z7chW)Y8(xK-1r;F9PupF^J}PrOPkS+ zQ#LiowKY1_>9waFNj&-qF0#FhnV3Mgfk&ahMl$j<9pV6_{|yJiQdA7tQfWMayvG;A}B?_LU)9>qSn`G zdwqt{ooBamG;P=0z%t;?V+SVTs05c zp{SJwf}L?5KzJiAY`Vf5X#BcXQFN#RNfZ`~@}6r(cXV^kITKFMA(!vOupp|gBMAwg z#;f8$ac%Rp7hSSg6AY)u`~am9w-<&ohv_UB!~(uwQ!=Jed?tqIdC_O2h{VagffQ?* zaboOr-GS=m*QmAcTj6-KaqyhcWQENNEJt=#`|boG;LT%D@Gemam(g75q~nYS+;e#$ z5eeu0RzZlhZNEBh&av>&3p{6kukX1b1($LrkliJs&np>UE9v(jtSe2RKWd_j3voMF zoYBSY8WTB+9bxFgU#Ma2L9g+SUHx(iOB)k+ijWu z1aQ!h{(`m}_*xApDcBzx^lBdtscx)Sm_#l~8IP~Px1ZgnwZf_PT9_+hT6bal^xCo>m zAy~L?l@3Yb&>ICgEAeNPn`z)FYuM`S~Xm1A&hYd{&rV`>c}O)F2?KaST% z{Sd72Q+Jji2Sn-nSl7?a(IJuGB|3!kr%Ch_h4w9R6n%i5>yI~1PWW!3b3 z4!mUm7^4t%6u66r4yexy61b_h;H4j&6c0)SAplTtNm8>Z+amPkw39960M6NZwsBqu zYUl8^KRCYx3hw@TaR;I>C@wP6K;9E#r7+(61*3=;*RYiJ^u~$+iU%mUFX*G@Jt+87 z&Zvo*`3Q9P_9L_?c!U;R$ZV#0ej;7}FD3Um0M}vv&`#W}?K^DZWHKJsiVjkCfls{S zQ*&4;wzOo1xzm53+d&u+CyFkcP{C71f5t#G$nWt&pIV1VeZ^H;T3YQD&LIDtPG>nn zBW_TJdaFQ99`qguYQ=^EN!}_;Ug^Wq~x)%$vxcm!X|lLvo-y`X;z>)G&@| z+sfOhDf5`o@!D{_>G)~_S4axQ8Z@`x2I=h1MP`BqT74ARk_R6KX}gY*w%3MbMdA`$ zoU0TC#t7(j5T!DTDJCRR0_}q>`?a|5t_kGDv5)v$m@Q3IabY)eg9PPQK!b!@$?d~* z=yLd$#ZrvsWqsV{7I=TUUZBxxoVh0eH-_pekK#kO-_gl=2;6{oJ-~O9%|2mGbSFIP zK$WL<4-YS+TdonbQEK}yrA1?8^!PTwLro`+YLy*9`OZP(P0fF{FVG1Fk7dX0E@LK# z*3gL~X;hOw_}ni5xtHf76V|bc3|#Ie9K)>N0TnoSo$Ih(hPk?WO#m_8JR+0u*%H_I z!)(nVu@&I8#u?QDN~&YC4;lw;ICRnTb}G$%5BWG$iImB2c)3X-B6xpPHP&C_3#~jC z1L4RF^BG^3gRV8TcX;iDp0cr_qPG0`WV)Amsqw1`v||;G&+~bBW!nXn7T}KJsHw>x z!b9P`sI}|G$!8qadQJqJA3-g1+Dxor@1ZBD8Dr@Y4CV>PV0bcTtiNue8?#SYqkG;y z?l^hTAyn4gTo&d2@|Iz1?)^TM5S&ZZ)wdkGC2TpuB}O=DtNOwzzP%esbv{!;+Fuh%36~)-sYz2E`HwNY)2GHI6E^-iMWi7}?)YAHX^hWb$U% zLn=f;Yf+1XSnLAOe;^&MUMo&oVmvg11g14U z#kP=mvrpGpsD^-rO%~sX(EdAeZY#f|2 zB7u5q`}H4i52t%;vK64@y03-%s1cQi8YU7ac0aEB*MPA1#F@l%dt<6*_3m@EYyCaX=17J5?|(vP^Dmy=a_z8GRQ?Ijh*EeG(+@N9dRO@Q)??$Rn*Y<)^^% z3uE8#&kaEak&BP|fmKh)sCXWBWTdhhn*&to9<%L?F1}Iv zQ8u+}=w$WIsmb;RmX?FYxo41jH>xNjfxu0Pn3V>wu2+CmEff_%9CajeHYQ@V%7JNp z1OcEkisAW^o#fejBVb)rC35AwO@bw=r;#$ax{iuA4wdOxeT!T?h}p!<^g6V*)1(pe zN8q5FrnLrZKn%yNWej$ww|fG;TwMU?>MeE7Gax5e(&O<44O`2iNs{I>e>@dcAg0Qy~{g z2}=Oa*hMA>(VbRzDDyL=72Lq98=gc;zW`6E1e;bg(PE+g7jJnJx&~Mh;9k+Mokqlx zX33ciAOk$&o25lm5)a;=#E@A5(4UMfcK{ejEzu&7QxZJw%&>?$Cmniqx2go$)`E@$ zUs>EAkRkJTQ&BI}jy4$&E}a7*Onina2Ykh_k$_n*PTGXEm$bLRjv=!d4bU#bLGxhk zL>V0QW2poAunC@uvlyr*sFgKg-JVx+u(eF18*8-zKHdgh1vtqb!$`mnlJ&T_cCr@$)6*MBp6Ia7Bm=$sAPV*Bw#c zz$Eu!?-@;J6(a~Zkv zBf_X3z4H56)fe8bfs(?yFaQr6zMYay+MCBfw>|CMJS8(s_c&-YzJ49JUF}uWR{-Uv z+HCXGz6y81BNqsBB2|}Ts0QBc0BK0LKE#5j+BhsqKl5+kRM+%rv*fa*6({7^M8pHK z!zsqrB`f0SI*HXTL$woIInlos<3}f3EqQod4;lL& z-rsS8opqK)wLl&7u($%nrCX#`XefF8@foN1-Rs5nu{3_Q^K5da=7XtYW7X01v)V=P zX!f($@*)gt2Z}^0c_XBSBgxWw;;+gk3m&?AskxTMDqJ18ix&;@54%a0;erMv{>!c=mn0@uhhj}AXA1yU{W@Z|%TRT^1ailBmJRgUV z@BMcoz?X*c0xrl%uVfR>Gq=``dUAX{A>AlDsa=7aN!E0)w%qsQzyUS8^h}ZDz?9EX zqtoBrX+atxlLo_Vhk7TorYs%fb7E@f37_*;AdDE5N6jt7V`ZOIsC1HD8aDR1N_2Kp6^8+o1 zuFrFhR6jC6`jO@3aHQDjn@_VWg~qeEV-xE0FjQu7hnhTb)34{3DCD#{!yx`#Mc->l z1&0O_ce5RHV)HI_*1V!8c!!!#d^vN zx?Ju`bB*vIVny70b&pSE`|vjnTF8inZ#EQQJBA*5UNqJ(jw%0{BezWbs{DdIEXDXl ziTd+s-^Y*qzE+>XmzU&P>ugl4axd*IYM)=S-JN@kcP2vkcJStO9>@r$38l?->ch3< zClp$X<&!stJ`)B_C%bJn?&vyl;!Lrm$=CDn{{)EFV-odFoW4hQm<| zDL0R$cn##+=um5Pk;7*60m~W1D5al4WiAy5OdZ~o9Y6Uz_B2=Q$(M+;C!as!YBSUl zzYw5%km&>qsF$!tiMj`#?5{o$OrZ4X8n7DXsQ2j#aO9oU8HzoL*t=u3KUVDT7xhXB z+H0ofe>;gh7cDwq@$A9U*)Nj^Of5gz={%i3Z#${=`uWYc+R@CaKHWXnQS@Q@1k+nX z9UX@Y7ftjG&*_4_m|!2}Q*W1R0Xx9n{cApf{ulL63xI8b0xVNtBPIvf9LfXnI=KW~ zKXu{4x!+s({-^&phPJnELIYBax&Egf|A)4{u3*P8*wiQh`uyc`-9He}j(}Fa5qO4DZ~7O5_SmMkx1%2LH@~O@WMlj^M!@4gXy^Z+ zU9R|F1AP=hpA)Xve8Bunmw(f)3_5~AU-J$Eylo$h&8h5eJ{I7o31H(j1RVq0x(&hB z*b9&V*ybGsd4kR9fnY1QC*%WK0-%fF{^|eCc*f5*j)9RuV5Bz~;Q~gS0h`yaK~CG_ zA%+b=A8`Czw*l@7zkjBIU_TA^29MI|tHR*dE6ET!AJ7zuQh=Ac*4*1ifqdcbjuM1XadB(Ba|hPX12YOEb3IGP%1$5HSzzGqwV| zu0Mfa#n}AT8@R`~552%ckU7xRxgH40cmhEp9$;?U|Bc*?ea8RN+yAl8AN_6b-DPHC zV*FzU|G=@mS#-w^)?F++S=d|tYNV`JaTxsRQFKRX-Sz5^WlIk~vGx%cclaOeQn zAwY9&@7-mL+_7W#&Yiot*xA^*{+|ze6WF`Ud~nBGW(3%_`p@3oW55x2fc>EVK3Kq} z`rVBExnKwEF|hxbv9tHzcXxsAJ6V{ScGLgtBi+vocCsS~JVO^}(iJO=Vk6Uw%-p2o zySRQXeV6L*8|ub6TpO15bOOnaNX;OTZ3o0|ET(6#8NIPGw2m?qHHwq&oy+WQZs@HJ z^Om3K_VA|I4wn<(d92F&imAKKOWD_mo~_F@uCWoGsu7+_q1e`k4iEK~W@2AiEMfFl z(^pexJyvSTQ@GZ!iBS@1Gj$+6wRAl%H>LJvM%cOTGXy?K!`iOZMtvVRQo!{)4v*9x zB%{^Q7-Ma5j$Z@*-K-LmgA+VgsNtaUxQ%;P95wMROks6BFTE+Mur#f=Ca({rSREP& zJCuc*%%*i-Ny~TB&JUGdOxdzBSu#nt<6aLQ>;H~V+I;mZ9VIh7;$a<%78z+;)wc3? z|J9R{lzXQ-_s#mCa{hAqiE`svo7^|vxq~Q=Ad|@(XSF1AV=lY3>Tg3f zFzrQFKJ{+tdOl))H-2QZU<@>ii_=wdM%AvQE0(62jmrr4^<%tP!|_G^rt{te51X11 zeD-(I6+*CGq;&DO;nnf_X=?hJ3gWPPlXdPJ!vUg)`BKU^E2p9RH6lNkIx|^s1bxSQ zc)xtnZ`LQYObLxTN9{N0mK=GRJrQj;>wSmT5N^!<-j9h2qpbFYjN!BU$MBMrl^4nn zVEZcaTqf>JQD3+^k8u&9F*n_KlqYFeQvO03H+hI_STb*NypK5F-Mw*EU%ou;l0e=^ zjPRSFtS}9ox%M{nK7}WB!4LH3MyA(2zRQG0W>C{QPZ+Xb?2ZoQ2N=B};=6MSM}*&8 zHYqF0c0)N_o3+K@%~Na1(m5k}ujUrIyVpF1Wz-i5VxHsW#I4*iY2#UUdy^jJ&H%%h zugD-`^w51bsiZC|zO*C3M!j1#!_*a%8T%W9Y20p-rA?7ZKQk@S@~e_zb^}EF zV3Rj?e1RU#D%qhUtfAURoTTh3+-9tWr^=1k-x9>Um(sjznq`E`DwBrs=I@MphwTIA zD~&qcsK4r_$5{RCOS_+}-?dHln%^{%F3ohU5t$>Pjb~SDYhqUWsQH9(lSXl~h3PCU zvQ@vv%g0&~LCuxQn`Kg(;a6wBv&7G-u3eiQ6jd3d`4cInxYWDrwWy2%;lcXx(C<>i z!poyZtf9)>zj|sSv$xc{m9mkk4bRnap>`f$`R+pDskiA#tJu)XM!kUOvcIvalD*vB87d<@RhORZCL?^Ou6tiy zdJ@szNNl??jn4@KZmX|Kz9L2l&)U1MqOwC}M8JJkH%Z~C(ljnWc7~#|>(VX~ZG_+0 zo1~0-49ci?OV;{e0&9iKu<{qv?%xPZ&FmzG8)%B#nM(m{o@Nb(X(5J4uvkoKXq#gp0(P7o$vRPMTLJ zuB|M}aKk4NeMN!uHCfj**$9_u=YxAqwr1XG#noY}eMu>_3~yY4c438EN-4qh9RO0d zKG3Rn+L2JnykRM`tKH`BfP9(SnXZ(YK9%If?m*yA4E3jVx~U{jOC?`TJ3<9(gJd8n z|8^zp%A(A|l-EF$`(-0mC&`*&sUuF?W@8vj8W>^~*n=UA)o;@Pvdcbbs;-+g6mZHg z5~DY;(r@LY0Q-ZkVs4V^tHA8w)39XjoeCpYFb;GPJL&NgBgSwDz`2tY7f_K{C;*c6 z%WUcVtFT$v>Y#8TjY{dVE*l4025Z@<4@-M9#&4@Nur%#KxjQgasg;p-+Wd)N{rOad z{AHS*8_=#*Fi>@1DW(%}J%e$5XZ+lDz&q{YThlP_MG3+W&jH+l3gGEd*>2L_zpcc| zu;aU+J9R*N+hTD2OCn$($x#q-+6{VqnjjFDG7OwF2zdH!gf6R~6xbM`kTE}FL886M)R&+chEaiQ$_S@l{v!?G zMMn58z+vbRaQ1D}4ohuYH(d@cjU48?E_Zg&y`QLzt%__WT7g^t_W z$COK>MkA-CBCx_WzM{a`uJ!Yt(*Ox63>K`6Kti^b9|rTjGa60TzgSR{k-Kp2Y;hZx zQqZvWMWR(uy0-{Wip0=GU#W`-*vX`T)?#V z`i@h+kCzn)V(bT%p04a5EUMB(>g(4QzMrTS4ZFNAaDTGx0LAiQ=x_x&^T_Je*(UKE zzfAR^@JemG-+sfKxbhtHsLk>3GfEWrfC(qd^XP!gUt3&l!{|&O~FJ7M^@<5`$^e3T+~kMvjf4qzaVn>K0;rHGUB! zw@PPchZ-y~ZCoS$tEh~ijV$+83zwb6?Bx~%wYf9C8r}ry3L{An5nv;+w`uW}M#0u1 zePga8BB<7d7qWx`wlLBZ!5n}sGFD$a`gw9|!}Uk4M++TnuI!X+RKCqWdFh%4OU)On za8~g`DZy6C5sssK1)K#4V_kGi^Ugcb z>jnv;8Kbd3Fh#X_vZvJ|wU7#ED*0MTS+qL>Yb>f6er|MrT9EZ5wJ_R%gPo7V;K57~Fb%p0# zN=s4ZV88A!oxb40%jk2rMlTdT&HKAB<@m+>sn!x>tS1t2SysiATMenAN*)dI0&IEK zZW+|-^#o7W(k!)=*fT#?@=D|#@nB%^6g9bc%JZ|pP4dE5V_$-yVCEFb>nXiGW8&-3X03;r)N85IvU3rtUU7&#BMc*=)% zc}m49KKXX5KoAo*@fYsJM`vEGdIEXm0e5%*)*hzDP`6I_`56k^6kt5Q?WUFIO))o zS)%|jW2D^I6a7!|-5*s(|3aE(W+~iNcV~NJ7kvLqft<1p(rE63^i9o=J6=_NiQ~sS zc1%kgsx-Jl)UluREWHxs${V)3^^x_y!K-W52k>@hMD)TRYz$#bzn>S$Nte0OxvKRl z|5R8g=jO_D+kT?e*;5CSTYy83)c50@^N!hANIi{s= zNm>8+rqwSk<}IU=WD#xS3_fA?45kaceolw>A{A%M{+hOY{%*Aa((j++0K7TqYiBs;&2~xl3hp=`27A<0`W~`Ji9cP

V9MTB9!TLO(MuTcw4c75fqvmpo_WB$qd1JO21@!}Lo%-wS#V=^ZaB zS&t0a68+3p*2#ZLAjtEzw!0X zXD$jqxX-G(r_+E>HpBFJz2Dr0qvVDIrYB_9j-SG7=>4Vg=0?_!x?hs%q4)Ae${h}$ zSCC^3_R(z3b&vEpE?xLZ@L?3sMEJ>Q3z?$VaaOyouX8lq*X#6Bj5uRf^&npGqG$@m zD9cmW6!TaJPrQjd_xM-R}JtK&u zfQLq-j~M5qj}qlge>e7U$p~5a5)>vLb(MvzU2XINU~B_MeACq>VEHV0Xst8kQLrze|JBeT;hg@iRoa>R37>WKmNFEAFP;*4sH5%o_m%m>cgvOs18~pe zQ%s*b5`EU#j0DyM@9kGonzbBEm(NrwR>~SmGrkJ@Twm3-fiWsVpD3ln%$GuGr)arf zGRz!=yW^9xdQR!*J^qpsuG_PA@|pjezTqc78}<996AL^Je(vjr)^eUYQl7mVy6`zG z{ZUrRv;Iycb&d8zV(}4^drziuQ4VC$GUdFHgSK@mJ66F5q#jVcD}b zEeZM230}(gUEb~jy;!}^rUAUV=*6-x$ymTqUK*vQo9>hB*d6<7SdKne{%p_wX z*X~230Z&#LPUPG0Pq3rxg~&_~*8 z4Uo@2p|r%KG^NRQhKRC{n-ve%Uk{${h!YCN&&%g`i4}DQS{Eke2??-G{Ed6{aKhtK zdckb5EX!3-C+ABGl2|3(SjB^Khlf|S1AG4x?0fCedx8Cs-ibnLg&jEvU{UcSpYBk! zQ}hj+F$DL;slmu60cvGeo2@=G<>y-V6i^2&W%7CqnHzrH)tM=`+T0zK>g;|lB3UnWbgIbFj!%jLJz?{}2-&26No-6&tK zsaq6j5!|QGRdp~))xtDmJilJo=IKQ_>t5u3&R;@8kIVCE+DYSlai<+rb=hi}wI4~U zd)W45;A$TFib#o86jb|^d7Qe&ksEr2+AexH;p!3l%5|CjiXEG`*uXcXdKo%z(uW3g zf1R#a-}CN)cAuZ5|5=N-SoDpkFdnU>x#|pU|MI?*H}v-1Sb4K;MvB~tuVqHU52>GM zhc6Y={GO+@rDnB}EVk^&bA}G7N2Dq%Cvx@d$bK08XyxX~w${XJk(|AaM#z#YLOIFW z{6`{+U;J(DZYNcxb@kwdCi$xGxs-FSu6B9dy2k&SW|6f2uAiexzetHotw!JK>~4;{ zSCesHv=Te)%f76!Y^J+u^!UF`dvD+;3GoTK zoG!^0Rah}Tnr$EdVPcuN*)Ux%|FQXJ{UT=7_1h)?i=%5`tg~&JZPO$T8l$moo!GW* z+qP}nwynmtPwbr7Zr<-a-*1?E_u84A+54CUy=VP976TEMcewxLN{ z!Cj$b4V|F@2kvcq%FrK?7Vc_PHgy~Hk&&4m)o0&6-DRe_)*saMJpbH_;2ha92da`q zsv_m!OqD~1FWb1ak$fD$!${2QDMIB->f=Ql^|On>9R2i5q~SK}li7Ir4@8FD+Nz%W zDwi|1#LsLR{7jQWvpCOxa58pO)g*t0e8W68k#PZV{?61h$B&n1Jm@%s$vhxSk}4K87-9YLn^+g6 z%n~X!%)-8FP{vnc%+`RPi1dhzjIbmrl`pG3$Eo9H)=_ApAoewH_=HdT`;BIl;V=;p z4}0Qw?xE-a5hgWCQn13P%V&GZ4Gm2^ai1ha&J0EB6Uv{|hE1eCy~C<`Doxr;@%9p& zU*rFQSS+QFlc=M8`m4~S!F}P_e0ppk;`=#SPsW(ua}kKTF&(anJLxEe1-{3KyYe`% zyVfL`My2N0xd2O2YvGuO?zPmR-y0i~PbHd~qtYx)X&guj zuOr3OWP-CJ>R7utR$~BjSA+x|mba%oVB_qwIpVQwS!g2ac)|V1sWRfS21zYzBq*OC z7wRZrzhutj9L+uTaO43|Woc-ldPQ2xzHE>!pb8VK4>+Y=8;k+Qd0gpO)JOid->(7< z6>=5m7HOQ*59P)fxD&>pF#{Hxs{xh(dktHTddf_d&%OPFxUPR94$`>RWQp%J0Q^DS zGL1~9JhB-xV$O^oh)7I#60@mk9ea|GDv}Gu#EG4?hB{l;X>fVM8o5rc3KB-6Uj~r;^tQ2wMq-i^Op2*?R{wj&L z;2)qCS@6D_!M=jLR%Mvf$t6qL`ucH9-Fx(q}e0}IA{5`VW^sA_#h zCcDZ|4Up$r#PM)_vknZ7V#*yrdQG;7o}P4>SblEJM>7~NM@+k;|7kdb@^=y^PhNo> z_9y0NMXB}|wMcnftI?Q;>U3RU+?pC2_bHm1BGN8KY0R)fBcj9~eEhvf>eyF|UNM5W zkDRY(QUWw``FIj$5#v+JqR%YFR*}prS8GRLkQjZ~aTIgxolZE4>jY=t7Vv zf|8!@RChC-K9#+QEqgj<$?DI+5zAmxQuHL9@+CEodh)o> z8%X9>q?-~o94e=bq>2(9Z4NnJuO{o}4$F4(yd%TKzg=p-<>{td_J2JiPsd0PFIq!o z3BtCKn4p*I!M0$N!*P2+K0WU%wO}WRmoBL}g(#uF4HB9fp}bSp4IcNw1=Px2l`?uK zn%n-XZOuh!VM{lGP}=(I8m>C&?2J5$5s7*)_xr#X5Gc=FR~f7k{BVVCmc+H>%;V{& z++b6$RV&x=wrM67pIeK{9Or$Hax*t!m4-4XpD0Pe<`pSmeWE@dq-uRJgI2_~8jKmQ zL01Clt)WqQpQ^br9L*{e#)=?3JVJs45Nv$}V@T^2J)rZbxd+A&R)a3j_QGRMD^`Uv zq>kU*C!9K~ENib&x@!E~abrD?5#c@HoYCAAjN$&b$H&>h@mipyk$*)ec|aLOu>Q>3 zq3Bt*Sk5<)o>3LsKg-i9?{FboiEf|36A(mIjDc(a0V)&osrEuTOEy~_AZIO2?!3=l z{UE8+SI9yR_rYedRfkdQZ?0i*+^CmdvD4mAtKTjSq+STjwe zEMs8J;0ROt4qo`njM|z45)+DHQ!60*?gKy`mHWz_r736ab->zsZ8#wjZlN$o)3zJisLnbf9vhv zAtXzLQeyb!)!mpU3n3+2J$bR{CNqXmZ|5kT_ex`A|<9{jCqh z(+cbTXWodZ&eXT_4DBiFmz`-1Nz2AA;~r`f<3Z+}EjRw^W31LVkbbccZU)Wxv$d`y zOE@RiP5Lpe75J@OMjL7dB|q|qx(jQvQ=!v z25ZyxjSyBZYh8+bp|$jMqYYYaFfR>_$z@c{jp1o$r92wAp)tQko^$-YJFeM)8X&A6 z|ADygUiHpFS7yCbx<)Ej?S@t1nL{i(Y06-m6jh9k2#9tuYQcXwi95bziHOsmjTS*jg zuDE&A(Qeb*_&%IC+Pg%p_jZxCW`g$FgwCzxr;P{QnNn=H(p2iybz94p-$>AxXtD&F zJyZ3evM`t1;gVo!6c9LYed7k1TJRY}N1t~wS(Cx^kc0NV#oddlu~nWZK?ic}Df{0y zC7YbL-)-tPYoR7GE?lxX@#3v9(Y5*PzpzD!q9AbNPS}>6-rvVfBPdG5)l1{VYp)2` zj+7BO%NiTQ(k;w+%qW5*B1Inn-rgJNKiCRaF#|d-RJXU?GrqLgYiuBAyi?hl z5acw*4ZXriGwQNV>cw*<>>4j8{@5RWDO|Bw8+!bCuZaKH0QnYLH>8y;%NjXvCIsa1 zInJZj`+!8poCZsa$xW@QI>{#c-%{nP!;8CzFXQhsoi${A9K{+fO zFHoHzfsE6Lw0U&pK^k?m2Bf5vfo0^lXdSVUlO&oBf@mo z{vmlPNSY{HK^H5di1l^=S*RV+?j((u@<1NWOWT&V^Y5}WB(0izwY#YapB0^K&VmHk zCcHS6or6b>dQ`wPeQv8OOA)O6y8&WV#1&|;Yy~_=_LJW8%uc+U9~uSqWK2A?f{l9w zdV)zj;B8-18XoTmQI3jL$X$O%zHy}LZk>yfD`vH1lvoWQcTo4z#s;KYb6Hr1O=XM@ z4d(iZx#a{im*6kPVLv9Y$A#*3eP|2Y0P?myMI6(Yn0Lxlq6c`nlkxiv_VYcXMXtmb`jgj&Yd-8BX>Ziqnda1Nn~CY$>I@Bez75RP9DQfgy`JPd6E3h^ zg(Bv2_6>=?);F{yCHxa8BOj{Ua)x$gZfq{4XlahXuprgZzzKG0MP2>5ksiM&00oB_ zARZRZg^lJBDh<>==w21`T0SKt36;UoENjZFu&#+>%Zf>>$IU`DCYUc#BqnQfk3Fmr zeY}+|T}u5sN;_$hNEgyZkLhPOuX#C;#dh-o>6sLf{c}34c063qm!nJ)cyOtL9BJUt zcYx2zpr|{a$(+qr!;!b1ENk^=uRoU8;mcvBnD=gcqfvvy52SCZiRqk8reI9So_21m ze3qiYUA*5)TIF1}xC0PDi7k*bQJFSU9VQQQe8g3JWidF~!uIwE{bu9%9GoG~=pOk_ zX?@xO74Jc}adWvNRHlM|Hj)xPLixXM04X>jK(zFrA1M+@SYn|1xL42K1FAtDa){oP zR0>G8MRSn$-6M6x^9q*>cunN&x`*YZBuTDhd7T42KE(0uMYILY&ZJGA^j-{IrD)L} z(f3m4>uObf22*7CQ-8LVcuy)fmqOpZ%p zX+x$0am$>5(Mh%B1s|&Mvto|m-N310ax~2lSgwJ6k~P;r?;fX>@tB}`kEk(!>*urR z$FN!YhD(@68||z8dI9t1La72rmcX@1>RqWLk1F&VBg-*xC7C6ctA;I09b=aI%U1t7 zt<#s)LJrHDAi1jFc$sQdH6@vC*5>sMxn+$XH_yZry)W&p%4svz~|sGeO`6I2Cet$Izpxo^?H5Hm%#lyX5lk)DI(=R z_GZ~i8q?B!aa2gRf)b-u=Igu~40UV-&Og6-P!cZA@D9~QE+Jl0r#g>m0Xru|_Ktg0 zS&Nbsi=Zgu*yJ&X#MM+A8sNB1o5=8Yvy!%E-;3UehJ;N^zim4S>0zO~fiq*^wcw7u z>8*Y-G|3@#@hv8a`%4m^KKwKW;Y&0JDT0Q_F6Xl3vemNWXr|1;xeRu?2Vw2LQcmh98obo1UjB9EmMJ!B ztIFi{@tM+%^#y1`j94p8zL#6I6^lkYlY&_;+EsMgG(0rBVG6v1%6Y1yc6P>(&1KKe z;TBXGw5+5$p<%i@G=~E9hN?d&y@@p0L_T=m{Ez-hj?en53fv(yp&CGE z>g2HAS&Ym)0*WJUX>KeXO`#) zSG{RqWwwO_+6eLLv|S=!m>Qo--dLI=(f`**6`@g)qOUkkUKTLdK!;(h;2JDH44Ol- zG-&JWm(IB1vb7-%sRcs_rOIZ@JD``W;9oONA^i4@0>q**P@B37h7bmrJ=;v^NP18x&^p4IRe2g`$`=Kl!4#rIJb3|Q(3Y( zt2naO(`Mk{5DSBf>h8I4QN(298$(sA@g>8mZb~ZCsBSP8Rm&0pE7zpxZd;sgIjD59 zS~}vP|ChtUNg`#bLgk^G0Pt~tQ@A)9s4L8Hqj0)}sxZs6WO?lqW?uaI?Npu!$GRQh zkNZSbq9QeFQoQE;I6JNW^Rr9`mFb%(I_nj1)S!GMD8ekL4@$wFRJm*56i^pGiFC{# zZ(OBeI`XJCyO!t6zJe0DoaG#1C`1Q^!L?*J(#^Y_KZdsMSus;`ywkm&jen^Y5^*&i z`Kmy(u8ZbvIF2rr(uNn6#HKiJzXo-G=EW)DBzVHESSRi!5>~iWEfw)W0_k|L_6T9}uw@KJ zaQ${TXRLSH*%hwGOMc$$p=gI}^m)g_Ql7#gF|KfT&z+7IOsOOlPhvIXQoS!cQr8ns zvV*Pz+^`T=>T*kUrd6HVwLyC1CzkNH;=I)a7YD6*4t^94cgL*ECtpnFwsp=lD=aXN zUEcBEng6U}0G8`Ux!G1qMuElx6}2fZe7TpTU(?S=XLRFI9F#cYrZ`X&@fE35K6Jkv z_j(k^=L3}m{vp(%o50jmoIl=0^v-;Wou$j1m>aKeN#;{E{v$!Ej%JZ)UC(4 zbjx*~?fcRn2v3XTfd%sl)&^~z!`dG6TD~R}F^vJftA3g+Fvl;KESIQu;_SF)g@2bg zU^X@PaG*yL^D^feuLcV08IMe|qBr{d>9e2HVi3t@{R{nzEZXQl2)q5`LcI)4?!~c4 z=jmvJgD3-y4tWh;jHgd#t$$Of9yd$PWn;dU*YPW4p+oU%^yI2e;Ro6~)s$q;I-4~i zWlymTM1Dyz;jB2tY!5h8ED+~R1a7%t4NGydYDLuC`t^Fa%u^dZKhJ-3w-vzjRFq*^ zx{7=3J4e9_XjktDuvtgT$2PahcZu?uw68hm>`bqYP@ZUE-2Sz-KHG4usT~WwuP`l= z6Puqk`H4O7)n{#dKh&k+eC{a<*+mk!p#{p80yY@}k`-JIDEM#&!`?^c$Mm~fI+kQ8 zRgs$-+(5vg^(XTdO8a&q3d3*qfscw;;dshB&LO?*S(=0Y7VkC ztD@cLzFcisVj9DSZu?2I4iz0VizgUJKS@sf(E)#vY%zJ-Yw+OyNf@&XbWK!lDOw)E zBI8j-#{6=d%eEcGe!_k7^|TsqUs;Q*+nsB)%F+FqxLPT^9aZp15`x9Rb_mgoZ_eec zX3Z9$&0hW1I9JMR^KLTT!1_!<@uXJ61^F)7Mm|73$ncsJ2jjtB9TkPkvK{ zt)v*2Vf@CHb8MNtHiM>syqmww9=wt8t(%2;_3qY=u9U?OqBWPezAud!ynkvZwXYtuNwGKMzi0gmzpgA2PaLuqb%;?AOji)Uq`pjA#uT1VJgX z*~(66#mo5yCd@)N?)fj6-3fbh_dh&(@RyY(*G%S`V%Xrb`?<7-7lDDry5Rj=ZI+$i zv4%;r@2|&URm<~{W;vofSzvk1xmCsxaR?ieLT8uFoTYPVmQe|GgZqPmmBXT4gCg)eEo~F?snmKA^k9bHm38WF-AN<9 zGu#~-eNbhr%6Lv$0wZ)`fun!VCyEtD?j zx`)VBF#gf&bn9BBuZ^pn{f|Yl8wZ-fx8`@c8jVv)+S*8d{@+|Ni}f~IPjzkddAX6T znJ|2Kij>28AL>H2Z@uQD%4KlFb+ATjKRIU1o)(R6iL_acnSxJY-b>cc;C3g$9$S@$ z7bBGbu^{}eN?M|;0O_;&8 zIOAMzV~?yJIu`38dN!RdpQ-*`wsP#uRiCYsmDK%Dz)BI@8#(2@n#DKleX42klw&4m zRK%KjX5^GpV9Q)(g1tMcuUI(Bg9`R`-t1PqM6s$^dBEjnLM~Vuv#UE|OPAH0rL{cI zsB{UJBUs*|Q827Yig%gzYLx!0+E>{dra9g>2GzTLD~)};fp%X&_i&!|{H? zuo8qG8a&rGmQ7!aDVkeT3zLCF;3$=*n~!&MJ~B)6TAx`lm1v2O;pq=s5gR~G>%jpE znx6`am}x}FEcwcc(PP(hx`11VK6&eq3!I1UPld4n3vCn`YfD+a7IB;pDtRqG8hj&5 zP^fu8YgQyKW{8JHNaCV9M2iEo z4|eT5_%6V4MPy^h&_(zvr*m(kRPs{d;6&LoroZ6}Vs|9b+yf6czqqT6ng3RBK3)$()O*E8s2`t5R9&3=EWQjRXU<(j2%UNi}|5JFGIa;`m_%us-9 zuxw?dIcqK}hf{ftzkGV)aL)MNu2pGd=pWgJr&H=oix~-Hu9>ki{J0TknW^{Akfvgx z@FyD7p#`c&@FHcZ3`-z*5~_USk-9&jaCV!^k)7drz{Afwp5xD-Hzv;YiGnBvZu+Rs z4|-VxTt0SQyB{MdMdP@Z)8OrNfn_%b`Xqm0#T^LReDXFH1bxrSlP;g zbdy=+^Rij;ihkak(r4XZ@@FX-|M2yRgRI=r&f(8GUn_aWK;g@cYkp_eL+4o6Kyh%0 zfmd#{;e;)3bpU^72Uct+DiS65+M`vNM(|~mT(PuTL@t_`&HYQv*-Prtll$PD0>kIF zVKFIR2F&hY$mb%si>|UgUKi_-#C}fD2B2#2_0C76_R`7W;5TZyLp?V)vK&>nwuS#W z6V;I*4Gj+$b%!_i?x?PA6&%V4?yyL{+Yj$xZQz#KtQ~`n1=L}Ps0us-dN5In(Cm(*qKrzKAS`;4Q}AL62Jn2wY~?uFYA$Q*Q*{loLMAHct425@al51_4Sx3| zTK%WYp^6)*gA!1V_k(kGK3uo372`P9+~P)gGT3d21b)q!W3p`nA1Fs9Z^)s?P_ZSXA9 zBJ}I5xkt-_F3x^&m3y{O^S@c9sar5*MpxcWy?9A??`Ub@KL9yPWUd!)eLe<%gFq#l zDB+r96O+th9)0{xYjc_xrV-t0g?XHU^y+_tdd8N4oa1!Ma7D=?n}o(j5xtTI&~Wgt z!k1&2vpH%wvow=tt@-Sn-j(3XYjRkrV(1Z*y(^ku!+a$hnaQfN4W@l8*=9vcJkxfZ zm8RU<;`&OyFehpRd#)VeqC}C(e;R&W(B#R34BOout)tVXXYIAJiMQ#~uEu}Z@khhD zDP_pTn%dooTSq;vnj9eZoxt?1(@(d*P*w&9k_bn#$y}*8sU}DS=D3K-V~|cZmhhc# z9LLaf#tskW`1;5#0Nim02V`)5xRR$`5wK?|9tqJ^L?IRdH@764zk_^0_g}fOZoJyp zlBP;3-Kc0HOlo5dCfmT3NtnIl%=L>*BC!nna_S15Jy(pxWsoq^#o+64>@IwtyRI1` zTwSKL$w+^;4V#%f=o_i0LRzFDE$J2Zy{lqE>2|>C?X@n1>b(GnMcv5tcs2QhEb(ox6LJ57NTkPi@U+A+3H{b4H~-z zQ)M*&18{L?-=w(;H-h9*utWtod*uT@GK2C3M({_x-AHENVTrlb;Uk^TQ!cwUd@HE) zFxAs*-2G`Ot!(W;(XK$2v$5NNhn5vxH_k$Bbo;jJXFhM>qdI<88 z+MoX_!gF^;Z|Sm{Eq5<(Vpz14({ZkB#b>1fN*kI#15VN1D(dCTWQbg@^)eUPyi6CQ z=nVpXl_0d8V;#I6o$u=fzgkT5(MG8T)9qAck;OjtgfF*MSo+CrFkAWbfom`XXp6TS zX3kPH3GpHMlR>1deLYM5JE-RE{>E2!l{cF{veb!3x>3+X7+ppiN~(OOIfkSWkz@gU zXpvZ#@x{*-3AFds7O`LQd+-MCa1~SX{_WpW2h}x~A$?^uKVaRIp1%4r9&d5sKR!XS zD^K-wK-fOr)V0So_be78PzADX7=H?zD5{Ka6-T*z}UR=tv9Bx zT@I(UKsYRv9~0d!S`xf`h-*jQaRKY2;8!qq5x&gm-P@>@JnI3KGEdQXfS^FuC_F9Q z{PY^B+{%&}OzS1}oW~;O&~BYh=R6;L6PoohejLNssz|@zj47EN)AlP-Mu|eOCXPTd zI*B6jXqn_;n&~Xs>@=)8>!~u=yiE*LTGua~lro7my!lI2vaH9~R5OtqZQ@{L7lF#0 z*r=z)ioNn=7tdKz0ntBi6)0j{SweV;_O)?y5Q^04p&#cjs$W-HyUDG2O;r>9(q&xZ zGvz9q1fdq?k+~b&UhB&!=j6*~NL>=NQtmbnWh$X)e}3=t_;Ab5qYIHMV|x|iizc|^ zDOUl+x61elEKaTa(bixkqOp%>4l(BK!!F0m7V`;Dm7!}oI9v3GodMkb_YL_miDb!g z>4fhCht{icJ7uc-HS0h~w&=+wn_i?n^?Y4y5W&3oOT=W-aYX05&{=SKOZ2KZMuP2O$7b#mn90Ixw`}Lk1}|U87>A28aazcAgYNO ztZ?%lLwyX%WTQ zbA}7Ow`K7%u)|-xvFC>D=?mT7X0(f5QPm`PfV3w}_DmI%AHOS#h<&;C4Zn(fcvY~H zC3#EIk9xc=*VLYk2Lcr|5ZOSP2jd~<#QNY8yu>F@vl2&kt&E$(R`!P|lyw-6s6@1s zY=*hm-%JEOn!kGL3>GuMU4=!F!AewE)dTxjcv?nnj1qhtVU(@ zNJ2xwMcr;x+2a=%Y>tDB&|?ec%fxd?RD^AvbJlV4*tRnCpS3}gU|9xiz1XUiF6|Yb zJWb))lRs0|NNmjo{yh}R1m$cJr%RG)_G$czM|XVIdOP~wG_6>#IE!0=O&9I2OL88Y zbCgaEsW47pox)L&hZ#u-4Tr!nd_IvSo3)ZPTRmC!w9DS%Sy4wIlZ76-Gib(F^<$h`>UqG&`kF6BLjYUyu$Ifs zrwE3*WB6OgJ2yjQDOX}k-}xW2-LFxvYqo zz1DO`Gz($l%?E%roE?_Q6E`W^!@DKuy`G#QyPV?TBI>^yEC(}sJ;_D3k^*;Ury3&LKWVMfGM1BRS)?7Aj*UB1ysmx?BUk$ldRcyGSc-^y+%8HsAojO@_&$5zi?)=yj zPq#M$oaI~rWsWZHUNDlajn@fQplAM=bCm_&0t9+)HIyZw7D^nRDqZ!AzpjrK9$;Q55IpJu70I*|y53k6k8!&h zQ@swk2ZFIGDp%OoYR!Q^Ud_JiaiOu~-T@Mns6 z7q8E?!4!Q0+QM2Q|MqfQx+?;X4LirX2vn>~2>Y{5Qaev>Jk!T=1OtHvch$ek6)3c& ziAzkd(6P-(EX*;btk*Cj8)5YZSg zb=yvn)>gDrD_PRrH${DicOZLYDv{Y)z1&kQl&LJa5X$cxp+SgIKZWIVrSo~oD`>*B zUS=7iB%9htQm5C77LOEt57cw2(D;aRqlny!W7O&CS+xN+09}7(d8$7ol~>jM z@0)Z+ikPnkPy32&;~C=<`M7LKm20!^hR!JqdaAh!a<4M^joAft+@4P~q~i2=f_M(6 z>R1`HwK0}pFAwZx60fz&|6hSL9Exd4n!|y=I0Af>?S>w_ z_ea~6aXeX}?-nQ?iRT!>k+se_?K}o-T@~68a2LJnr%LO}Td$TZ?-7|eZQ)p#K10z6o8j*psrJTyY?(S7eR# zc_dL)AWfE7BXl2DXd|n~L`A=SBErz+-N6Gvl?x^aj*+=$?&0`T#bZi!WpEEDl{?c) z<#K0Ic*P~)NbLp^Ai)?{mYAo~%B*ob>UNsqV+C!i5Mpp6$5rBv!U=PQnkEqI#&>d=`rZgzs$a z-JSm{S3uO}CNidTElr{PuK)#1cm((;+7I%2V@@@zV|y|oIxI@Cnand(=A~sWT3g(0 znalo&sN*+u+fA0ymbK9-Th=o%d#*rnp}ivk2^}rnZV`}HRr+ibrCXAw=a?2*MtHa| zzC7o$YesR{;6XObE4LBW<#e*pe8*mnCX19~R4Z1x(`?D-Ly z%RghsOhdD_6c$Q^2!Lk3Q9kv?cuzGolT-#wlwG!YW=2aiH@=>fr&PAxcV)c#j1a$u zExj7YZP64b3%+kSgTaUK62xh2GM=$PR|RH(T-?txWtz$JoWU>;=TW3{J2*h|Wu6i1pXqwaj>akgCSDzZ8qEdkcB!!c>QnigvMv zaOMWL&>XNyUM%DKDJ$o!?G{eqM3!!SQcM%9b-B_zGSmdS%sC!FxUbzR3WO8mJmCq~k8cLCpb3G|8vRflq-S(v;=(y0|g;tg#pA zU|o#)<851|Cm&NFVE&BK_4D9-h^Z^)sLeOFc;N7@-T95*0Q9ckuC?(EDP3(-D5j&V zj{Jelc=s!BJMt)qCReSF-I&qD7Cu;l{_aN-vSVIl+reR}(%^Ws@v6G*hD>YA>T#AV z(+<5lFTtpgz7UQmg3Waw#31_de|+9wlPnVy5dad$4~@@fd44;%(n@pag9#3iK0h5& zu{x&gSD=j(_~1!wg=Bmo3BzV&1+OOPExBwp%mMXOIm@5x{VTR^?=I72?%FC*grZaifoiAB!AM zr78Z*Ij5R-8f6RlMJ7vJF+Bu*n5-x=9Ub+Bi!X|%lk{#0lBqyOF}FAE>EfV(Hht)l zg+L7T%({JV&0;Gr=QHhE466&Nxph-X8D1&tP?1qfb+?!*=T8)3U9mvw`jL{<%m(PQ zX0)Hp^k#@BKYN$nlBIM@uuDH;k#e~EgZf~9&P{t3D@~`1Qfi~sppHq!I5*QM#8AA* zB4^+iB*88}H)hn=bIW-5fU8f{&Aw^oMpbr|ab) z`s~w}&soc_d(loZSbGMG*R<)<97Rjx8B_U|#?X#QQyUe4pFuvFRwF;ckiL3#JP!cW z?ff{J(Def4K1Sw2b(~$7DlKV16Suj`HdvC8woxlx$|E{jnxu80dW0zvI0s(u=@?uc zWX-gYyCmo|xN$#};_{~P`OamV$FQDjPUU(Ab(o-Urj=|QNcv@{c8SucG@6nz-h=6& zo>?BE8PB52PQ#+7o+4BATkBj6_RZsjr;c#p3#(Tmcfs6pHWN#~W!nsUDa%%mo)n}Y z{<728vmduguD~ls^lMszA!4UTO`IgWqWO@q)i~b%Pq)!Y_DngC+IHH-Gq8zHGFD6v zX?Ge+;#g-|e!YLKDwE#QzbA+%?rxAJU1|q1<{NrW0v%Ms&#smYAMN)k9JQBt(XIhC zzh&C(G4vF{GgU1jN_Oc3VSqY7{98)0f-h}wm=q1m`@cuS_#ag14A8>6^73HMu6u$( z>NP;bnebt_I5@CLw9snR8L|;}WrPCKVab@M22LgTm+z7&Sp*enK*g<#g>*r?OHMX8 zd2AbyrLXJ72g35R&PblCO;*pu_by)m9~en@=pa$LB&9~oAydiRw)(&n$`rY=yR~@A znk)6au{5?ZscUWy{{teUH6aX-2onY9sFO14(6A-$1~aPTAq5N3-#{gyJLb8x?A??p z3q`;gx2eZ&=zz;`#7dTThg_MDVC;v#AeN|{Eq&f^e7wUI)?6dSC&GIErSC9netJ{> zJf~OBKW4n*eEMLNUWZku!iv@oB)xJ}X|u>Xg|{X5+=${Z7#a3;H`3WNIjT9bg$T2k zeYW<=*?itbItpmcKC-MAOq5YD<&glp>!^DBmH(MygFWXCCV+F1`0kOp*G0 zkz9vDb^IK>GVh)NNh|%DL(J{sG*J2bH;X%= zwd&(3qI3>%iXUT3Bt11!F2=vQltxZ|(U1i+oCIhn_{E--Or2D3?v*94>C1OF`kzz+ zS+1}2yx%VKv%sfV81}6M$~S%q6L3hKJ9}+E1EQIt$+!0wszvj={sC7)&6 zB@3gHK?RC^vJf^^kYozX!bq%Irjy;>7>@MzYW9E-QLd`D3H`3~e~*=s3epP~>=#ND z@3=kDbX@9^t1~Y@n{~$Zq#(owYD{s!5SH9}4|iDq>GS_(=}x^W8R(@cuHq=i-A8Vk zYmPTf-m|uyYRPKuvHmz&%<$`GbbQ=I2VQx;e66aO*)YAOh^H>miKLvX;&>Vft0aR7 zDh|G{VnrMdID@0Ee3+?MV%nzhfN6za>KbML8U_!~DSSDRDVwIAB7YuV`m~PQ)j{0x zkJhYctafCG_c!l*jnYZVha2V01u8L*`|;n(hg(#2#kO8;7KadnecYtJ(IrjKbuRbjA8+qWo0u=lslyksWPClx$0) zn9ozH${8B_^>_H8kZA9~+gc32iyLiebVIt)#lb(uC1{NaP8b+O7@JHwNP^BatI#q= zu<^x67La*HOM*7db*o#skH8E?7_gJ(Ket6!)?U42Devec1*^uHvOilSHc;0Fn}^f8 zOxZ???*pTZas%sp2SMZQg5RzM+h(b`v|(~}7`&Zu{f`l%6Hj<6uuR~psp~f)5^gw# zWA{yKE>Fy8PR}C4kLI63^Uc%jET$>5z; zC%I)G`wFfh#)ozP*7<*Pof}c{)3E2(#*nEeLX3=B?z@^!mrc=3{bHj?di{e|*FoLsORrNk)H*QO8`JGw%WRg~{Y*T2 zflk8fvj4a7p#ef=AZ=S84586JT3WBe(kAB|#e6i|b3yDBnx3*w0Wd;Nxvl>uBz%Cus+xM9ViLg#E##tD3LY-;h9>L$c!!uGNzH zb+21%qZ`T=9WBubm!L2f43VK>qi;Lf>K99{Sq;y<$*=gLgi6TVf<+w9fWM{Zl3F7f^>QDtU}@%% z->&(O)pB!cgA6XQzPcP&wLSjGd7{}H8XXGMYUUR-51A2zoGM>` z4$Gs`IKTh1F+Kv;ct}X&ryCMXmGk}WqEbGyzjXwKnpwcSqb;SjYx_n${&s&-FO>$k zCG#hfb4WRrrNJb_z*vz%ixxsxSFyivcWtAp-kfHtwHVfSTo7pCpP+XT#Zl0)$RbwL z>jDh*wE1c{GuI4ItZsqFkDWmq+gD$hmzo=Dkt|Y+q{wD2QK)B#NX2P<4&Q9s(QQ^( z-2a$fGeuUX*a{Lvnrb13(H~wihpwfnJ+l>~pr7G#Ov&fSX|@kau9fl9&mHwYs9f7L z?No2&!}WR!AMPeik$uv^uzt{jb3K}>e70I&!}DZ1$2z#Mbk+@5dL^3cQ&==tw1#3_ zhT>Sz#QTMfvBen6VW_EQRowSxU~4V1#mIKSqM*$~D#qtF7)D8C6Q{|$ZtAqIto26G zvhL9d^Wcqd;%CAfk-fdZ0TQ9lDqF7U!iz?|k@a7QskcsZb65{5cV@NL98NW?s`&4{ z;w#ymE;K7}?5+gDr0!(%%Sc1N(X*UtFUNAGb60S|0>JErpOfw?q~E(rM-|cKQ`Sd? z8a=O4E!rDjrroBUF!MB&Nuf%NR-JlF-T66uc+Lz|W0V9kR1Syw*a?)q^}b{-;c`fB zmTQbNUCzso%X0ZbiGAay_nvv^N0_oT-K$laGpgNElT^~RMUyXmiv_I1SUOK{C>V2E zNXf!b`U-x~k%rZY^^`N2JzW=mfCX{8Ji z*Wu$r@|a)R1;R5yQq^?iiQT=V(z{p`;;K-L#oJ%Vsx#SkrCH1YX6Gnr9g=fOA1S){ z7-lKFxN%G^U9F123O*h8S)P`+trc$@)?DAqt8EX4&rQRz$st~!{hJm8EOgsWT*#J&Af<`Z-elibMpM~FJ!*OP$P~E>M~8j|vq$7= zZQH=I(($rqnyG-cO1YQ5BQIubOZ#)3&a@NDdX>5Ce;e>Ykvg4HvOivLm7|SQh0`}& zF>QVAKfz&SkO${tIJ3EHII{$LGM0S~_PGNvK`2QT=E`lZ=S6a7oKM7avdN&dtM-uO zoE9Y^R2i^so_q4Tsbbx8c-r<)o-4fP=7=#6aV|pl#n(P9<62GC4DMLsBj`f*{p_K%k7EqQ!Rvbn#6G;u^(P zbw5Q(w&K{{(2Wnp3bw$D^&sLQJtS@xGiP$u(j(Yr%TV*#rauQyLm*>uzrL$$qDuxc z)eV-|MN<^gk4UsL>*@onKC5r`B&fE_&8|-;c8U=fDZYw`kz`#&ZurG_8%7sX7igGE z;ZyN%!ztOkS)L-e7aq)=7(!ko@72YkuBYv-^@=VMFED| zU!(ITRk{OzNmf7jU3Xhcqw}dIS0_=7OH-Kwlo0-a?N5#TNMeq)%VU1<;DD16-mmUu zDGBs6m$J?1x?EY9e!94g9rk0THD&FTv&Ykp4VjbhKk)V^cM#p$wE?%G?er+t6e%{|~o6*5i+wpwViYRg2-4n)+5}fOgtNZZz7yL6t{rNaXmyQWubvK!7 zm-hysChV83@7Q{{dCN77eDwc$Knw-nbR#oe9aF2#d8 z1PMigyB2r1;u za#!k$RLPIV+Wu{XKRwsXTSEM%GE`Ffr5&EXpcY4jH4}$jiJ_V51K5a<+W_bQRLx;vGJB+KRtWCn#biY#31+pkKOChQss&ZhROTh9j`$bDS!dZZM7gABaR%3%ZiN%I z6?Tjd&Z@S86bOCc)Fue+R#=?7In%Bt1Z;5UDp(vUu-IcfW zvUPDCVyc`;j3A!J+t>0mu=okkoVs7u(Ba(9k_)Dp|LSXS|0|jKl0}#MY9agEiLfRu z@%ROQW2ITQv8(}Di<^ec;Zj04YbKz+k9px3FH5K;qg%W_NS?H7;?D6pKRAE8+M!cH z4OXUQsi26pPrzTY$;X{Fh!gTB=cGArxTi+*4v0cLNP1lcq*HyZ%plTpqu-@q;hyiV z7O;mG$83dac&V5DFRsbWn_s0Z==Ux*%{g&WFB(VFJX1M?xOzPDUYCnVjEN(tjTyBDW|2W9#3@TGWBK}ThNFqz44EZ?}jI~ye@6cYZy9#)8Sdr z2uu(5xJV?qdt(cF4f1MF*Q3;rvH=9}tKuVR2Ci+vL+c-CndYzhIExJZXt%MrO2_fE z9HU9&DnGfzu(uGtc(OI)7o2eJUTvRc24|D;1pbw9Td?iXD;cf~!mN+#WVZYymvRZ~1oUP&y{ZDFkwUM6!G+`hndE@|gkU8S5<4nN9 z<14i@>!ez0%nC=QW%Fd3yc7k1bh(KEQd(alOfoD$;mSZaNPan9nWzzE{|z#6Dn}oF zTsoZyZr-VmM*k#&ScOf-Q1ia-r+l6`;LeddKX{Dt)Ur~+79Z^P-0(3U5XCZP@Xej( zJE=t@(wzdtVryyisV6L6i~aquk90zQWjd+;?(=t-mXQQ~z4&d*`G#>%_~TODwlz_0?O+c=$!)C`14XGy!8nwdWfoFNP1xs&V9$!7Q1YH5*r`wWlTAX^`OvtI z45k}$HUl=7K-Febo`_MgZ+nwVr=397W`HE5-HOA1!K^4SMjuLkkYfH2#Em+?&|Yfn z5R;bsh1l;1s!9knk{FpSsb~E2@=Dsd=3DoA?jQzrT2B2NNeW=2!K5>L?+QsJpH1nU zZq}vp;rie*kE#P7uz=EJ{Gf0Y>V~Gdm?MmCrSGzr*`3&%mvUyo@R=Yu`|r7aOcu#6 zd)p)Kp#<@*duFR|h7Rs%R>R6EnOk9_7~qa>wbFd}e1&&Rka36I=D%BJUCbZfMV6>- zZ7tb9Uf~jwlvkfkL8c3IAY*^GW}t6&N22|rP}-t@S^`JCl`q@hskCYlJB@43H#h;& zB-Cla(vjj-2PRhDrYa6xf1Jejl~h6n+jBAi=AtG;XFvmXMpl_^2XBu2XONUmrFe$) z?-Jc#{5!{cf@)hFZTzz|J6pEt^v|@$b;i+SB>P#QhU91@?(7gZ5dxr&>q5evX zj}-lK(#RpYz*EVYC$M!V8O^rH&Z$;-a;z4Zx83pERUa0&saZ91r@6S^dM?j$hRbp4 zA7fp~QwH;skMSU?64{-Z{^M{E5`7y?#JZR6k7_T7Wn%2bFo(%KN@krV(6O6~Jo77IO%4HBm%XRxK5O;>HjMScrNp9g>H zK7GZLSvDE&w^%XyWKv?Z3Ydp*Fv()_rRYfz%lsa&5EbA}A;#vxk_xrM9TuD;)zLHV5U($nE^N zkD8g5tWzrVWqp%!$;xg}16U0~sQ1p(y$eP-8cU3G>M5a~U814che~8LAIlO?NmvtM z8H=QG{-jbu_^H(Ko-l&}W(9${^enyDvGJT|<*yS*k}DtaPx#Pu$a$1OA4~$j3;RG% zHul8d^YrSi@yxs)j57cdQz!u8C!?zs_PE2|{flM+fWw!|suxwmFVnc3HBP+jKpZ8B z5zh_KzmK0tUCNIa@>Ft{$fm1f4?QJ?73q?eC971eKPfHlzV-74=nLb`G=WtaAK+wl zJh&&n%V)mEAB3RZy67tn43TqHD^LJ~a1gs_I&PCQ03Yg@Jo;Gbu``czb-Z_J*!sqZ zlJtK&RT4?qLZ&z?PcMV;e7NrvGgMKojD?$uAE+cJDsEzT?kVGF&S`gF;PR&3 zYvLZ4j%G|s-U)!St;Xi)O9R?a`1Eg{Nl^fLVA!j2^{4J)Ur(N?ZlmUOjf>hEr99skAr zsb4z^1SIdfa(M4l%I>3llWh(a6)fB$&(fm4ql%%{-*P zyKd61{G86shWF7@_??;)eP6{hNdGRDvy%E6u|N;bTEn_AUtT!{au!BIpAyo#wV7f3 z$cn@88C_AAN~p(&vZg>QXWGtx-NB+YF#V((kP(zl>&U5NT=M$Qsi7RUN(cBMLh1v2UX{8 z%AP_N`}BmlPHzqNyi(Jsx64;doPgVZ``1(gHXLkJVb}siJak-xG7BzRi1CSDQE&$||HBP&bXVFj_93W0;zChlc z=J!P~cxWvXD0!Vm6KL_t(a6=egQ!-!`b?@BSZ1VF>-n*VY51|#Gg0gLFaE2lW?Gcz z9k*^F9#MjE>sR8l(`WONr0g>IB1T(PRKr%uzBG`2n9VetLNXN{A0pFpI~XmL6(r4K z9a#++d@9hgyL$ABX(dlk5Iq7VOe`GDuO&MlR&HsH`#$gF*EPT;2k8IzZrJ~KrX2+P zkM{c)IN3{BvA9yE8=ON1bO!1Kv^KaOh_0zd5<@K~(}WVjBZm1m?Gf*$b#p43en_B2-rzK%t zq&2wsy4G)%%!)(ba2|cT!`HIzi5@_&V~r>u4=ZJBJSFxK2y_T1%v9t=8~wJbJe$Dh zZ92N-ms3@74E(c;LY@UdG;L?iKr?&Vl)Hd;ztD3y`nYb6`&V_u$LYD!g+wS<53se* zA2v~{+rv*cX!)lt+18I*B{z%;(NhPst+MfA+`$iGyH9^p z;VWDeFs0i%<>_ddr(`zk`Edql`SAuTNmo9W8Z9j+Rbr0uNw7}~Z}+I#J+FI4r7~*r z=s46%`G2ZpdAUVj_CVea#D)S3S@!&ZbSD>iLa7z<#qP4%`*T&2rN7v@(VU`si2^;u zn`?*RPpIuyoG&YqWA2J5bTf$S3~6m%Y3>af^N!ldXXxg_$t&vhxB4*)PNp-ir$ebL zocH(G9_4Yu4FeR+nW-qrE>a!CBk}(dKcqXANt$0NU=c^D@W9Y>Fu(;~Eq_kSw4@c$ z_q_BgnzG|6&&PGvwO>>++~rcjB!YQk$qcr7aKcd*;Qj;u=RX3Q;A`0+MpHWnEPPf1pMkDy4LxD$22RGfgiY{f~ zd0TGG<9!H=gGG+H&UL*9v^JtG_ae`uvKW|G_Muxo!WnO!LbqMsb_IO;cqov^Exg!*~%(bXHFJ z5Ev$qkhQwyfG38ru}Gr#p9k^9hoh4SWv<|v@O|BxX1V%IWcJ85g?}|QG479sZANT;F@Z_z3C`HygXoQ=j+MzC=Fy%V!4m$xWE;n z7_%=}vA)1B+k{`T;gp4y`$ZiJCDQ4V zbf&rfDRnl-UU*dO*GFFeCxn@A^c!XGxa#<|32&NRmvmjt9SzonWfGcfo-=>R1rTeg znGTnCa|V5m(+Gr%h^`hY!`O7|rM%~nJVgY%(cZ*vdnR@P&366aliN^%nsx?;2x z?#VOTt5MKX&4_qc6%c5_E_D#rweINC04y^TgOZ9dyj02w>k0dDMO_6RIDJGu_$vIg ze1Y-iIKP%qbh%6A_I)zXuhCTcOdbIxKNi$NdJ9@iUu4(8L09k>m^aze>40|fRV}qX zPU9q=^PT33bTzE^#J2qX>~4i-v34atfWXFICTxH12_gR=`%#xu2iTTwcYoW<{% z_`s->qq7PnnT%!)h9sbK9|P-YPf*aq?vn&trx`05|LRNBQ(9*+$8G7WB4@*yi90G9 zso@gE{b;hRVQcX`BzIJkfysnjuWD90M0zqbcLvtCXrAeohk$R{;?Ze0#suP$10UA- z$oE2i{Tch4HV4d43))fw9w$3e^#8dIl z{F$hdQhU?vb^)hlh@^kZ<|tn+wa5zJ4`QqjGNxi{EpYGq7LVBAJH@!(Kb`h!!9!?K zn(XF^Adf&qy2jmuuiLq7H_OP5;5r{oAcNBY{h9&%-#a5GPG3(<&X+D>Yu{AXjJAwF z)ygG5WyTH{f$!Lk!?Lcy5i7s`VVj)rP2knr(nFO*l)hD=@CwfKt33QxebT^tYG&ZR ztVY2CT1zsBm_=6Z*>ugHSewTEM3A0eT%<6RI>KdXQTVrv_@tgqQr{K+7RM%Ht!DB; zCu3ZjY!uG%(PC&?(n`l<_RHx*@!WYFjsD4;qh>=`M%0I0S?yZF{lzn0*>2Nc8v2Ip zjNHypjyMhoXB{iGPTshEpntL~Ry~y}rD{>Nc!Fo`LT^Y3pi%s=Zz_|LPV_rc5`JR{ zp$UfYY`^300$Ho0AmhK#n|#kf^y_~$a~zb_jdx0Hbww#Dw|fxM;L;S9TVXNLT?U*< z!SLpig5giWRqK{qCLW{BF-OFx`oDC8VxQ&Wq^E?*Fhq5r0H2+e=qLMq z16gz>V%1>tz$G?>PVAFb-ZAp8xKi z%T~T-6_yqM$B(9d$C&b=9pVw_^XEf@?;P!NziP(+-dS)Gn!=sf$RqI%pJesA247F) zKPPe`T+baXhH*7iQ7;4U@00WSP7Ju;8+*-P6KZn@FpR0?Dt#XqJ!}!CraG4S_Lz2B zAL>eed#A;)n$|VSHl_)uQ%KKKF+gSGzjDK3Ch;_DN+fDcjVvop;(;hGc>F4RTU-^a zTfWT7`q9Zj<@EzC+%N#^hCf6k>JZr;`irY5W=8llGbBJul!d)YHK*ZX1MI(Jr=mXa zivrnZubWf4(wMV`58G|d%-#FSA3hl?JciCq;41tVt95KRn2IH(jw+@T{Z5-$@msKd>qxHmnLJo1{c8O zcIEsnD)`~Je%1Ov76dnxJ`rSGk)180F~_ME0g5(Knft8w%&>c2Wvz5@>@iZGs~5VF zyA_+74kV``RCL1W2ubR$+>F{Ury&)&5WVlIkXNsya>c^_{Q0?ovrw(O8lzGT+MY93 zHo;XTJrUpGqyYtoXQO7)K&OJSjC>8UcDQp?$1=2x&bh96oRyoWk6qDLFO*FK8%5W* z!Sjz7LX-nb;8eT7TuvD?&W{$QxNs+`&-~TwjUt~dD_yTQ2x+uINlcU~BK|&95ef|U zXikKd&3=i-C|>rCzR8Y-)?pBIS%zXu@|KQ;z}wvv#*eoAnSyu9yV6-lYqtR+))kMq zzhkGt@C5t|IQk*?Hdp~w{)G0Uo|<87$II9foocFl?z5JsP^GfZv5tyyPF(j_%q9kj z#0N?it7AM{rK(o+!fA-J$&F^jZ>c%%Y?P+jg)P@V>*nszoYBX6JrRAs#!93swV-D_ zCl)moBkq~xgfQT-cQGBCeJkZkqwJv?USwD>1)eFDt?E0w1D@f#ppBH zDeOqCqzzdy`#@#vE+iuKqAwnW7m)jzIy zvegr&ky0Npq;f;V!}WQ~1he$~&tMXUCf#YDyqOxTrdL^zkGNflxIw}l~qzP~pCbouL4;`0M-(+4}b(W&!W2pPcY`nn~M`io&xnn(dOz^$sP${@efF z@l@&iZkeO(H+&dSDws?7`MV?(cyz)cENr`|QGJuOm42gA_a7-cgfpEw;`?OO%{%Wo zrg&uDx;msui>0dgYT6lF`s^)YR5c=97xq6=4uiiHZ>1DSQ`5yRs$K$gN0Jb94W3mB zWpC`BNc5O`%vgYSnio@2DPx~V$u@xz?=Q104zxc|$);2BT!6nH6{jB3YSq+6d2MJh zZn$Zc;UWGJlNe~UjQcdc9wzlOfsknWwos2PNyl|8v={B`9b-M=CldN2hH{>Ksc>E9 z60yw1m*vMvueMhq7nyQD-sHPlUU7tdrN)~>;qk2BYJU9hDf2C8*-0fFCp#Rc%jIl# zWlSt=Va$s#A%bL|zdhnBEVHZ{oA-pNM0BJV>lpOe%B7gOeL7Lt`0Un(pFFnmB8J`R<4v8VTEWWdW5RKDXwUnLx#GaA)QkQDRrL;M;;IZd^ z_xX{%m8M8AV>i<1IEXpDr!s5tU0 zh@i}{0L7l`BD4v#io;)vlt^Hd00%0E1Xi@BrH#v_Ll~N7BajNwWY9l&{wPU27dn|H zvO84`?YTAZ#<(ff$T8KK#77ih2=6FrP||bD91MTV8!PoO&QH6r1;E0(kxJ#(< zh@N-N#ZbLY_TrG_+|`vn*Dh)LM)U4!{@@C(jpK2()}Lqj+k1Y*VkKo*4tXP7ajHN( z=>`6(0-Gr>=9+@FsUAvk)-0dqn3(u*yo@q0oTVCKdf73=hP-vmc6^-a3KAJcRl75= zGNNJ_Pnk(Y=2=k8{$42f4mIvn=!mCEA$H3S{yZ2Cvb7CS$a9XESP$E+xS*yTLEsG4lxd`OYYoEK8^I@Kj^gk6!* zNSTXcviz>uX(wC%Nu}-mmmmQsHT79j(C#Psl3S;AirfAkWF3JawX!ACrb&rzhf7}B zVc>u7Wbq4b3gk4o_|*LS)VC+qFILRe)|~ydeo5uo9A~xVg;*NhHDF2`w`2v07WE3{ zNVL@O47Sll*0eP!YB=S_hj*5&^&n)+vFtAwA3g+Hw3b8nLd6BExxe4mG{1&*k2R%O zapm&I$KQZZg``Jhi`NBg3l*Q9ASCs_kX++Rr~mV!rW_NB{YAG4av?)cvMY<0S>X9! zp0UL)vO#;ET9io#Z}#`_X7x?9NobZ|)xG#I=y@%Bfm>oK`1`hd7W$;?oE3*M$Dz9X zxo*iw4<3S$t=7|%PXbt$bre5Ik+aKXk76rp@W;qkr{~R^#q*|2J;#8~q(kV2sKY4I zQVzr&pj>C`0i!S0Y*e-3e$1+4M0*;LQ305F^m)bO=2v5OyAO71Ro<8(8=8IKC1QMe z!5~?7Jx7Obo$`NENa=c=QG#e;1E}Yd9Gdf&^Zq-YetGZUJO1DC6fFMBFjYQT-%>l) zxCQA}GnWM%vE1JgCn~O&{nhA_Ihk(JrUH7B!ma!c$%+mAOJPKpZr)zPxphL7h-<7u z)enn&=GY&el7$3j$5!^-6Mh3sANe^tN0XF3=#S@xr|dG?ku z%ywDFWkjSrVk}RoQ^tXm3j5adNT~}E9fkBcy9!mwE&&qrY`Un`x(*F3yF{_b7x-{h zPnVgBD<6DBb!YU|{>f!CS@GV8{sDO8iF}`GX{qAU&|pa?oM*k4>hG^4UVJFTQf#9A zqs5KB9{090-Z#59fB^JOFaO7$Oofc|=dUU{@!HMbbea+v6YmIJCBhCF?Pok6pfLQ5 zfIp`>w^f7`D3m2@k1&1+n2KH~@8EaJi@!;j?zq2##u40wFUbhsY*R^}fDvFZ%2}KO zMK!~(x4uuKPAV21mJuTon*N}tEAR~Tj>pTp3j{ar8dsWxX92yE#irx|I}%~?o;7h=En7S&@crG*p4&gdD)FqRg6t)4udcNly-yC1ALs~=xw4D2gQlx(!b z==jcB$Abi$FWPj9y)$R-oo`eoIFZAt1iPfAEkt3TWtTt`%;Ila*5TCB7WIWEE4SBU z1VK|dqtAM5R9~>?Ytkwc@!3gHr^={h7HS;S1j`OO8Ou#{i<;c1>yuk5{S7Op0_gSn zSp=&t*7Ze!d*7n|5Ki#?UQ=95Yc-kXI*n}cBpI$dA;VYOnDb^ zDLE!ha6hi_Rc@(kmy@7wl|;oeDK~PBYviCsfl_TE>#Xq|F@9PR>BZ(J`bvcgF}EvC zipLB>Ya7a^clo1WN`SfQ7l*PsA3~*pZt+4TQRSvG1jMcq$2jZJbXi6d#17m6cX1waO8HB)(li&gQ>ay`4%Nw1GhOe9iUxnR|ju z)(NGYHsgCd3!gTBQIQeZJwqpxP`Hd_08J9)&M%>CigsbjOiR8jf)R>Q7p1u5`q@s& z;JbGBHx)P-Gae|v2n8J+Ka&4oT0lCA-$@=2In_85{UWZ|yv*a7>r6?{Pklf$p9hzC zuC~mkuJNc^pfetWlQRSI&;b#ivW(xDgmLw42e|D|qu=8Ox?h~2{k!6K%!ccjCKL#% z?@q`|)x8;-{GS5s%LA*9gS)R-hB;RpLSTiMGfQK4fruR1v~Rb-B9v99%|99#nJF@j z9BV3xFwAcpr&XnIc&_y^*8Y-$)l*55wyrG9(Ap1p?Y&S*y^o}M3TF|vY)yMW5)tPC ze4x#lv;4|cjGcpSy_JXaJ+oWaCxa0UPqE2&7?5cB;R^6iQS{4aJ1kU|>6QZ=wvz)Y zZNCJ}5Phi$bEc76@5B=$4*CT2n3PyAN9+`x;>A3l5%z?aB@}^HoXh<-ZH5~~!lN%| z?BqMWu?1T>tCbX`e=Uw0D3?OdjRXwJBi2V5zXp;TJExCujEh^A5 z7GD)9fYrcnN;s$aQWyKTEdFAyxEYf&S%wzA@P!%?)7VIH*EC@M38NI0NwBYhvmeA? zSCXl(^ZP8g0}y*ujx~76Nlh>JHoflzq+;Ya3P^Q0vws{O&}qF1?(Jz6wfRph7e-yH z4Nrkx zOTE8G=M?o>K9U&1f+*DN*N#SM#K%tx4woMfw22mt(pmU2pK4Ov)l>B0{Fh`g4xDXR z{R%rv`k7yCE;&5~7d_(7FG5L-5Rfc6(PaZE_YAxT(zjgxR`v~tiPsid!jodFw{}jO zQC*muR(L36BdjFN=8h?m99<@eS4OS&!;TaIkUc4cT7L_*Deun>yX6{(AmY@${;*_$ z`;J}`_t_fyYKig>vUmTWn$v;|cFBa(Y3vJf&-i+0x~N(@F8Nem#^aXDHS6w%Mtl{I zjej-uF3YD;!>Xn&U+tFSBD&xlVqjs_#w0unwdTJu!_r(N3p}+kY~=QQikEmFF-;gP z2veM~i^Ta@1Urmu(i{bvBTK-jgZrhJDQcw)l>hvg5?*EqnX^!yj4K{;ZUn4*ZSSIN z^gH!J{lk|99lVDT$%?rsdlgHL>cer>o`{S8G#cG*Hr`V_wF7m7T4}LBL8&S-$wir@}d@0VE28{NFOgHO9(N;Zkr;3R_%DumA2CEMWdIP^Qv%y?tIokZucP=P^3h3@ zHoNIp@8q>LEK$B(cv|=T1L=Vb<73GIFSz$4(l-10tm5T1ofiW1Y_e=L?;KJh2!9+6 zgxL5U+5lQR;*PcK8NR#I#cge1l{0tx$kT+tEydc z)wHFWiP0z`B97yFa7BVRZ$EUdmW%zGUmGGHJMM0q+(4yOpxD*t{ zrt8te&Ll_Yq4{Q&2zOR&SIJ!6+0nn+1ME`X$wOWx{Dhq}RJeH0e5tDsiKUdjOHs-K@p9~Bo99;s(UFrgOP;?Rm)c{W~M}@wG{ImP5dYYVL0)T=8w{A zZ=+&6=eZv<_LU?@D6~-HYN>LY)+~M|W<|QK4tao5oSOSyf1NOlkN)CHrUw_8m!K}+ zIUC1v=}h};>SE1BFLwCPPuO-qmIr1xp;D7D=_v9$Y*G(rNTF|@YMbISK}admr&oae zAb1%1!`o_#NzERMajA3xJt=#KvaCHo%0Y$n&XUJd_e>N;6wstqCcJ9Uw3DmWTv(Z} z$U(N?R*$dSp?iEL7X1a+1E6DPo5vb`HaqxA<6NZCxNeXH0Q^G%C^9ial#9FMZq-O0 zi+GGawu%%2>j-wl^$6>g5{LP>ImvxHH(khU$ z)rnsDs?}nr$Er3yQhLa+6@<# zXWe39bP;@>r#$_`Jo<^jr$pD@OeRP942nQq@9~C+(7j65Wp5^(cn=0%Zz1 z+H6l330fA)s>umnz8K!M06N4d=djiT2LhVtSzO#dex>rk1w-fdNfnC!^k?j#K!>no z-_RfTkg7wQ)wJ{$d1)Fn244>;r8PyldM*oy49d&96$&M3#bn4d_l@zQ%2uGuhmjy)DZ{w#1Y4QBPRcmis5s zU(_!890u9-z9M1sPctRzHZAO43nL|9avAz!{1oldd3^=A+`(fJtA#n*lWf~C*ngrQ zR5_KGwNiHv@+k4rPapzozjz1$ay3T0*xmg&)mop7F=AZYV>$`zVxAaOD8CT_{6E>z z;bQzYkb^Svve>m4^o(ZUK@ZZJNhCZQmN>He1N`|!-Id|m-A0wZxuDGXLwsCgxEAc= z1`VWj`*EAvS9_@&!@}7|8@OdAx2`jY99#*DCh!A}f6Kz@UOsVYW3KmadF=gso8J}t z0Sq~u32Q4*#rnyT2>eJl!N6YL$vkhHqI4GMAep?fQ!>2)u-ckLiH_8-s^=LTqy2(z zZE#JpP;uv@jfid~fFSF_dhqU!4=x)tqVjz>^h@oJuMK!d7lcQP=Ym?1;q=FY^a3#x z9GcJxAt?^HiYU@tU3hN5UEXMp72hK3wEO9JBfcMxQ93=u$?EY)Vj&%aawvr>QmqQj z)BmV~`?`Tm7p?WV*gk_JCE5htUiJV5?azbNl-1P9R9WjmGbvX#MX&A(ybvFUU^j4( ze*%dzLje+kEDvtZh({BwIy-uHn1~ZkfFQ-HO;)~&hulBLj@Y``Wfti9;zEK^im`l3 z#IKXrYiNR>D-|OwKSBAH@`MORB}Cw#PWI!-Dp!e#yK7JH zGOB0%331?8p8P}EXDIG-IDrOFizi0qE2T>$X@d$FsISt2!(eOgH}7c5j61skcs#A_ zxoEgKXVpWJ(tRAnmaIJJ!IFpAdkTf&uOu3VU!?geASAvwgPenh7PLyEcG48so#(;L z@V--mO1?2HFB!hV(bI?davMeKEq`pGSoNw}&i<)w0D`y8Uy_02DIW!NG%ydcya(%^ zo^RaHmP=B(qg7>*9{KS`8R9(>g=Cvd(H`o(Um zse^+r^@$+QC1NX+FITimFiSskiuvuU7gXQ4uT+pVhCg<~cZuaymZAihol0K1st|)! zF5ECV!%X~j;id;yuVdjjSshWTVqJEaA90#P$Id{nZ})c2hVFqdEJa%9i&iokfJ4)c zOYBFA{F`k|_IlV`A}gFmp0-0~t{b50Ogj%$a%}Fi>SARw3%>l*qFI|ndMVhP?iDS5 zWy!Q_FJ4XIA7k{f>D|1gXO7Jo=T#2bw=Z=j+)lYT>Ca~qUQY4xlEO0=rnP)m6$9Zz_;4M!RegS(QKU*SUmB?CU!?Cc*Iks zT?Y3^>0E+x=+k9l0bdza%JO`F4Xn17uJeSi5#x8(7Uape%RI-(yp%DWu6ERF(TCsf zNeW6rzEK-G@^S1zkI^9ec|33Cfr3UffV7U~>tB`OKNZum#wRU^oACcEK<(asrPqZnr2A8}lEsB-y%aYtw#h?QE0;Rs?x`^e2lXSJuLNYNJ(G z?J}${b>l^X-s=acM0OgFn?J2!OM+B^lRHAw6SYROTw24MJQ^iEtPNR6C#Dxc~;Y}SRkt`?;5IFy19L}>{quRhd zmM)&TET-sjBl@lub|pg?{4RbFIN$S-gn&LXqMAGyB2r)cHHTuNhx6!;eZ_60gnp{f z4HrY1Q{yD|-yA`tge#TpL(zFl;+%z8Dcz+^%^3p@y!%qJnPSXWSg));AJ!)p1@t1X z^r!VqMnA0y05qq~w@MZB#S&J^7^U@}se))&h39`ZGdl8k>bVNkvE(kiOm>%gx;%w1 zc9AaQ^W5pMi$*^^G~+YYH8y?y$)96YlsZ}ABwh|SOxEqvhT^JOQjf0F&ojst@v~cf z)f181Q7klXZl}OY{(+W~rUVEL({ed5R3UvV!z$cH>I-9PhTkv|qV4CD0i(TMEz54C z?22obG2_G^@&CwS2HA~dc;$7W9Sqz$#wMKE~Xlydx7SVb7&9G&4 z>&Bddn;FnjZ{^{=^GtS`ToS>r{=A|#a8@@1XYfftsHSeNTKH8%<^q#zYMjv+tc8Xv zNY`+Bb!u5<*IO|Jlmu7iWP3SAp;~C>WVA@sU3UQB>nHm9uYdPdFjYlqp7AKa+R&_s z-oYPs7{v!K=>A_!?fj%CC-7s;{%C##4fW8(0Z+(Hzp9gSc7|5v-q~P`d*e^9t2!cz zg(!l&6XU6F5yOEG@Sp9xO>1)pL3}f!@O}O14;^mfDGF138mzQ%kfu|Tme*f-Rr1Hx zfKpuaw%ls<#DB@Ny{OFve1S){kZh-kIpr39|I2(=nJH0Zoi;S{(Fp!+fgf|bK)pP; zfa$Y;NWmxR%?#AEyp4z;E8|1Ur;1$*V{JIoU$S?F=K^#OVYQ(8Lk4Rxm|AtEH#3*p ze?##aH*JES1acrf_o~c%ckY)UpM-xXkC#w5X|6S1xF~mo_*|oU8!Ld}rwK))rS`Fn zpeJH(B?5g!-Gz&DNb`~P&&<)^`%&&HW8KL+GTOYJ^~Oiqo6Fl5xn&?D+>*#ptaFzJ zu)`(0rIU~cB;-mA3y*|uuQ5J?1<1Q>KYXm-T}@cp!K^ZWHK)8+=6qBwZ*hHG_Nw#| z!r94Sa@4vpP@bCosaZRI(md7=Lj3p?BpnYQ4TU#>(V+Xa>Nj+9-zAGcmKpVnC*DKR z3&apcTJnA-S3H+`r4z{BO$_JqmK3M!&;8=ytuc`GTBtH78oaL7qR;jiO3N6066aAi zNtzsSLw~*P3yx0#ul8F+f&Hp^*%Nq5)EMs*@$was?9dIEE&Xp%Vt#bjg2GpJPdbMe zN*b%mu2@ORQJBtgHSc77cWrK7Vp@0%zxXh8iECb_6A>NOM)<@N0H z+nXg^1%hygIRU0 zjgyDFJyT%v(HvFYjHs<$HEqIsxe(SAB>I>;ok%6BKF3~y06j?GfS*tC270PhbAI;v z-FadfbPaJtxw#|}QaK`Ml?3*Rdfdi(E65j(cE2B%Op%G>3{$6UAket>EzoIRTg4gW+6bkmCr!~Qod^BX zCXjDXKYxPPdq4OiQczvspEt)I@GOA1741P1{==dpyJ<8~o9%t?71FU` zM4a8or{`uw;Q6dgNdC$vR%q>POXP;6J1Sc_FQ`~{| zyzr=v;;&5n*K(SnHBi1a5_#X}cDdg$!44)FY-zRjN2cD0!2Zs&#eg88njH7B85{HoKH0xuB$YG<)+q2~A_#W}#|`kG5&SiAX^B3h^bt0N^1 zimdxs6*Yr;>Pi6$mf)k~`P$NckUH+MQfT}2@wO+oJk8;8JqWQ~5qDt%qt3PoZq4%Z zfyDdTBWE~&EZm}8J_hi|F}T(iNcAX);SesH2LJH?Esh3;=Q7xSQvqhvOj=j789VQt zGD}m&n^9`rwvp_!uZb8uKdxlMzwZ(`oN(r-?(?tdg4OzS^tL6w-E8=Ru3C>D_t);X z)zjANjoe|0N#*GU>g|z7a}PH?85CyAHEmW8nQJ~1zDH2&`QJvoHg9b=>)l7|3k8tZ zn(~>lwOj=g5_j*Vo#|EUoq1RduX~We&65xCdaovOVc~c}9jCe8H%Mgd^?9VP;qh{0 z1du0|JGBy(zv=IFI+0H{EH<*!?3I3$R-S?4 z!~$k7JyP%X=FE8WKR^7>7+gF9T>n`DzR||u>+^w&tNv%H%#;Bxo*R(zbi-6yPiFS( z0eBf|mg^N*X@Agse(x-7HIoVZY05FRJl*93D=%M}xX2xY7scE13xYVtGTwI7IWmL3 zfjryOql_3LVVCNO_T{BTAkRZXUw?)!!$*+UO!`p(-bB5-f$F8QI2EOyiQ|FA{KkOo z((BaS@x~+Y?ug}O>Qb4qAV|We`F!pwqo(1MvrSoDed_g5;QUA}VgF4TyR+-){AGf( z^{Q#R;Z)+~Krq{H{w9cVjU)9jGt~n;m+NKxD#_$YX*9k5EMJi4z>}%}RZ^Y%QgsZz z2Y}w+9rFY_oPC}DTR$3n$s_4$4VnL$;0OeU|LnsTZpL034*%to3Go|;n**~=c?`_4 z<}hKori^y4nzq3+!Ljg|JJwL(<-m{$QZE)5Gs^|TA*<{Kv^u?OLn)$_zwY zGSzIu8tA<+akTy$bhGXku-4+evQzH^dN{)FnZR!Ko@i$ZV)$7)k$WHsv0h0M8K`N3 z^=a))*qxTA&v7x11HBJ3{Of&zo=J*pu=rQ4vokd4q1gEtTnM=dE_~B=4Rt-@T>bwz zV29fQ49Cgze;eSxeei$S*oT|MEHh;s{#8?EHh7r?oT*^Mvn81QpqkdITofSocy@}} zkU>JG5zf0=-cO0<7@QKLG<+8{pwY`EpK^y zhtkM${V4$G0UqOwvAOJW6lZ?~fvfuhYJ42C?dM+qHl6Cc;*G;HfmRRYOB+@J;u0h_ z8QYmDYqb2yPA^_Fijy$KHk%Gftp?NQ2J4`nwX>l+82aRWFI;2M4Z?Ep9q zrvEcRjSn0h4HFWXJ@pLx4H;T6E>U&<76SWuVRJwkc)qww{Otu+@4kSN8FK^{~q~ zK--}pDH00bEh#XL0EzgnW_zgF4jh!d5}Q39_pP3n97)cRAnV_TrHM(G9GO7n%zA^{ ze?cPMJ{;nb5W5K$56}H|pNB{T^#YLB?Y7l#I1(f(y_EHUw_7C62OUp$D+6w~&muPt zPYOX0YcQuu2nDIon-AR685BEh+v2q^j~in?1!z4JlW+fzskeY@;|co4X$z%5p*SrL z#jQ963KWOnA-EOSV8yM40xfRAT|#gQgy7IpJa}*l6nA&+oBp2X{lEY0eR8*VdwX|t zv)`HBxt;NZT-l%rg6v5Dp4*Qm1DaN7p@f6Ri_;2zWc5F67+kbY4d7qk9$)H2jc*Or z`CjH4T+I7^@-?9wrg3VTsip%ro+8Q zl2i4qHw~=UzGrgXc%bPv633krB}u2DQRmwWTN>Ehc1o#2cs2;5P|GR9Gb+Oiuk5#- z56fHxmZxZ(ka7sGwKo5Pjm5TY>v?v#CvqIg#eWIyfTC$d`~5JqZo76r#R)bmOmmKI zxGCDsBXt$bxty7mNe7v6x{?9g;{Cq#B8Zrd9OZw_-h03Nt5NYKG?x>{H|14pZvP$f zf1cgz)UVTp1uZGiQo7$f#pFL3@~_ooqX}~21e+f?F?lDvm`l(ilGRY*=j3Cc!o5jw z|LWseGkI$yO2`VEZ~cFhL5nFoKCuy zYV$?SN!)FVcpE6;91gmm+|fLb=7fuO8fU#Ew6F|dPC*OKhyOu`hQt$n&kdLVUVQ5= ztzP2kKPLEJoJ6ySbqjYQ@(nYjnK{)+z&CfWX1g_IuXU(Svb4q5kQIrjx>Bn(tg}U~ z3j=4TUY%dGtvl>~!jqf1xIU^ySJx(zh^*ABkjkp<3{3Y;iEYiLHL^85`;HvG6?a@m zcouTqoTJHs6ltqWa$G;&cHBP6R0vG3_iX7PZAH>ZIBt7bcMGG+&;lOaHf#-!8qVeg_8z=W zZpAtSwO!C?fUq4&*%~Tr*S16*WSU8~4z-8~w(fFWU))@~i0?1gqp9#64N!wOw*gm9 zV!wokZ<S)i=>@yy+Qi+Hq5pjq_i8EsVpv` zubW|da&@uj-D&FG3mcmXsA#d<1KQM_d!d0_wjlROJmr?E3gNHY=Bgx~WcJ~u^VLS0i%B)m6 zI{uss8mg_o8htcu9)V4^o@;bV4lk(RVBv_(s4V4FOg6Bp+28;$Cj=+Bn<0Y@ey%m}lCam+7M!h2Zznbha(>BN~DN z>4qP2&A=%};+CD4xqhqzM$EaUo+`r#>oTL-*(u^*)%<8d`HNfP%^TBCqGxfr;)5q> zr#n(=ueN?0pn)Jx`7hdQ&(!6xiRHj$GWrS8aDWaDiKY!&NknUn)+w)irxQ1P)=#gmdbnVC|V(`~}QF_9$je%toZ z&}8d!u9@VyMvCbf;*+HHS5wc9`Xb-rfC$@6_QrFwQUKgGsFwa4tN%wV`Zgb}0jWCcEff@ePRnphAj#C5k4(l%>j-!|+a13pEjTQ|7Is&c_Xtr{u#4Raw6W5b{()O$24^ZWBj zyghE#%vpK-4*xbciL}NRUx*D}ndUh%28D3zY`iBQ(*3 zZ}z>)rVn)`wg*3d%ydv!rot8{+}2eGM?A7%U8{zXG|S@855B-NOZ${-&pHA>o>+nH zYREt}-lAKS0xv`+fjmqmfglNsgEvR|9s8QjgrZKtD1(IFXLDZfUban)_Y34rRB^B2 zAuse8hPg4GF+SQAFHC z2V2qfkTOWxj(OzQ(hHZ1G!wNycEWv*`BPOtt=I1mhk`)9kgB^9Q>O445bfo0s)d<;Iy_b3sqAd3`ujWyU!Z2T}lbq~%eWbV0Hd6tet z?HQ!4e5&qTwnq}`C0T@yR03?F({6d9-9iWYZ_#O^)9IkoQ&pTiB@~M8i>qWA3^d_G z?|638Y(Y@&C;7jNmt>i1@1(Qhz&>Y4!y)dH9m3WCc)*|-zTfSQT{xp zGibNmWnJAB{dUuTb<=%y^K(n==Za*tpJcU9FS^K0&CE^ZzeOzj7afR8aRSMAF4GmL zyZ3Jatpx&?<%X!65DZ|0u zDHNe+#m_Glbf7@pv;R&t{!;opQ0aq%03Fa&vY?SNQnD}>9h~JHm)?P;a7WpbsKn}z z14m$z8B}qCR1>m8N$^^0d+o;rr47}jAvlflCi240ZepWB`b$MLJCR#)y%@j7ZI&$$W0z4-?7zd${&^16di44`TEt%z8)KXzVzrp8%qHy9fdKi zj6%DTxiaChn6g!;#(5s$-I(T8xG0rpubn$LU8Vc>-Zll#o2rdBK8vr>XQOK|?os$x z!Q`tle!hh0GJ29qUSU!T4g!-=>GVvxA&kL#=#ANb8`J-81-*K7{@%4`QmY;W)PC)R|F^bE9qrtE3vE~(Ket2}|@HOz#@lv;9^=LtCU-h_US-+C+B2&p~axntC%lZH8#S} zd%DwR>i+GTAU7bYvk_M9=}*($BB~15$7}Zei*ffhG#70#X%2l;A|tgcb(VWWBOJZ_ z^hoLs_bHcDbqV67pC&$SOKmV_@}%YqUW7PsA!Z+z zF3az@AMsTMl$>&A9Cd(Nn~OngheWJ}o3`s&qn=Oa_68z(GBT^xKAkiV>It4rxZ2@6&ado#I~%(Q@>N=q8!F)OEc(haX3|qHT*=M4*U-@RuS7 zbBS(IH`z?Sv%NhvU@=qj>@-#_!}HQ^O44x)J;2DYDs9}(n3Q}*v1)`Osn%GSuU)wv zWgEHrm|CHlPV$+FDM5P4W5Ig4q_J)fERRn{a)CGod}lPS33+1Y4qe><2h7l7?(%Ty=4Vec`Kg8P|h*Y!OY`$tK3)-LkfT}0V(h-*G#q;tKqNk*h^SPX0q`^r!a7oh$ zy~7DBTasig{mUy{cGj^yOy5lS9llb~3aXoQdqq$_$4{8%V2A81$NdV68WpRiAo6K7 zyL&Skls{2@r1jZ*_oJ8gknznnZ5`uIe(}UB2s^Rc*cfATW&gIErD@~`iQyYJh>TMu zyFaTt{)H-AXw+W)@}0(8)Nf#{ab6kGMvR>E-J7i3X5C|1sZ@@-j3K?Mx}Cy#kKh)S z2RL@scvZvnbEA4I+${ZJlRj8kKSW|Np22dPsTD)0t~E-f!b0#FPBoduk_v^;6Qmkj;JclO)TgT&iMF^97BkOZQgDyTuf{~W zZa-x-mt^_{)WAfX{Nod?xH-NAEEZMN4%rbOW zH(TG7MM5t0h`-6aa#n8)f5=$~ie z9f%MQ>f70CnRB@ zZemxM?rA;wfgT1P?$L^zOoI%!h)M1)s0Zn z+0`Qzx-O+~cQ=bjB`+8=M7U6?PW_e$btYjsvVd%mUh0IZl#S0t{Ap&?pi`98p=zn1 z!mDm-nzc3rSSxcO{)}kQJvx7`Tl5tQ$!@__Hw;!fNO`WMgi%_9$?(yM6r5Do*<`L1 z=FX?oU=Dn*K>M_S9A%lSV6mgH>Gxh_l3$Vdv;Xrclb8;k461=v#lT;#V@KtVPeb}2 zHB%m?0i#^nL0{udj5uBA$}{W>DAqpAo;$M>-Rn$NJV;QVG+b$^<$lNW)r~v0FKpp`dBUr9yWkFXxxxfS%txN*fN?+t- z2ulF!#hVk+mGj2(o-@~qMbshf6301IrO>ioHir8{xu)b-X4DIJK0sGrdr>J6DYIVB z@E(;~dn57Pz8k-)TwiGO-heDmaOGjLZ46e^V=nvQ21q{o$Q^AQfAlndrnV%DdE(E+ zys|U+yUI2~2Lc)I0PH|rirI*hA6sf0+s|x`@j|YekN#o|K!=ifg2r8$0Ae+tF6%noK}L!dSbg&s z1AP4#!}Iu(x61uB`_BN6qc*jl(LFT}@^21^18Cz9&${gBbf8$3ox0W_9lnR&s$^bL zMwyG0-w}(iwpAivS%wxc*WY8NBm|{O^NIW|vAItL4=zUUQL-Kv6xlt-nfTbJ$Y$+v z#7;saE~t`!3olhInbPOJN7m^i(cwK*+uqQtev(ORJl~HvVSe6|4eS6`O6^ivc&pcd zeC7?&x{vOAgvbCqEqZwSU3h`|`Ph(OJwT!8b*uq)o!abk(PqJ&w+mRx8JMiZ$-*HN zrH|C;{IG~b-~JJ2A8q|6Zp8#0SW!y6=PXNY&-%j-!CWt$43wauJdtjiraA|z@*d8P zRPU237+M>AHedBk*ePBX`it?|UN=VEwf%Cftc9!xibx0z*wY9m)fy1r+ujs+SjW9JV-rXT+G99tyc#QZOFnstMFd_(Jp+b;S zp!#|hY8D^!P7yWWiX+ZY2nzvj{AoBQ>0;JSXOb#8U>jK0VW!B|mUcF;CqB7MvCeI% ztglTF&!6E_R-75i*MACm3bQK+?vRWz(n@u5-6_XcSYGW8%*h+SARs{N1r72OUppg> zIdIrD#Y#wl{G!`G>cx`Tdp1DO#~?hb{avf{m%J8P<=VL-kdXr+q7gCb!tinFDe$0LDQycl1 zy(NhWOhPS?h$T@iSOl5~Ew)!;^CuJB7_r{)M0u@hu(E*SxAH&ebHl74M|PZn?_WdJ zCZCW}JQh6b{G6!YTtY4&-0$-G5YL+AEho5?&>zaaB&+Z-1_5u@cjFC@OmiaR(+9Lv z^@=7$4g!INYUx_DZ%My;YHH;_p`oIN&Nit9={XvwJ5oH8$no~$XEwAVeTviVOeT2D z0p5J;Y1@U>ll@j~7OvKmp9(^l@ajc!QLIB*u+aUNA?&l7=U%<(WTtJ=vr z+%zZxmbffJqDHTgBJnYAllNk<+5JM-XrtwQ;_Le?hTK8n^ zNclwUj(BE1Bn0_wpND+!CUZ}HnQZ-aZD(`o#h&_nEjlzoJ5#Sh;ZGN2#izuECikug z-BsUaDMUypXJo;xi6CXm*0j_G(C%Qa5@5}%U|+gl0yxQ9)0Xhhl6 z!?&aUBrJqK*pkoI|HMF=2XbYbBNs4+^#mjqs-&0Oik5$3x#IORKwoCKHCt z4hsAA1uK~#1O@R_R!R#(!P!b&l0@Gp9uGc@=)4Oo-0qGh7|~%pIvy)i|M?wU-jOKO z<}P=kH#8;@Se&7(qyf8;vl7mzlx;#$`r7^@x3ZghBFwChquvb=_RBP=){1~j!56- zls4GNlCrVdTTog#^F#yx*T)o@Lu;VStJoVNm_~Ris7RAq&nnPLrMOSw^YtkH4T!bv zxfXE;%x2tQtW{C)rn8tI3(pk`8$;Kgz(J8XL)>8*6{(ad8}Vk4U80m3M?^`eK5smk zL}oaxD0tS}%NMBt$59OdKHEAMhVgB9zMm)-87iex*gz#kQ?CT?0l2K^>uR@Ga5!Sj z+jE@~WU*_J!a&Vg;}avp_#?yg2^=7^qQImEE~urDzt)Sgnn7_*Oju)%$!(|YCMMTV zF1GKuyYyBen{j%EqIkw*dkbQPa#X%W@o;}jD;Xw@8z33lK4$|y?LA%VU zVVw#DZe#+q_>);J3ZjZ&uk9vHQmr3h0G2hqV0dk^=5U8O4442>|z#!*jtnvha)VK7DU+(bFzjFXoX=-^29an!bCMjlGw#_2i4uW=r zF3_6x@90p?;6sWkn2;}0bHKmK>FnF5)r7ozxzNN0taKpheUEy}%7AFJ_JnJaPJwN> zpJE6YRpVR+&ws=HD3v^nzIDvbP3*db4|e(8)l*Q2et?bDg2d)V;z5PN%`<+OIh z9%`V4VhMUr{B}9s6`<^*e^5w(4iL&lWsv~4r6!Ard7oFR)$h6lIcKc~-7)@CLXlgnD4-w4k(rHZJ{+czCGz;4cbd201lk zQr+ZmavvpweycbVP2q5+>Nr*_xTZb)C-Gh6ulz_@G8}um8Lx3OChb zoo#nNUv(b7MtV@xYmU1~>_yBKpWba53;$jibR&v$5XuIwe>Bj>CAsD&z_^b|lar$c z9Z)h19x6JJL6h37i6p>|vCr(ufUsxfDgo|FHTzSllXLt9zsx}Vc8ASrMT&IvFp5;O zbOo|zZ0Q8cSr3paY>_DSf*;J0-K%(wP2wFMp%oJpG{6?rjk3xA$JPFuXavEB5j(MA zviMpt44Jr;ST_tV4xU9~qZ0CC3a-U=o~jieSbDa8t{*63QxbMfQB{2$G2lv5srIbo zvw?4>V6l?jcU3l@IDa41^h_y~xtYXTm5Yo)e17YSetKNm3=n>fFU|zEqQVoro3cgy`?zGw_+XnaWShQ={e8E3|dH-{>R1%+h65V^DYj)c3*bVJhX$9?w zuomX7eOiLPzJ|&RtQ3#Ted$p8aUyFQSZL;tcYyA`1U_?|c$n-6#NA|Ww`ZTDd@P;o z_QbJVK`dNv0qgOS(gzw5N^Jiant}=3=w|f=HgP>8X5dh=Tn52VQMs)aYXkBiBdsED<16E*~;GngSD^#}r+Ql*Zk-_=Bl8nOx4`zTkiiOMjk z5qlBPVd1v0yD?X4SdS)t*M`+-b2lcU4!_cPs+Czg0&*st^OdOb|6&LNN^rEkIw)Z5 zGK!OwQjGra#=hC;JEZ(XrgncY^qI7>^K&jj#$931Y2vL3EkVNq)@xe@rROOZ!4vm2 zM+skP3zc!dVp9X~`Ve2zF^3#A{V_fKlD!$yD`LtY=AMfT5M13aj(YbLTnS%S|3eSE-yX*_$swe79^Q9f zZ_i3FDw0S?BGTy`vUkQMRZKVtgDr2TZ;L*Gq%U~lp8YbdK4?13H{O0Yhi7@Le)1_E zp4JV?xGRMZs6m_MA4Di$3|XWFR03qk>R&xR5>@%#6~W9u(3okqR*Gb_pB9LWoc?kE zK?i}g%^WSXEq?KZ(pMjDyqs75%6)@7PUXEzwaeUDiF?J%0xWONUQwILq&e#!Hlz79 zLlWtU30%l;&mAnn&vwXPtFM0XdOnLKD$HQlZ3r=7VF}cSXw3c*cl?I2Oa3sDHfqlj zG6#S{+=DXS05heXIoM3c)JnA!!7@7dGrS>rp=@8{|D;Z{i7UE8 zi8OuImCp{ADLr)|Y8L{yw@^;z1sTqH=<=}rNo*lz@1bS@r6`)*@=L-|q}yFkVC|o` zH)^P{#d{f_)N$BQ8uaen;RS57Z|c_T$$K=M#tx6Y3dn{jMIt<}qVysv@n`StG*-9H%EHeLG`uQ4<^YL7@Z`|} ze|%I8lZ=GAx?`LrWgwmN9I16mmWeBvPLo||ZO)KlLwT9#W{1z@O7}ngnj#C}b2jb{ zF&FVU-8#Icxb@RkD_3BbyL|Vjwd!`8(DkACXyGI_UZO}#BC37n)N$f|>VA(iTRdRb z@q3=A?|IXT!?|?{Gl788nV6ienB#fAGzXY~VUi}@ZgwLJqxt(2fI2Z!;Te#OB%h;P z*-f=$8#JJT_XENEox7L~Q|g8)`CHNE)BBkvZ!{ z24!B5OqlzmUdV*Vtc4^acfu#u35$2~gkhL1D*Q3E%PH1SFYc%!J(oJFsKvZf<-7=8 z9$OYjQA;Jrm!4ofqAM2cYF2}a@A(Ft=aQmvyg_dbGr$Rt$xbZAX(sevbe!k z|llWZC%O zv4rr3^KRI>;CQ28SQ0E(lej-dxPsHp?a^!)t5Yh5YU21he;z!-9o|)zG{=nVm4R3& zG8{)r7Y(I+;kICjFY@|Q2dt+-tPc9d31Ao+GkXfHSfA%T;>+LvosJJ#zMbv z-?lLlC4>Dv-isY~2A*t)Y;SJwreFLvm%xKPFM{Muol0zbZ+X$dd-fN@`?JNUx4dH@ z4O(WxjmqNPvTfbZ2EHW#F5F_V(X=;S*w)@q1uCwvdZW#EVEW2m?#khJtGPV<_wpMacOtHL;m(p+?tSw4e+&Ejc2()8j{z^Gdr6I`-$ ztfI{HB=4^oTK3#PTz{jgVxh8-;&C9vJ|q~nF_G{FeB-sSS5Gf45n;+UkfPb_ai7fg zz#jU6ba+s-0-!nv6o&lC*~^YgV5*UJNm-vkXr z#Ni9rTYKCd6HWjjIgwP*DTLg2JTD^=k`_2Vr~H_bgSRkoL*f~#mmJV1k0ak?&C{p8 z;qkabD*nY;X*=r$~}b*PlZQD^BB>H#G&d{yyzA))OB>2*!x>360ECYbL)Jm zlk9C#wj&@oobsgQAyjv0u*Ch7#@?1wU^- z1&BGH(*uqV6myw;$(Yv*;Sg}!s@kvg>Bu1Beeca^j308&~BO5WVCN3=F`3u z2z>Iwk9VZ>w(@pMPxOUX5nFL+hyy{sins344vv{SkHPj+w2RnSLxOp)XY-t05#Abh zACg`q5+;`s)e zeWO{z(Epfx{tW7T#izmqWF`8-&6H>Fc3DF1sUH$gT;yz8j-fTLqz%W@CuQE#{7yLc z2NSY`S%hhL==WtPmPk#0Cvi(0-#G5o*stQGKq;N3Vue(Sa`6Puv$d_4#c6Yi11Gbj zCGc`c)wX(7hc8|zYTTc?Gjjbm=L{<+Qz?niiNA%psm*00dHx$^rpbZ+k47Y)7Gq_S zUMDZ;3S3(he)2jdjW zI{#u6Hgnh>+ii46nY>vPX)<(~RS`;xIz98gi=8q96ij|3%?;05;-q}%W3`fm?|Vr3 z7h|rQWTtIDKNraPuLGZXILpKmoC`Kgfz^8s=OydDDEw$bpJ`t`6-Lj=v|mgv0H`{} zV-Uct)x2<>8;B=&D`96W=j_^zl_JH*Y=M=P+;ATo7-WP6h?Ve!WY&&*r%M8L8Mc>@Q6zwvKTms~Cya z32WpoUie!MRcl`w{j(zA_I$Jtv2$e4@m-?R&#K3xtv^^&!lnDi+CApD_LJ>0%VA+^ z$g-nr9OtdiK&p?wY^s16b^4nEkrqYa5c2SgZ?eujAd}Iz3v@1&fue#2c%+zLUvh`# zQ>%ISEN!5A{$c>=BuF6@sAAdjgh%QNhsC^_xlzVmlbES|Ks~=A1t#O4yDX9}Tzd zJWOv$*M0gU2f|)W`Ul&3ZB~REISVY~5E#w5nD{~|cI9|A@?|kym--9%{nlTMH}vWk zeRIz39T%U;bck9PJDFzK1br!F~%5 zuH6oRCVq9-x9@z(NU#Pxr4@1O(32RCwbUDl)8#yv46tK187fhxw0{Tx+-5mw%Ie%p zszPX=WQ8%z&~LK-M99H{OrM-Bso{g$TNPf2S6(OI|M+iu-#ktAzx?Wq^&&Suj6J!e2|LDDga!uSW5 zv3b-WF;7T#k>g(sdr}#3yn?}BlCX;^|1GnO%)?9#EX+r5Z)B*ap8e9S+nHgGjVDYh z?l63IkCNFp5q$QTpC(`BaUcgxr97^;;oF=} zvR|^A_;0Jp)?<%6@_SRe1xkiLa&b_!srmhW+rPTR`Ab6AEm!(`eq85{%PRQV!*&Rs z$$U23(?$PCy`%{9Lwu9fRc!=rTJ^Cm#!?+VYGeJ_JiKSogxr@qB;ybKMx=_ldxJPf z%pFjMJw7GHP8rl01JsnKyM%@9Bw@aaC{N!e$HgpAiZ_)p9N?WXFiD}F2He6A1i=#x zaWew}Lde(G1OMss;u z(Vg5McI!gKr9yXG z-rmRQU@~V1eOi5z5~lkmjFY{dg9-_UJ4ZA)k>7-XS~EPAfeuxcda1fR+`AFbz0dyG zhRhuHKcFO$8{9Q9?*bU%5KTVS=5HY37dE4>PfIQXS^r`%@&S;|W$)B@`W@9m(S6zJ z$0UN9DH|YS+9|bVb(}g7dHV!^0kpxhG>oBl)-!AeR7!HRvB})lNhvM{cq7Fd61H=e zU?eK{6-z+3crLJ}MUnNy4&XKN0cH(JJgd5}J!tYC!HwORfV7oVF3L_?FBCZ7;lWyu z2|Ih{bOy7_2yKXc3XG<;$e~Two#plAI?0Nc@*Q4!&TO56)yRr!GTZsF=0`0gw9`TT z6;4VcrgQ@zb);;p7JhwyO>KQV6aIj z=L!-z-c(fC>Xl2#^6>j`vBv~;TrKTNA7q(ZYi@J68^}rVl-Ip2g7P%RCzrBY|B2GA zaQG?x)M?fek4q#fiTEe3yZV6ZbCs1rh1^&C>rsM&&>qm|+7ZOo5t$yzN1<`E6D25p zhR4iPGYqZb(JU^0ZT%sqhh^oVA^VRSGPrXE435Pe+1av@hQGoz7&_bJ6JZtZu2+fk z8$_PC=0KPYzuSVTdsWOagQe;u5(iasvUTen1*U2JyHl_M zDMqcW7_ds1J*YZ^;G5+O$C>S^@0#pzesb z6Y`c2GC7){17@UM5n$IYvu~Y3iWoiL#9M5NQxH5X`m8zhs1<7F1m$M^wRH|Sge<{5 zGH^YKB6YgOz*6A4TERcHZ#=mH#sfY%EC-H4L`-wB!=LLJ(-UuboJ(7O|6u>%@Wv-j z>~5TD(|Y=ghX}V29PUv6!~l@^Jf@}Eu#z2BA`bU#G0^t+O`HKnzTwGBJP;R%h>A(? zwJp(D?|&&0qQ^^^2QT~pj1M0rZcc5Z%{|DHy!B1ZD@Ii|TxQfuC25o@;~tpEB(fEz zBq{4S%ren6ry?*c28V@T8k(^GY*m`FJh6n7FsgfUJa-G`NPTYLX42uJk=7Z|v26Eg z9yZt>=+TB6ERRz!iS$zo-EQ4fgrTRpJ*1#*iB!uQmw=$NptA#ZEzG=-%IWPVa}Oo{ zMJ5-UX#M4&r8$Uf19tnPXj!&fpgJ@n#zJ~R?W6n`%7Z1hMvVodO;SeBHWIkxLToLGYkaFEeQyKv*7lx&U^1;;J>nmXT%GE?>=yoq;%5$E~0)O(S*7akLh^#i5j zO|H98?uydp+t4BUl?DlAW=pa0ACc@DE;6Gl^|Jj!)=_eePD;B4Pcs#{>7Py>%>=G7 zXv7PHq3pm;kf9O-JX-f1w{&~eKEnSU=?TA)s?A&mIx-z1^y)4|@hdUyu^mV&9ax;0 z?6t2GbfLbLq%fRN(vIOi{)A%`r~9|&8R81#m2cmI7Z(KXBLo|8ffuBdQf6e$Mz0vr z7j4FPEc!}X(oOu>=`CITgJz8dk0qaJ+_RJKFn87a78f+QbK8pPUkk~8B67Ip|T`oHuVr2?|2un>I6hZ1q1erk)#MART`N z^1PM~^D34ZZx}}yTXU!kt)=@#Is9B>SN_;{nNgDHP|_Sdo>HU9;ZA8dUaY(7kZc&Q zzH)tJ5!_Z#i`a6Z9YJUUMTEw#B+kOvhCGrwfbx7^Fg0#A07%%+7kr_XH?t9Ms0p(A zYB*)<$jBHC<;Wt7Mks4@vw7KEWmLOKI+GukvmcmzkK|!5K|*mHfkliZgo&p(8kW-x z9H?jO1)sGyX4&-uLk|qyzJ_I`%KuJPTg74*iWU2@B8{|Jb*7+{4f~M_iZ^BPyKiD# z03G}OYIpzQ|C+nKRHTyCC#AuA$1`+0pHi0g$`mQ$D&RiQsBo?Pt4T4Y*1WcHirHi* zj3z7cH@^;}3a-vzOIl?GuxzNsFkq>A$9Ktd3< zyNri7DpE`qQAejCC#|ar!Lhq+hE#}u1B@GNrs#Rhx2bAV0h1m6xSML1eap^ahuO_6 z^?q*EB29L*)8jfLt(tq_$7jF zeIBAs^K& z!xnFS-v30IQBb2Q!IRT{hN>7xITL9&^eZvn07=F@5ShFPw)Q69w8-UQP~GRp^K?c) zN!4>(6?Hh*i0c{(8fD@)381>3Q~UU&7hrwG@d812nX(^2Yb)&V?PyQ%6|Dp`w!Zqh7r*wd7qhFk9HoT z?$MJ0nEzt9sL-*L6x^vU)!%O|H(~(6nUaA$V(&!~@3nA9FYmE@Guv(b>+5{C^fF`@ z!XU-b?j`L7Hs`@C?Y(9F1A3+$b5;tUnLtbve^eS&5(j20zw)N$dI+a~<&#BXyDiP1Ml`9bvmytN^<-^1ofhO-|TgZQf>A?3khNSAoCAliUP~Lt8Fbc|46sW&36CST9j;L4eW>z)~fr9e(GNw`; zm?EP%#BGt;u@yJ*p<*A+J5$HKhY!=$s!0p&*D9EA_&@uQMu25%mSRM^7Cuj{u>yp* zG{@dke*0DbjyD}rtK68Hj;t+52dM5B#TGKZ8|Gt)>m9*-C6D>Y3VR+gReETy82}p; z{kh{QNq33XYwY2tukF^cBXgX!w5|!_{$eBsuX@y-u&^z?5R@G3iH+$b+i*HYkMIV# zy!OfWr|?G7@#74M@aReWCt&$o4f8opN4Pj_^|H!`sJMeKGSChbR1`vmGi6dqOuatu zZ7W{rfxVIcCzo(;2~;=y2pDxXlJFte>CUnCETyz zL@kB4myJlt1nHa9TkT5}i!LeBTq#CUa_IG5eA4VJmU}+)hG+7mh9Q7NjbAkft>UjGsr}O=I$xlLiOj0BJa2qwyhEOM1caj|r`)~Yr7)DAhDaShz zJwDQ3aDylEp4I0YjonXCnZG()Gucj!*Z!EVz3&QvYiaG10DaR&L-3l3@dSx5Y|fDt!?<@ip>U z!6eZ)N!68D&VV?Y&?}v%onMBcX{lH?Na}RV=^#p7gO7vL5LF@*DvHO#98D?=AfUfWsxcLlkKf>9_fV#UELg+3U5aP*r%=> zH#7-7%A2ESjh;!>tvN9t1Fc=t{XJk`*>9GiWGx_WEw19pm`9_I(jr-q@yLThQ zeq+obNYc)fzl_Tu_!q-12sa~q_(L>^i*j*ovo!&z^ET92hfrL6NI0D8JO5CgnyQh# z3juq`;od%}AeaRaAas1~rR57bo$j176_k{*>;i6FWIB9|`i2w;%GcDse6uSV?LowHUzuQyV zZVDof>inTneqy71VTP~1o3Z`(sg|#IKsSAv>x1;-p zKa4b04&03ct6Cp;Ud!SQ7BZDN8BC3O^*e=gs8JA~%1d|6HZ-bfVNT8+tM&j$)_q^I z*$T45OB)d!X)hZnKaF_~ra?im+Ovq%{?4Pu!Y_r%flc zFz1Nn9an8jPh$&8WZ83PB$b5AYXyCwIK4{WDC3wZZh}<1EUy;p=kGtNxzdH_&H&Ld zGj>MTQH1x?7EH>5ap@o@>7Uku0_Zk})NM}+?*`GsP)5+ao1x^8z(GNuPBRjh+aaQ< znbZ|S?R~mgQW#-AEYb1S;SKHA6jc|d&BJfoJ0Q^wyXTzp3vAj5vq1$~pC0>Kv5b?lsFK{Pd)by!)d*XgTAuoXc^FZJb$-HZ zAbC~ltDjvzbnLaZnt$1nlHE__bPw`l=jm*H@}h-q=9;jS1B*xj01GPFBhMWmdpmBS z5+oKC*|8yLPZhZ9-ue~Jo9{rgbV2zC(or?5#x>+~Pou7`G{k6NrA?zXM9?aAg%wP+ zySGp(340|aM&Z>H6^y&pzdGGiO({$zGYStSP9(Oa<^85T7@#PnouJrK9{f}8?B!6a zE2GsO@yMn+MBk{#nx3V07i`>1q%!RQArg(?Wm+gQvimnB_#SUtlqrX7T#!F%>UK`J zj9qaTpV^YC^}BLX3J*FubDmlvkdL~33GF(8zs*Z}?|_);FLs`d4vz-P3Rt=%)KyWJ zNy+e~m7!`vve-HlhUzyHa`z85(Okskl;VPyEbYXrXhLFRd+u*)C??aG-5Pyr$qFK7 zzTtMQOSzsI20B6~>A@NT`*u{I)Y77wt&_Bt4+0xMa)kPEGrv0xa zXzOHA1j z>$M-H<3Q!x*eU_v4c1O7Wq31DcVw5`A-9%Rhnx>8u&k=N#M7(JqTxu}1w4|KJ1*c` zsPj4P<4>q1g_NpZnX^qYV5704db&UPGB&Z&GPBg*xpgd3XGY2tfSHOe7D5tQ*`o$f zfHrAB)(8oNH<6;FYL@|Z=h!Q$zS5UJXB6#qKaxfIsKWII+Eu8CDqGE{D!P9=A_C@J zwYpDN;J;cU+6|&!y4*5Lbu$cwCD(E)ZE7Ut*A?6)cxh z8(*y9@S{%~PKQ#ZY1IVFw&qjSWBD3Nk9hw8{(g~FZ8(ILMul$$s@TjV7pb@?FBqN2 zGl(qPX3v(s0ji-l2_%Z1SPX!Z-WkZ6fxPJIFnaHPp$qlZ&#pjTb+1!T3(1f|Dt{%Q z&-{^IewMxJqJ_SVHSHC18a~1-m~caWa%^?!yqD^Qkdb#KFr$*0B`y)-SAFy^%}n?0 zIfFDM{rk>dYgccsuyIp2Os=>;@o~#RoM(`tlQrf|l`xEOo?0yO(h#KphjCO@h!s|B zsC`AlIx_$zWDYO_Syi^PK8$JKO>LoV(i=jlb0`awMVlG{Kat|Yqz~jt7hI50rA)TB zOMpD>6*p3wI}p~*4KuS!n+S7SVjSMusUce@@@^eVteV*dh+5V|tgCxY?FQ2}rWKULqQ2qP zATD8OOYAz{*exn#IN6nU*~}4)X`hm5VR5IdnMQFBRlZJ-$5iIWNJ+k!N&-fSLKLhU z+PV|8r36_(7H6y!Q{pxvUns3y)md&E3fa~(OdNvb!41oxUU1%MbuV}L4xzlc>#Lt! zm%B#`x^m)65(P`-bRY6%dHP%Ts)`rE&7^j2QKO&$LEjB|jpL*XnIF{=L{r`;WV_~t zc&qbQ{roG>T2P2e7D0H&0fT)r)TvSWpq)4r0^;8I&EqR+IZ>9`osO8edI zqofP{Mw4aBvf+|@HqhD>()9Qtun9|7Ts8{cQOaXnVP!eGmPwX! zjuf)$d}%VC)i{=js5Ul@gm%P);-H?&_pbQxqfUF&?wFxAJFzH=uIil-eqmmpNc*(! z>q4OUt2{Q!CHX-?~>S55i4*LO?n{orEgEO>S27#=pN| z{ZNS%_s&eOdfW9+I_dl9S2}P8d7N>e*>mq9J+D}QAeUAyOW(8WzvAPTgTHELB)c>S zbuFII+APIEouXZ5G^rO$sQ}c`m06HhX410FNd>U$YD!304WYy|o1sq&HyU$$XMDXo z!=g`SidsQZ`aba|ii@pugrdDg`)${RtlNtXEy5n6FDSXmPWY|4jKazi{u#S~f4JI@;>V9@h zYEqR>%Wm+p=78I&(ii;@@A)uF7Z|*R>ql3nG(Tz4dbcO9` z$vxWI4$iET-c$=3@f-R|Av7(jV?(V9Yk@AlL`nP5PQqxLD62H7c{ZhoE0G@Z_dlb)9yvOB!eEZ8?|aXPY{`85fx99(BH|Gy?riw zr9}sI7Gw^~$mr`B#A2%w)Le+^0auazP%>^!%#5Z?^2!`DEs%( zjMlFh*V^-@`yF&Grei_n+U4?8O#-)B8O<}q)ZR&L#Hgm%OO{ZpT{UT8gU38)*0i$X z+=aT~L#{7oPD`1-5vLWxa>AD7sFUw)DR&7y9&pqWd@XzP>GR1rrOUeN<|WI8Mu_F% z!jwFcgg)6wm{L%w&2F@9_K7W0%V?*)W}0!trWOJVo7G)7RIP|S=z}VV#KxTh%{H4> zlAiRUw3AwGBOa7M6j;#dy*BtKlu2dUCc#OlHcZ29kfs~rZ5K%K7fNpP?;#k~Wy%5@ zv(2GNbAM)EoxpYxr|)*}+Nc@#a8i>iP*zjAaAjLI+jORFLyZV=l2E$;0JMwewq&iG z$xvqMB_&EJD%(}pm0_LFql8qk61)Z)*+K$TU*7og!=7W*dW>6q#_5;E<$C2k(TzWe zWdkUx{HBNgO0PFdW9}6cAB3CsXP%E7R!9WHJC}2Peo3d>bqK4l%oP?K9x6RmZ+gA& zpsc- z<(q$uRuy`~OT}{vQ8h>MW@+vR=5l3E9g(qH=fI6Q=_rh8>PoczS!qoe1m~bs1lBp$SCvxSNvt{B#OdXtQ@n-Bc!3bR7N*teCZFcQy8%yn_Dr%I{NK;M|6h)CIv?f}2eBPS3f9?|< zDp*n@K?}&CS2^TlGBY`<^F-{yx?8z~j}on6RA?u)6f}`lLQ>d6VX0)I8v#M@Tl&KJ ztC@hS8{C*jIiGZWuUwzH#?hzK;WV4YTjexI@>e|FE_;|ETt5t7Y+J^ z*;@Mj-xLy|B<0mCt?O?4ReH7WeGBtbz4K=ls7LSISug71d-Vk3+F5XdQ;s>jS1`; zT8k~XuxLtJXB<+osHo((3~g~PtL@hmReoIyP3rF#7=7dhS~!OtyeQr#4cd)7U?^ch zvRlnKOp)63!c*Vs2@iCwiKa$`A7aiyrwXJ z(2D`XE0)a!hMpL|{cUp_;?d3l>TK~$`=oDSLlf04?g+%t0mZB5R{32K{FQspOP=9T zKo_D-`+iE%wkiQ46%Bc^j*tm7{$hr*Df0_BD|>?dq1AEf9rYMjITLBD@M?;@YBLJX zYgj8SLdh3NyISo;X_(N1?&VZnbzEwfDD86AEldOiV$z{IJ1Gc2t0gI`N?9*DfZG)Y z(i1U*a??!xyx$bD7kXoRF{Z~38|kfHgHvld7bJ9g&J_mJWowPvfxYg^UQepf|vbc=}N$+`k2Pe{) zd?d4yHhK7B;vYT)X~@DQub+a;M4xU^-rJtoAXV3nRdZqb&Pti5_{&- zH)*nAqH?PIo{HV69P@O!?iCt%WkZ_woa0A%?2s3}8s~Ew^xi}DKv@zFl37{t5Uaku z>#zIxS2}P8d7EtLRx{58_Pt+YsAW})t@=9j{{Y5BXf;{sZ&l&4T0reG!p+RG13`>J zS`H;l=4xpCw4l7y%VZ*|!7(<~o0yhy0oL3^j`HjNlxf5%XvZ^81==lS6w!sleIEhZ zl8}|_p^l?y+1AuhiPh{QB_R|gIF^^5WyL!(g{f18(`{lrX3d(Sq$-oQ%26vjId|^M zPaJB8DT3v}=R-KpIIBBDcI%gL70mn1G%~JY0qIY5Iy7kll2lUD$g(L%X;SAC)+>J7 zCxF>aHjd6yh@%8|gIM;N<`Sn$2F>R2Wy`8p`%HRKru@hjYfrU#1f%Yi#TrF9S4iOL zT>YGAyt}iFDam)F1$Kkxo&&Um*-a%IHWHjB4s$X$?G7u7vwgX7M9RAILu+4Vb9;x# zpD~L?x4{r$zck+Isef+cTSnhxw5XLfn8-$&Tr|7ARryU5{EqO-;m1Gn5Od~jPCCFg}B3&gYZM#JN>Xk5k;*wO|M5`Hsr8KpzEIOs8 zNRrZ~1`WoURwbLHNG!2PtEl%6nH?QjbBOU5uGf~NN2!&fqrMiR;%KfHC0%r=t?Wu& z#OI7_HGwqMkrb7-nrbjbGE}s~Eo|G9mc$~UJZtzdM&C6wQkQ7~H%d|>U=G`+N>x`= zX}?OLBA*&5Bf1f2%}R;_l)99AlFNxv zW$mY98`m&NYBb*E;!=tb{8C#b{{ZWwNjiyM-=&2sWBSo5QfZq-LIx2`-7;)dIb$=p zxY$TbHcL+MIV67J$5m?6g;OrPyqQ~}AxhbwH}prHeB*Q^0-kW84UO}j?qASsh@O=W zp~*)ZiBb1JWOT}c)WU+Ct9+)5Hq@>YmrI_hjXVc&O^>uZ^m=&05+QbmcN^I2(|T_q zLL^ALl9^TZt@`V_oUZ%mS2}ufoJh=3M%H!q&uG=?!%)g6C)y;j(gt5nMuH?wN)lmN z6*5S6TK9J58ChIQD5(has;c~)r>>=1CmitIc&;CHPBk|%l(UBFnpNA4G;sx1a!tTw zYYvBK!0>c!@0!PJt*kX8JKvVFS2U!lu<%2418QrF2xwpw*$$;=XpL-gn>HdtWW_YH z(Up~D3YTS;)hyU-ikSPq^~DQ(`i3siS>Beo=D`zMaRa`cKn`@SoMod&*)&w$0YJAD z6MD3;Ijj}Cvr%otlFdW|PASPWjnizUNSk*jCW_XAptCIVuXYr~$DBbxdaLrfGx;LP zG1BL#sMEg%GwpwRKyl)jT0}Gi6O3!)_7Oo@Op=PjBwfb$Ja@TW_pq*X-+bQ#bRl`y zvM=i5d;VP6#46JLAgx1ATXuzWXEKE<^A4=LpNzwphfdBKcZN}WNS7hgQTOsTRO)QC z&Nvj??hGc>-o|A?Qn_TQlXhcH0HzkWlqqsjxLZvg$=URB6WSU`6B^W8Q?)TQ8Oq}R3hcM{{W1NQn8uZ zsi$V%!fstQysNCq2ZAP_~M@uTZaijhYXL{9T zzefpF`)L~~fD9eV{>o8>ouu6}->_6#sohPi0~Q6R&;wlK{Y?w4T>k)Z$~ncMzclB& zOSWw<0S0J5nugb#w#iyxX6&f(s^2T4jyjQK<LZ7+JhkkKj%|`&aPevbl>954fwa(BN+@gl$%is~SFdZRWxYatJ$~=}aB|Sf z#rD=#%GS$ZLqHe>ZhKFcr8BJ?4KB#P6)HK!S7|m)%+)|q>rc4EPQiV4v%2d-R z&tt3ARgS zTL{ub_Y&?~+C>fJE}+VaUdqp~<>3no6(u9OKnh(b0_?-Ns$t|JahE`IXjUgwRY|`b z&yqCA7$6`!O;%Jc0LeZkgCFt|d|sA0MvP}^r|=4dHxx!&?-ZnAGA>5pek|1rzH$Kf zfE(x2;%xjhrtCO`?Bo4y`QY{16Cc`l-YIY#jie>ZmORSy>7C9>-)~p>Z6W-L#S*TU zZ*_9hM2Zy0vs7sP?v$q&5+SggM!op&)d7EIrw?b^c#JQ`QSmFj^m9DvqVwz!Q9)QU zZmA*NU1O+Z{mM79(iidJ%R;$>(yLk?2)da#xP+xnI@(mZL?vpcr6e%eZ50EI?6ZG! zvr1xST`DazkwXBYWgm}Pc&3Mi8g&eQiyt~zIjK&jXbPo z!uE=yI#kQ96}vXCyVBzI>4f;bF8YzB0!0mEB3P?rD2fJswOPxK(=BAf07Xv|No#qd zBo|)9MA>aKEH<5^M7n0qRG;lKI3rG=8FVq?)0_N5jpa`LXkFTqe`)Dv`$*Bv1<(w# z1ge`#1tBB73V5b@pzcD|g4VFw%C?d}CZI$0G}+Xnb0|rdd?ZUj^xN~3AEN_#wd0fn zbx-@}I@8WqiA&zSHm@FCR(iAY`a}5|@0Uw^;as%hlqgeb`$cX#JYCFEAxTU!1*2Yk zY*5_$M^<(!^^@v3!0mimeihD|Z9I@Lpd~%2)Flhm>B7Fxom>d%89#viXqPYvQ;B^+ zPdb8jg&4%kS5&iPnI`tJD%RQQm1gXdInJ_-;Z2!|sbrfwPhcYIn)D)q4`Y2r zEJ?bh#c4_u(RC-Ir4<(a#;o6|CH;NKZgU2lHVUF*i3gTVJ8GjTDDKUPXG$ftW2Nee zUl37wiKhV$I+Q%MrP;e7YpE2fbCXf0z0y`KL~$ZP>}@=tshun@sHR&1T`ya-#+OQa zhGDU?^Fdrk1aEEBe_ z3sy9j@)r${OOUQwZAZAK3XGzoNO35nLeK;@fdL>Lct06vp>LCPFChvDJ?B(e7aU;ii_tg-UTa`3rt9)<0o_# zH;Jc=XIMtY7V4XQo$!UJxOm|tql`^@ai`UUnO}iUb7CtiJ=b!S^l{AxCv|rHPb==Q zNn&jYebuKW({b*nmJ=Y+OL7v?YvM`6Evcvu`A(p0n6z7Ov{P{*TG;>z%(#YWw5M zN~Cuck=XL#)4Ve!XKy&^+aXG`DkZnndjeJA(TCl*lo^_~9k8JS8Nn=0Sv1I3m7i3Y zM=S3;y0L`rT}R)!*4H^+V9NiFXU?6zLyQ#Jhak}a7?PwjwUpC@oxO zYVLp(!6`)RaNr1=agB=9+62`|T6N1|8fac}TK3ba+EeUEn@R1OEhp*=Z|P_OF&b5| z+)4orZ3+bv=55k%F_ztsc9Q8iRX`NB_p43N6@xX~G<7_1>iFyT6&4H%w3! z_C(rVoZ;;C2hVloiuynKbLw=lZuLC0s7G|B-H!V<=qvk`F7C{%_Oz(x*7Q0*8rKSF zsBKd_G>0nzJ-Ni7Oe6%eH~^&xSCy|-a5-Cg5tmj_jq0iViu&2-E4gG@-8eqsma%fY zoNF3Tetpf#>2G^RG{VnuOepphg+`D*E+XzWF46_?7T1IEaYR?xx>Q+NIk%GL7NS+C zenJ<{K;t=b(kNF%?CNYiB0=ca=&pZHD$i2~c}jWU9N#xM^xEP6dSokou6a{w3L(fVASr z3~Bp`l&wfkp9>NR&tW!7-_jq+RBW!6z2S{Gf%NpprVeYOtxKGkaKy`}Y}%~kzYCQs zcTgN!dv!%hx@wapaoR4Hm9td(!m0lNsjn5>Bk}65O!>RGfI8;yLKS#>gx|hsC zp&ZI>)Q>e&0v)XjyuL=5(j>6>eWkXYG~COgKdXWnXA+V@IDJ+uTSh zZ7GZajd$^bmYz{etBX4VqnZueD!Qx2^U$tz?|RyT;)101%#xLAznzg6oc{nqB#4`;;yuj~n>yC-KMx!!`+O$cK^=YwB?BJ-geV>p#P4zyPFG-D+&-I;9!p`5nB6wK=b z`E>B2Os}%ct=lYZW)wH=Ul$ZG}5u0m2k$IS?<=KC8yV$x-#x+5N@uO{n3pr zhj5izT3y13)8^AN4qhhIJ3vsoRtl_HG;@vg@cffyw;ZW2Hl8STBG;*5-S*&{@pCrCrO!H~on$o`$PLs83di4ptXG{G0 z_ba8ZK=RVc`~Gcf$_hysp4e<+c0BYkHo8RpsLcY8?aA^m3WRT#;<$mS2}OL zK}i$@x7yo6Hkz;JB$a8Trxtog-KVV@Cvp<398KCpp~czc__ap9xSq3eyGum^!eV5AC%`V^lBUukvXlxx0+*)J zJHdqns-l>f8A_C7YQO*}jy;tFfm-yQRgf z1m8LqUA%fv3$Giz8{@0Cl+#h?p`X5&07S{Nn?+_HDr&CsyS5eOWBW9S_D8`i( zFJ`_bhZC*te=i!l@1XOM_ew}yQCa)_o@&*b>#`#AnD~7pjI{h{fp)H3bR?Ig&e3ys zF;==9c`8gP3&qT=;VX7oCC8s7Q94u~ZzkSAIn3Nir^7QM&BYO9NKGpA;OTBlQagna zD&pwu%AR|8lvqoYqLj>%ob>2Or?YOvtJ_5p^&)xnt?!I!#t^y-%;hKmTId_+ z91%L5>qh;QR*(}&-Hx4R)2RnJ*3@C#lPad7rh+N<5j1y3o=NP>QB;*UFR)bd>nY09+PQ%n6(*J_5+%A1(NWw&uk z9bU?=@if?9m7Zs-^i#b?!tjqZSLBz}AZ~_UJ9exiPpB7B8jt3*&(9Dxoh*AZ%SwC{ z!oJPxlcVe=2KaDTw3rPHb=b8k(L^J%IGkJL;5a<5Qop)-70#RQ+D8QeD>G?HzN$_& z%6e})jm>nAyH5VJH>TUUDGn*KOH#JalBlW`X7bevaD=U71t{lEx@Od%lujtLw9J-A z_NX~|AMH2FC}$ZN&M8*i21`{q4Fw!3=;>p-n_etxADX3np4m%8;?lRSl!`zb7t)YI zP^qMZnXD1ob(%se8-it;w3Nl2liZ{yjN(%hV)IT93`Glj_=P>DhIHl=d0=u*hy?03 z$x-Q0ec_ET$TV=32_ym&rxH^i5I z*x^fQ#=eo<6nz^+m9OTpyV%h?WX%IPci$Z+WebqJf2)s4x zVl6=%H$!{%AOf|f{&i!IrIGd~G>{#}>VDBzCrEpetHZ~{NR5~=u2YRGoKO?AmT|uT z?@|?KoFuNkqPh52I(f!&_A~-hHkA~eRet-D_hW^Ql1;SiXmg7wUJUk)nUHZ!OwBB) z)*QQ&i^?V($V{&A>bz+c{oO>}HFXD^nQA0 zwQqBy+jbP2H>gePbe+{*xn(mb@mmE#j53s&Y!w#mFot|_FL!l{2Yoi2n{MVpWEDs> zR2ydMLupwPV$Zk;ZG>j!9X>7=b5Ee6oPeZDwrrgSqMbtC^>nFz*&1!H!LG=bF5?<_ zp4L=ie3ir8h}~W|(6*T;Ot_&xCotj`@{5nS;V9kNDo5-Pcg%|>C@UzITDWEG-5#v8 ziecr^nn9|gbDbjm^ifs#DVK`bZCNW#`Lxjb4W>Uwg92FJPHDnw%QdtI;^J@ zuoN$|t^8Cu4D(pIMT{qxI1y-1nzQnY>K#ma?Ti&f;&DN08kgp@=gyJE(!a1gw6OdO z`=wQIbbk!iYAbnOBX`Rjqg_(Qbz-8wK&mgzyo1dGu#;V#~ ziJh8do{V`O&iH+S1(#?^^E_}28vHbj%zDxE5~3v!E6p@$ZsGrjjWwyF)VB)mn#dGOiMrq5#+uL<1!%^G0OPHrmJ&Wx= zcFS4}*6ow!J)W}LNu^tS-O zR{}Khe(6HbKG@r~(qW`08vTZyX&gFm+0-~~HInAuD+PDTv_BVUavD3&K2d7{&mOPc zXXRJa2UB~U9%>fnuBg=CIj4{2)xBLS3scN#Bxgi%KWwE&kyWP3&Tp&4ZAUOK8sL5! z@k2%BT}CXc%!79nzY@Lg;aus))55^eLQLaWsx?VUt90G<1`v?PNeQ-`9JC>`a^UR6 ztw?AItaztT6ynk$BNm+?OQkuvNw}#7ZmG0p%k5ZAx3OxkE?cLO6QdrgAGR%Em9nnQ zs}ftH0UaBs@vYt~2K7o`$h$J7MDpwt#wf(9Mr(Ria`;YBhAY+_6`w3iCmBc8jEggV z1iPwcS+|N({`X$o+^;$*@$a;HjP7*pw}8>IbQs4_19v)8`2!kpC=^9-OI$Y^XyZQG zq5EX;yHhAm%Tw7jCloexB0EbK?J7zfnQaMJb(AJrw%SE2`%NA3Kp$jV#0EknYsvor zj$`9=YJu8O?s`L2^)K$6R^1+U>Zhrqv!~kQC>ux7_bF^-+-fyrr^gC(;ZhXKu54x& zOw!7g4k)TCz@nHT$Y+t{ctJcoiXg&y*1lDIp**3lRV%bmmv>a=N!1@Yr;atl>U68R zn0aY5-iGQzW!2`<{`4y9by-X{c!|7gkBHrR3PF{`Y>x8PwuJbV-+c<_A?}u(6G9fB zZ3#*>LIZW0Xv%#620BU~@!!^oHkLwmZMboYXeg+Z+9!}!w@_6BB{eppWZd;BL0!4) z?J5Ck3krD-B&n*nQIP#k->Qjz#HP+kCka=~;RJ)7wD^Z5m zaYsLmnmz`0hEg01fT60_`IE$;t5fFJv6E%QhNWD_U6;0sne|=YF3YS zL(6SFwG1n3usaIdU{jOMXR3+1K(}xjQJ1f#+Hd#Kn8ir-dO%)Ur0$6<`vb=*LB2HN z4k0k;`-}LA;Eht{s7}QJ!k1+nJ1wG=>2rnB6s^hgP!tR6RmQ3L+6lE~etwnyG{%xnR7JK7wShWQ-(QX8;`$ZGb8TSBV(G)IyZC|$dnVa=rL0F@~h zjgiG|S*=hCXeufZLs5<`qv*8i8{5oaTSaL*I#sO`USkx3MJ;zKa`C7QwC*-vMuyN8 zcQx6|RYaPUrXD|PlyPa+@nj1&=*h&Y?q!Rbt>43y0`{AC70Wrm*~B+xu;9q*Koczs ziD7h%YzFl6yj!U}>t8IsL#@A12%vzc*UMBV8tn(>ly~&2zLWaWKM3M1%76>fNj?rY?pj?e`q2gIHC)M0v?t_4KWvwf>6RFk!%PVq^pv9oQkB~sYKR0~&e zv%!#-Cz0Tx17efe)ZXUicRcT*8fiHwHMCtRB*;EI7R{K@D8{(2SEVs(xr!4Z6ji3u zC1nw&H&4r+E-j%-iX2VRsko?@QpFBoQJK#7nqg`W9tf?hnq*D+qJ~d*7i_>?&ZS@c zdc!`Al?YsGla{Of%K9^&iiOP$pp_*yXLL4rlD;ig@8S}mO#tGsU;(sfB(z%a^%5|a z9Ngx{3W1p2}TQH@B#InVha3!gyaHYAg`-IE)PHY#hSlQjBU>x*u|eWtYRx%WNC9px`%3=+zlC$As~PR4`U1Zp zE}$CJcJGQv{F)PzI!Z_yZ}p*46(qn8FzzZhDHd44%GbM`RM%cQnR%LjO?618@bfL=jfq3rv75@EZ6iQ8Y9Smm{{SIEIMt>c#ku!N zCbKQMC4TLi1k=s?JgO{-X71jk-&{y4a9K`N9aundcV)+0akn#qPEK!PG~tD+;+m^T zPdmVv==A<|d`|SK^M)w)6ghSe>NReCkI&{bv? z);4aV?XNRM4*P=M!AwoZfWB-AM^oY1Ma4KG;V` z>9qyJX=%;Vx_jmvIi_*Vw9e5=T#}0EbE>qGNfPqF_GX6tM!YM-h5fXueqvYdSa9!S&Q;m8l7`H%}QbexP1E(?Vi~tnc zEcCiXz!ubY?uJvDpzaSc6w9pMWi5EEv;nW5u~3J{5sE@Hr#>$vQRC{C&vkyfG(T<> zV_5IhZI2dRm3Aq{%Vi> za;W~_NZX)zypFW^US@!=0l+p&98DtbFV9~VpM%btGM-8o;ss>$R>eI-{*4yzA-R31*y5+^=QG5~+#YS$+agvrMWtP*3Z1$$mRJ#4d zk1+6q6u*v~xRWR;I-cT}%yedPmTg3xTl|T?R4_$8qRB1czfaqb=$K zX6lmDWb~#jLouWG(aV`Mp@`Cl@=5bNj}^3dpj_)g_*+E=;gu1yS2j{iCt)T%gZC$B6p(57`rcKOK(Q0d#Ex6)iSt%-%O_draewmu~6Q=XpbmLcf z)SykhX@KY4OAuwI{SPV{K(j3kzM=WVBtxi5-l@18ert+r$oC4JKBLyyMwd=eEP%G@ zL-6y+w7Ny(qraP4PS>Rk+KwpusM9VE%AASQS?!xa%?R-1UJi0 zAG2I3ej*p+ZMe0{ttR5P(S520GVLc6HqeJqfW1rROe>p1!2O6``2M9GN#|eRSvYO! z=0M_C3ah^I70yInZEDQWS89x_s>4wHwKwEMbf3C|8Z26#MhVLSNkyj?tW`GXL)%c8 zLzt$0%qfF}QPvxp+9a-GVaO34Cp<&gUzY!{>Q1N?1&@yV-e3N;O-fxYc03jn(+nA0Jb% z{zEFSyGuW7IG+u);JrC+@3`41V(4=hi=HOESKBEgI#YL-EnO`#lMJ?tt+9ru^?z&T z-=vXv34z5J*c)00n!ocZ<<>d2c8$;z`DVqP)q>UfZt96ohti+G#*G)G8|)2`bh@yp z^A7r`^)S3^LHJnH-icM)z2T$B{j1*k70#S-p2;BT#SuFy;6r+Yby}6Wrg?Os@KK{% z&X%SNY^AX9qyT4~#Veh_zrsz>VyIKyX!6rY+s!zYJy8f$OjttFjg@p`CO4U{QYolU zWWvjN7M0e?!kl^NRNGBXr|~cEe@pz?RhCzG*iwv0-Ez~TB2r67a%qhcnAM|5QdT3ECQQTK^&b&mq<PSHgQZ^;TZR=}DmB`v;*?Gk(!dWXy zUT}p)Jy#Qlb?K8J2}*bP^Ik65Mv{IvzG%(-?ZPaceP?o85fjw zwFqf~S1i#)VR+Qn+kV&PLGTiy2!y1@CNF5t5$4n%G_GBLv)!Ge5?<-d8+T7A z3XG;WSNGMZ>%yxYCvKs}if=gvn;|T>wTen@I`TYO^o2~k*va&6tbAiUDWmYI+eG_h zhguD^v;xMUN$e@SO!?xR%9eyDZ8H06Q(D*_$;~=J80o5&`>p=~ai)G@Zv{O%{tt&R ziB1&G+(z`g(oWHY9loL9tg#st#ZA>ICTloL)^};SX74_HIMU16#Iu1dP?d!qb$?_W zy81Z;)&S$_Klvn6UHnALr()fsPK4y7h~e7HVjh-Q+G=%!MM%1|$^(yfa9f*gB`%>M zg%Fi$3l@KK8`l)Z@|D@=IO;yvSt}X{JNh^{(9;@+=j6TQ%(BvIOm0#3MC*Y)fDp-R4= zRjW*!KdR1E+IH%Ky$+N&>FCje@m3)s$&E8%QoiYhT}dMMxz;umIMc|xczwCw2;Q?5 z{qvBnbm4jMjx9fDYI3Q%Yv(GhFLgyx(ucxEj~|X$;8JjIme<|Qg4*hdD43Z+Xg%Q~ zvN5JKn?($SO^!1)bvE|Vv^b2BU3c;6;EA6%?w@^xx>vU=Qd-uREOU(&b!pk+JH5k` zx>x3%mT?!AcEH$9UjmY$S!9Y#SS5kZSMWSb3 zl_lBagsr8L^$kT-yri%xR4^yM*TtaXP5XgZmm8xb*XTjwyxh^llN~c!|6x(^k{?j2;xGLR^FQV1&l-F zLw2~{b(#DjF+d&(MVh|!^ZwQEcM9hsahtJHwE9nY${?vafLg6b`C_Y{ls*{=jPTdXhG~XR_9`$0% zg+#?+E$+t&|Has}fL%~ieZi40KFuvD^E-%aXzpWWCkTt z6Dv5FQ(S3B6AamPfoiOn1>M^zcD zAxx<^3c1%LcBZUcqc#OIXZ9^1E?p@~R^_(3p55Z#obqbLpV#i&$gmueH_k&*6EwEItDYd&Um`z8WMJ*?WFSuz9ajt=yM)cCvQ7pP4M*KvY_d0VkCn!7?M zdV*3)dS6x2+lsWxCn_=yh9{1*qNzP0SL7=6y^^C%RHdaUj#p%szV(&}q}61Zn3%6p zqJFPWE+`^*_2i;1CUB2`SO zntQD-q|*a!teXNcvwA?M>H3Bp-Xli*(w3XekhQkf&@j@J#PbSL6w-=}x{F%^BDy~+ z&^vERTA_WDAxR8>bgZ2CBCilPS>Nqe;7NHvEi08A-#l?DKM;bG zG%+)}*B-$d1c9eWyA=QRlGO8(_XkD<7COd zQFZ3Pm~<%>IrKtmwE{(Apbvdi7Y)}cDJyYLxD>3-LS(|{=3D|{_(bf(W46sH3P@RX zu;eOH5ia7T6W&uK%4+G!8ELIuH8CW=EegD<4BC25bth6(m8mLXN*L8{G{MyYVp_VE z#S>SvAROruMM-;H)7>Ln(~5?=rm8FYrb3}k+n@?fWtlimrISObtxGmhUXlehX+kS= z%}+29z2?*xf}&b-U6~eVff(Z!fzH>DG%w10ir$MHM-(0DDaiVfWjIG1TI%x*gboCOlmi#K z)^4a*65P2`_+3#bk1D~!0JEqf<9dR(q}@Y&1l-!>fU++F&<6A701`(Q;~0Xi!W1{F za#RzNh9P5m#A9Uyg9zq?Wa3e9lwD(b2#iD&;w_9F$UHHR3b&}H>J9G}Bza>Shz8M- zjiR7Bw8L|=4JW)N?cQ@{4MuE^@tTFqIP;l8UsxMenA$GQ%sSB%(*Ui)^2kjzy>~p@ z-}^qUTdT{a){EF`Ma8B@VpF>{izL*nt>&w(EoczLtSz-(_NFybBQ?|7RhyL9d-V70 z`}6(%A;upb=XuU~UiWof_kHe@5=N8XB$%)vpF@PPj13t+_*M#>dbs{ZX*+39rUwuC zPb|-El}p2*Sy@_H`d>erh+pc`7oX^xK>zZEN&UiI9S--Xw=E~0joq@>t^g51*=krxohRCN6e=$qP zb~fhf&Xl-a#PzhevOJvNw5>__Fdg}g_1Bj2?EN>!_cj@1Lg7DerMbn8^a@>$5YbFM zALF{08YsEnj0~llFwUiHOOb4V`W>6&2cnk`6Q=y_hDC2GX-P56l+XLU5S&tJjF!@a z$z1Eq;kNN3j|(mMSj=nf(0z=WS8cMrcD?aFXNN4bpT4UP3ZJH~{Gk}Xq}&btWl_c? zo^qfpoz+S65)~;8@__vNI&AVvHSN8BIrB5(EVw6dj67EaO|}@AKWlJrSxcE;m<0`T z-w=%u4&!(E;_h%QyZ~jZXh88)H*Z{zSJ=PyIUW)V-D25gefG~&nWrWnsXaZyv+02w zRtngiTj_VKuQn7D5+p)=2L)V}1((uzC4R|Q&$!ZidY1>bk1Ss(j0kh#l z!N*PNp4*IuQaLkk3>@d)GD3J1{p&r>R=lsDD5T*o z{vS*n4J$B$%hnc4d4Bxw?-&5~u;Wcymyt%dJG{gCjFQ&dYC^qFubnC{$s}D0P!oDO zI;Wcx6hBJ6x9?qcY~{mLzh`($^!uXb4}TBBDr@9p3Jp`i4cy301)PFYV)un@`jPTua6=4>0DiuS0%>KzoPrpRZR&INtk3is$7 zZ|hk}y}z9Efa_sa-_peLw|};mDBF7GO~rJ3!sDtS4})6rU+cYuHkgmR1Z7geEIKQ1 zW!issOS8Gd*x1teSS9=e>_C|XurtE$i{A$Bjr-x3G4(*C=11sfpCrbAr9x0bK>`LI zfp}Ba@T0wlj3I3XdmUrv)Jwp4A6{o_8~UBeF5Np}S#;%Jt`kuqyyeTOtM$-Z4)?Z` zW0QAESd#3oAHTW!)0$b4U6sadVtM6S6q$eZ>IC=1l`kz1v&cBV$iR>)ywO1 z=W5iBe4*CQ?2oSDr~}p4w)k*XW=*84NFd*~4nK0Np2?z@}&#s9=6#x2Vc(XY|nGn_n7`NWKr?=Ok}yx`~Ik-5J0wBbr4 zNq*~fP%&_mWr&IOU7O%7X63i6ryX@$3<<-(^)X#9LqAWg=4_~MJk{I!-k{79zh*FZ zsZ?mC^7;;eAXfzV{w)ZL>(#msij$t9PNu`C#6K(Fag*GAG5KYNeqMS0&UPQggU7VUom#kx zNwbJy##?5$J?Nm>&!j8Q(r%8VY>`T=2r~F$sZLDeG*!=$+uM~}uOY^se0~P;Hor

@&Dp*w6Bc(`x~epOc`v}`_*&@6eNf=%UCx%yAeFSG zu#B%_v`Lvi+WO={V_K9E;q$Dpk$7cmDB0;y1v(tJ9nT%l!?rDjH`A&}3<`f)Cb6TRw|Ipx5_4QFq^heC82iE_{xYpZfXlW5ZGq73K>o zkG%A@`K;P>$sXyiFX#96^4bSEOvk6Qg< z?#OlBy6kaJ`9pE29UJ(E;^mRT-p?>jw}yic?K+dz(UF!%d5_rfECm*2q9mom$2Q|O zLMJ%`9--!Q3LP^FLqV5noK&a3J?F5M%E_jM@eHrgO0}K)Q5Iy&j(wZ`=k3maD5{&f zEf=KYK~W1LmhES$v!2TXteJtE;YJB_rq+lrS==8*UsM)aH1w3&hLqQ-9jEn6;jXFl(WW%96B;$x65*Jm+= z*bR744-dqw>nq==2r-*I(Z;FteUY&MAQiJoQrq1Y~Uud?fDcMht5 zY_a@bgGF#`XjPRv={fK<@Q31S_5pB5aOkD{k$;rUmY}hLA}_ZP-{tHdisSQrMDP0L z9>e59Zx>|l>`l;w4(pD@uYV8S>Cg#ZN5AO5t0J!Pq<1CQlMgI;kOku zO}(qXf7j+$&o8I>oXi>_2Y++$e3HQGgluB9UH2IBeo~K|k&s>kiY_1lk%UPj@;JHQkR%VsZ-Mcetuye8SaeW`>qd5 zDaFwoeGBTl9^DHnf%z~`GYx+yoOM_E^-T}c_amv$5xLjVa_He2=@;|*+p?bKW1c6shRR=^+Cq~=F^Ps7N!S0#%TRq76FbIpkJA*5K5GEoPkSt(iQXblOKQX(d-nsZvQ?q6A z)gOw!I)hLWZFvL)r87Cdg|l-kg(EuuN#|JnBlpM?dybe-p9TmoJtX-geR*Z% zGF|d{$;KEgT^Yg~B>Thhg&WYu@pjhy`lxoe+hEOOsNlI6f_0I3;dN7*`2m|;JtDQ# zN`Sh5dq#oR_Fb-?Pz2xm2Vc^@X9}9%Jx-rXqD@=0mxJz#$rOo{jV3L5^yUgkAk~V8xX#Y?cWQfUIOVJ8=bA3edmYF9J`$Fb#v%t>RaLe#GKU!G^bk1|4b@)))0Y8CErKd&4vdu{q`S~d0xqM zykbKC=&Xd?>-Jw1p?Dt<=r?Uy#uLHcS9R3eHz24|+`YR+a}T5XSX6~d$V21AYLv|* zu~g$xq~;NSY^%;!HHjx%_b*o@VtcBQR<{NF&;GlBfs}(PS0?KYK!Ao46;VXqDaAnf zPB=$nGXBe6;D*m0v^X~PrfOymig!2lF|laXzM-2-o>c$+1SGk|lszEWAt$uYjvp+2 z7MPoxd_mNZVk!tJn(dULqbqdY1$$%eTV%f@tNSP>%BJ0NqWs#w&#Y(&$%q?AEg@{pzvk{E#Cd>mK*qAb_b*$=xnXrnv#37-mG8tzpod$+?{m>UA2(y*k{<{`(*<7%z z>;(pF56H8TLD3a~)qcP8WG$x{$66Fqi7j;Sb7R|6YIMgZl_k`W8aFcdP|BW-Z-LoI zPj*Bhh7HEEOLJoLtw!)Tw^Cz<0N(F^&KJXnnWMA{fYS#;LC03ng4fZ~B`T>rbieb;_I783g zeziaJC2DAhhIekN*ignp6wLvea&1||KO8*tc49C@=Z112rNsiP^7X1``Gt)@P z2zhMFCGxBWsT+DNdqusRGV*DiSkU&`*DwA$sRnh1PU(&qGZxWr9hX?vj3TUdBkGpC zypD})w3kGx6RuiPfuO6$;wdn$SC}ZUpO<60nf^YRJKE3{GFf*=h)q$n?|pKR;UhPf zu0V1C=*nO<*K~|TX>-t#>%Df)JaI#@Xn$(3d(%I&sGbvT?7IWK`@Z527s?3D>@C2Y z=^yUs3_5wdTq2mr_$OXWVmb{N3#IT6Ul~!G>l;vyz(0 zVZFQe^0)7+(Rg3+ii5%yHhRGZF`@68l({$yt8WQ`C-n~Gn6?IcSAnJ#!*eG81_Kz6 zVzTjY)%zc6K0LUji%t>X&c1!5Z+f>D{_0LS3wjOKZ-Wo4(OZw*=>2rQQ96uw0l2dH zhjnm5OZ5Gu1Bt|#bJk@ctm?j$xKA$KDP;#(OuUZH9)qK2#AX;s-jR2oSVWmjtpd?I z(X-Gl_5l5M14LJO^#{^}m>J&XOR%}E-?dZL;VDaZ+Tb#sn2?-=>G)Cy0isl9&Qd2# zuyFF8jCo&!7q0On;!;PZaw}bzo@D4|!dSX>s{9YvLlr#I| zEpC=t9L_#H0!O6KGxxOL@qqEg;=x7d+HE7h*-=JFcC*0ha8g{p9EoQXiSc);4%;%I zv%#<^w)s9MC`67DjN6Q&ElcH$gHTPyre`ITeW_z0nT{_yJ#~vu5I=U*LVY)s^wxX( zNs`80nzgPsO9GguPI2JGz5M&-TD+=97BwQtmiS6jD9TFdSwGOJ9mZi;pZ8cBSOI4; ze(RbmUG~dSu|cg*8j)IpjuN-Y!kQ%DIbMh7*0yOR?bgVA`u^tmz{`f@Im3XH*3C(Zo*AJQV)&8qW_` z9iv>NbXP+39|{rpkL_szPaN$PAL#QQ&jOJm2fC%z+|#6RL$9{6ENV;I=>R=l^eIa$jbe*98D1lnfq_zCi7b zq3;>p>C@OrD#HL46?-3m+jk;|hvw2j5{(@(Xcfgmf?V4ZxQ%x#HdRZ+zH(7K4I=_U z7&w(T*b>zLYf$_PJvN?C8f91#wBklOy+oZAHq$o?W%XP@yds1xw%QfqXM|sr8zh$r>r5tU97yTs!x<3 z1IdcpN6c{FAOW*hb-<#}C}_&3I~18CV)KXMZX&~5eH?SBpt!j2Hr7j~B~c}IgefM( z`SOl2;;UzlmcW7AB#!5%apE(eb@nJuK3Jo&FH)Civ#pL6HXz#u9|bb|&2Wk!eN(S0 zd@IOI;q47uTJ1!!gj@x?iwICJp?bJeHiD9!s)o>}b<57o-jy~!_io@YU)NL5ng38E zT_vct!4QTErNILw0pd)$24n>LQmq6wAVtuZV3!a@cw)N%;H zibn$x{B5w_##iMEm|W|?MCDJU5ieo!sPjQ7JQ!=~GC^s+@iCA$< z+)Nwcck&2J(T3f!2oIg1*A9KJ<6qJvAYFeELma<`BucS*Z<38ZokQuAXKBB?b)J!t zR&y$c62xOES03o2%3YP}SnZiFhXzSP3(xY9tsC6-h=8(YA!+}L74wDPifj|XH;9NJ z>#L9$oBfl9^WkobxEg_Xs4`xtR}&adYc$h$(dURHAN04~l+7u7IZwh-uR}r`kL(Zl z@`Xgj`KvXXdIz}9O`qrHvgv%9yC0YD{0nZ!vWT<@rFRRqC;{H`Pfg55bw>Bes3RQB z2)4UDH;u2y#4>jn&UP^w&9f|?@ZEvh{F4bc0^DXb;YIyPc=vfI@?~Q6?`X z+WhLPoaajQ0bSvM@d{htwZvu~(Q+CRdW`*`g5|`zm|*_m?=8sO9zBgL$QW{LAd*Aw zQioofIJW&X=;wy)xHqaJ3m(tt%)Gc8jXk>64J;Eo2Tg|9YysSzWWZ8@46M?WO?+fd z6BcBOFtC=GjT}xec#HcI9$h=SFtCDxvJ4psykBVFvNON)xC=I_Ku9&!vP6xy8gEK) zbXUMt!pi$*Ms);RIit~O5%)ZQI4;Y&exu9KoGE*e*&>{Xd^R52 z@_=kcIw{<98Jf+Um2ml(Vh^z``PyTcw4z#&{a!4f*E|te0_X{g<`0FtyTS`rsEV9> zKHVZo2#!xj$lx)CO=wbxugQ8~^@IA^dv}wzxM2PK7g`DY1=hNkz5PwYvt-qBx8h+{ z920v#48k7QcTn@n;fr?cwq7MwLsQapm#=_d-bNa%rBgGK-y zJVc{|zw|6OVU+~B?d(at^GkYUmjT-@IdT`81YQ@7G=n5+F4sNQ@7`m!8rcAPZYfaf z8^Sa>BpzupfAuc!PZF<5s#ti55HO?N%}|(;VLG!cDW}5ZWF=ufklthwip;Ws>Hp3g zaO#+$(y@MUXKzmtW_`X+c{HnD5K)NA3J5QA$m%p_E)Id+YK{r!9rSBJ$|k7N&)SPq zeRu4)Cy~3(Fb6-62J|zKHb3s2+-vj&x+>h(3*n=SlFPFf3#f9^=~rB)Qhg;mB4Qx% zrY<@Oe0c6(FHBt>Jl-O)#q_g_2WOU>jaR$O@%9Gmw?xzTUg(&5*d)^K@#hOU&kyfY zGm?ad+ro*qEZZ1VKx$X5V$CaJ&;zkqhDF|vIA+lY*Q#_+76`YTcqQt;5*-9&V^&j5 z?Lc}*b|~pFxJ~lkS+AULq5t&89Fmugi^XcCW8l3s)R9LIPx3`ERg~t;`>iGBEULHV zwY6t*Z{z#YtVh92a}R}p1`!^P_Ow0)++Rbfu{N|gzvhTAv$W4V+v_aP^8TaI$jm4~ zxasLF3@0=x+zH@%6o-{q`8tZ6-J`i+W@?(I7I;2Tys9-7TQGS*sEW6?>u~vWPDonn zPiacAh@19dqx1m@cXZ}E&RxjDk1eOb1zEa7B>{A@l;pm^_paF0*MMPQeEy1wzs}^L zwXw_8LH0)92|7flr_zMnt)k`9SGgcZ&ds$cT~=#%#h#7qy=|ZdOr}AX&Yk8=eHAu- zT+6Leh5|x9*?r9KLjq?czJ_jz9wzxzYMMxQS3399g? zzAuN00_-MZKI7%R(uDi>bu#>11|%J-?ma)%a5NhC)%Ucz={(qkT2_H^7E7I_-Dk86 zU{P#h6i;0gtkfu-u}|Rg9tAfR3KIh~d_W?u&ori9*<2sr(7L}{g@JvS3iV@MH?c>IU1J%u$5FpzF~rP8w}ibu7}i`E;)KOT=~8ItU`NbGN%oS ziECU=8#olh950_yWryoZy*y2;;|AELXP7I80F8Dywl#mpP>h~2zD)RD61if+fQw=cJFPV=4L!~aWWLbH@f#djJ%of|O?lhk@%K3L{5h;F-haj?cLA2T-I9d+u-j57@ZFe$?jxHtv zt}CK;%X+~wE@u;kSCSCY+D2%cOmg=6M1NK&JIA-0>bN8$=oXKQF5d$LQ851G({ zm#FTK85Q!DnCNXM0-PV%`W}1LN``iY{M5y7aLhKg;%m=i_R4{*laSKillxlp=5vdBDNvsD^udL z#gG*vH${v8E_f6RUb1ZGxteG%k2aag&!AIaGf^K%z=eeI;cWW$yn>o9u(1ayyM1oE ze~vT26Ou24r)AGkE_kJ9xc&^j5QyaR<7sf|EV(cjcu_ZhIe<zk$hY4+FzRhggCVg+?F%emaq3qYW)(9b3*@F>>Wg4Xh#-yn9~ zca1~5go2D_>H=~5$)^$U^tQ=4|MF?1!s#e@`8TI`hScnAnh$*dvqd>7s{)>~;O=wp zPL}FlOU=`nDO!oM!6UgwATP!v^$!0&SJ4KCaX1ddcNPYEWs?QqysJ+%Iz=W(%}pc; ze)@A`pxIsyEOAQB-_n`-W2c>It~PY0Ya1b&?HqijSrB4408bBSfYkq3sZ) zPF6ZnK|*A|lnAdeNCJKg8LJ?9WEQgCyD|m(jg?39%5*+BS&kZ+Lz$?pBXIC?Q>ie;*TY z=>Sm5IZlhP=?U${W%s^_-wjQ=<`6+g1s#U6Xb|rirsb7v-#)e`lpZ9Uah&`Y(}57- zkN16HR*nhKx92+hOX^K_G*FghA%)uEV@aG{%pqHUD0~6c9T9l@bihYy8H9W1Y7m_U zJ?1`uq}CDA!(38Y2^g4QZy|?xs_wbGL6qw#=Bt;qwVT6(rJk`BOz&6%YiM>4k0aM# zGtC#OSxCjAJ;Y%Uvcjz0ZSz)$eUS+0DtB~BM~QTz#Nxz|f3{%n$0;1$GyS(LGCv(Q zkoA@yPIZh9Sh!~+MIjL;e15^i04=s|+x0>f-f3lZk!l{PZbIGcfVT_{+?$^i$ZWXF zP?bQ&CLl1Dck8|`(5Mn8$q|7}Eyod}wS5@Z&)pvpuU^zOr-a{M5F0nnbIl07CX^WC zG5~s2<(46^|AB~vHB|>NceQ!ZP9YTnQ2pbdqSjo-+c&8sNnT_gVqyK~T>HjBWWdb>H>@!g59_?rnp-5iZTj9pXR2<{~i>P$=)2|&< ziik#w1x+#UQB7?+iK*9Rs@ag{m)X3$W(7wMtW(kmTy)V!j95-oj1>=HAPbN7XR_X% z!W@k8!?X%h_ptYFlb!?DiN9_@Wd4p9z^>LXXKQzL&vgDsjl!ysDJSO|9Sy3R(kkGK z>ov4OL>_U|5CP0U44^jCLKeh^lM1l)?vbKyP|Y5z4zI@zSGJmBRkB;Ei`Vn=k&>qb zLemK1`PUvlFoOPtMoI(t#(GsdjQ5fgfK=|7fiZ`x0nC=J_lULpLPQu_?DKgmlrhS7uBVIqCnsD=10XDe!-hjzm4D2iO2gkX&LUAaph?0m--G& zzfFp%ahZzdw|4+u$DER~rwD>n`*}laJk`X|6ZNIRPtXM&1*k0PXOGP5(de3(3Qe|d7CSp{mF|;0;v|^6KVl4b(NHSaQukD zuDJ7er2XJ~!OmSY#%R7I0?wAZX23+WC-;IU|hyR*Tn;`$?KR7gHJHDE*`4r@;SdUR*DUeDUsIK;4~b@GF# z5CB*?Ucn6=84e-4Tx*a8U&4~@X(JX|ab4#~EK4W8-~eoPAmlsdciX!L($KBcm#Xr8 zgza8383nKysoMTFozmeWVA7jqnfYeBV=d9A3G9lLmGRK{aQ*_H%gQk7F4?7j)#RTz+`BAw%ahFjd|Y{jDe6=wu@$wh$Su=wc^7Kt26t|k zp4*MuixK$Ug3MnQG)$#}6sO2~Ui_gr5StN8hrht(0!oU|(5kNo3rHu9uK3OSZ_+h_ zUch*G0vspD53ac~r@k&ZN0rm#C;5vB9+s|r=T*rfp1?DA`B8`CmR4Nb)F0Dw`uHNy zwIW>UOWKCAbak^BU#+sQO6D+^%}Df$7dW;l5fU5#61Vzc|Hc4b=~=$f+c(i)IqwBX z7Ae`1!W&;`-MDk#Hm+>hi}?9b-(%)_M0YToSfPG9Be+Z43d@9Vg~1PZ@>`IMd@UR^ z0Ql?UFv6e7#=ktl+OOM)&6)?~P|(=QWJg<6vy1DJA%UBqz_8j)P;#ZeX)B9m(~8hO z;)jiO$O?MbNX7w}GktD+Be&Xp@Ca!&#x@DB6Y^G=WSn-3kI)@pq!G6qBDz0bJo}KG zd2E2uI`&Uck$o7FXMx)%e}V0D*(YvK^S0tgCFiN=3M$<+dOvyew9qx9S~eH#nhfoU zgYMn2#l1a!V4BFNiWuK{HQ5H2ez*HQnwmWs2DxR}#5YdQ(vu?Ls@j26CYaquFuqsW1kJek-K0>>tbKCOx-*NAOY zRo9lKeewi11O$F;V$w6oGfF6mYgrD{K9AQL2Y;zm?zOu4a`Xv z3k;iSBjm3Q3u)P7e5Hc>Nvk4AO)3G(;!*9=l~Bl#oIMwBvWV+Zj(CzyVwj-pr&BsP zN8CpOhXn{H!E=@z$fEs2KNnkD9t{Nkoo9`;5dFr#GtV-CR?zw@UZwW`GD@I4h9*;C zh!~=E-6g1D{+7oViw%@ zGh2N|2z=~HpZ;GVJ1-)u4M3dWB}32ck%pRmwR~r0>$OD7DDS*SvD(ah{4^MJy4(VD zoOKvV$A&to)J(?W#OoP=~7c@j)U;di0BezCpZYJ zQm|@vDArbju@Rn7tXDULX&(vsjvn3KuaOEhkr2)K4h>mY|9?}@IEm0J0#xfEmSfrt zNEHUpKp8^vc54t)H@Gk6E{ja)S!Ms*=L)NoCPzNak+|lk%rvl_^gTnX5yv?kK0{Xv z=C7ne-(LWKbpeY@>`$IZWXwK!?Nt?S$yJ>eKiI<~BI(|D zqxZu|#7NY#y^vWqS5T71kdIok4%vOdJX*zYF)qf>12*WBjfbbw1ExkfU$^W#oG2Vl z-d=4^)qJ<57B0fijcqvpub!Lu={44?l`X+8N0aYkOkg!;oxZZuF0fjnI7otT{utFK z?VlkDMyvsL*AFCHirn+=!q5EpjR6xJxj6UjR(G)|XG32zKhQBiBq7z?n_K;FxOSVg zF=F4cO8eQ3GJD-myMykG!&;lQuwJOrT<~h1V9g4XmL)(f?;B&RuGgKo?rm)S>`u^Z zNO=)fVfzX4y;kg^)*^y%mIdh-~ll8(jL!o%t84(V$}>0eSX#(Uz_a%ALjrJ zN8Ax^EB3=h92v@+v^_K`~{+RzrS>f&8Yqsn#9cfg4@6dwb1e9#`0gle`Mgt)73dy zM^i7GXOnb8z$5e&L=FT5Y^<-zthCO7m+C45@_^2RM(jHyjerst#61h9>?t9+A}9(% zyx^W<9gXg}j!GZAM=l(F*|OHvsr4QqJRcQu2kumN=n!@~oY3*0=*8q-bIiQF0B zfvM^IkAUzS_tm;kMDhj^{DQGz<;rQX%|+UNCT^1zku5AU;=x6ldx9N8d_5sB^(mlc zD&Rb2=F&BDH7Iys^89P=ymQVjCcCXj)Wy* zpore0b2Q{tKq7Ru3~A;iaH0sC)I z@ex)>)HIO8{xFv}6$srvg^@8&0VfHe<}QpAga8DO^x#sVfx*c{2uXNax($q%Xasic zkx&On)EC|ccq;m#*z;+HqbgAD>H8axgU}Hu+G=3;$7F$sUk<>JfU2qXWw$Bo0s!?} zLn>faRCc*Q;R4TJA7TA3!#6O?CHJO|0mzed(J#u*u1=DyylxQU+$IycfwYJ zs=2{%*c`~OQKn5)-or>j5{qxhC3WkkA0ah`NXjRus$h8?{q)BvMeco>&PI&VcqxHn z-Moz54}VRBg;=OHFSfQiw�CRYWa`(@DwJ@!1hWA)zXu0JZZXF$jyri?ryU5W19& zO7c|mc)xj;dV-gb;5~Xo0OI+cW3qfbNOPi}u@K;1|88~Xo5;S(c*EZ+e?8p{d_0x- z7-|tLOojCheqtK;anh!da4;1Kir#_1)oQ_&_0ht&r#8MJx^$XQIR!l?9rhF#!Xv{2 zm>o)kCD$QA4;4%Wq5R~9r(8<7f*)dJ|4s~^ubD8t$!+SiqV7)3jvw;9X?GSOhq@+Cs60!MqSIU)ko%>~T!T+ifXE`Na zHKP?%kn87gRGm_6^u2qDfUZsO#tV)7h5dB}RQQDm06j`Gd0BGYA$dJ`v6w@U+_y?M zq><1t`@0Y$&9C0>oq^ck^BeX|IT0fUay745?KNc_Va7mF?-%rRmrmphO!4-P_?_)4 zp_xIpr3xVpJd7sBQviP(Z+8B0IHINA=}_?7)DK8f_@Yzg%$KfjEJ|Pc7fI?%U5>(j z2(uliQNKgb9Ju%iGPym^{X8wehwXWK&zLRwaeh0>=^88k(rAi2Ky3hv=v^gkFs!PgexpCLHdz8HY7IbxgF3 z7L|}NS)-5ZmStZUF0R;UzPxQ@L_Ldi zKCBijfII(Sh#0zP@?xAtDpd(j#79Uv=X0CpHnV|9v+n5gY1zwqZknpoh=Qu!XPk^2 zyS6PFK&mR(lS`woeVua3x>g&=7Ebl_F(b4W7NR-?s-1}`0Qv*^L-3%wK~({juo(uJ zIpg2C#GJE+h|(O5&RnU{{!dHQ+XWd>+QhrbBMm%aJ0nIT2|2D0bi zFzj*m*Xf=m`tnZ4MH-p~>GWHD`~g_pq4H{4uMOTK=S1$n9lB^mLZWkN&l(KPEdDdt zM@KI+=$8y_ZlCw?^mN1Hyr@%xtNC(;b|fUN)7dKQMt}X2+eP?t*n?xcTTFgf5b{xL zSL4^7Ru$%(GxgyVo2k1N zc`Fdare#N1h z!O=yvGnYZ3x+w1r+l)AkJSoS?!j;CaaNp0sfbG{H&BhGWY3>UFGP;`3*l^1 zym9RbDN=L`O8^(XHl;co@$UDmw(bPO`3<|~5EedM&R$ow@nR>2faRW2GfNxb7|RQw zB_W*$KP(dP>SJ^?O9a8XC&C(QaME#sKmod;|G?me$di|5$pO^s3f)G`n8Q2EA-^R; z>(EBA-AL8`N`+~K!$on0zpP4vS;!F6eS(#1=kN~D_i*pnhlFl@G_p(}!f?oY)tQw- zy3BB~<+iaYZDx(-&EqLB^=k$OStfgDZzZI?Re^MHv4^SVsghv${qA zsxXI))^Zy(jzvM{S(pt*+F^cNS8-+@mx)n>XSe25e5 zxa>Fr>zOf>3LsQ< z0^_naH3_kIlo@e!Cct-SRuDOE(d|&ZB4k@tJ`3aL*YyM`CoXEheiuQXhKtH;%yln_ z(d@E!h8UftknD#C%nHm#Fo6-imzbo~v_82?-=W~f{tG*M$AXYD^GeC1(QZ3r= zlH&p7REngk`<#r!e+l1>1&n4@{e% zkMi~|Bu{tYQ{h>fWTw>UQ?xKqHmHG#-1fENw~$MNAaQTM)6 zuUVi09-SR__ih`vU7|5ovB;ItC4uou5;Xb^sslr(57WnCJCu3tWhwjWU!IJpP$vfW zvne`|Zh1naf951z8xEu@xIAdi-e^}$7k@@9{Xy8;WI9DfHnjkiW`0!`<=EnvNbytG zyj`%*nwu5ZI3S0iM^ys*IVHxar{R;Z%tED!P9ej;tn}6lnoSc3xw$O5L|yC0gKRsA z;L4_`X5B=xICH9Z&!JV{0e!|Z#5s^)DfJG}$xq>-`_r8_@;+Od5+Ejs9&_g2TA8g8w3Lq_hp?e*8IGq)f394C8Yf81nCDF;KVc}ykq}JC zUS*pD$&jF?$IR)Y$yKnctn`f<`}xQd-PEQux$j6I|ArRo8gq!4(WzI|CGLZV8r6#L z_(q?``Yfv&CV;M%>m==>*BJ%MiTj+m_s*RfUzKzdzh`KRa!CWHW+;1CmRUZK(|Fdo zinSl@VLP}Z2ripCg+flYfg0wy%;19_#_pz!KvCJo#ExSzaGPA*33N2nb5;jwGYJ!# z$+3_as)dhBfGm&T94_FRy&B1o_p|Y)1*)+YSgm?CDg*EAg`cqrE4?{C)M9HN0Oz0W z`Yqjxh3`teq8$!MQ(TGyGy>mQf!b6^0T8OcU5dcWls?7vR%rZRgg`kUl1M^I|7>;= zqAwr-S<;{Bo;LunO;3;8$tGeT6(o>KPp5E?Qb~4JKR0`A;Co3vedyiNAKwaI8_Tcl zSIl+nVA&d(Z#Isk%*~Gq&4pzhV>4lgJai#m`7E)guja<>bKNp!NjjG~ z3$?w#Xet;cOm8Da%;plvJiW78(p1x_q7;)kz7n zTHsr?z^y^wpy+~y(k4!IlOO=ebJ+>1SnIPXh}tbd@ww7B-@;`mYPd2Lv(rFK#b#@T z)!@OqBCu(%O5_WPTXeX*JYap5n%oLM?t=$A#Y2`(2}he){#opu)(E(bOSB@?VHN3q zxQs%aL*A6HfE%apen{AyW!$w`?F~7+A^Jq0DC;H@*YD+~hksBVy{4eU;i*E*F48~Z zud1DO%u$uV1sj3;iesYzxAb>ljpBODCb+1qd{{^tOLYouR^T-_;*Vs!>OkVQxh{%h zWUgSkTrqOLg%*}lFLx_AFFR)rx}dY?S?i;h(V3FoF|2|mCMRbs_x9#`_Xl(j6t07) zMRkCUgthLYEh289f(2febQh`TzGyIgp7bWb;BT zN;TbP>GNTUFx8{_L>Yv`!ac_;vGgL0oDa&3C-)^er->uQXH8YUr*M}w|4LB_4 zqcfp`nK9A+F5jHG7F-Y&-|gWxn7GUY@zNSL4#O3J)4T59fJ*Wp$dGO^khclj2t)&! zk7YW0Ljj;hL846$K~Il-={jE&NSR;=5Rc*?C=NlSqV;$ah0>MLEP&By^)Q`K(-UBW_~WFQJA9;Tr$y)+$Q8uEu-ZOX(?im% zwz3oAyadE_UZ_{^`smbfqgRpz>|PJmz0>A0$njBvgJ+&P5oU2%MJIFvCdsUP1+|QY5iCDWiCqQp2msHj5sCl6Z?{$huDiQb6q?q7mr$CQx53~=9V;q zJ`%6Ezw@|zN(yROcBH~I<|v!A@TTqAJRuQZahWaDNvpi}2>#MbEfG!p<+9}R-@_RS z?1EsZQ_vIc3Riie$8Od8WD{jaZp++{b&nASA)GwU1<3K8JLBGyX@c4hXHeIZONFSl z@I%l7$k@EZeIDbUmJx(D#7N~d(Lq{o@?Wn931mGCgII-pLD-G--a(G9)g9A84&D7Fq*+caXn%hVSRVW%aWi@ zKPG^avcJD|eWH%KCV0n4i+4_If~+R^BoVwCz@PFC^u0MH%m;QQfd6YLa8zq}w~Hom z0n8rw{}J`(@ldGkA8^k()k(y$#Uwl#WXUo!MI}7NjD4B077=5{k|q1jIVH;&H1@G1 z#x7*b&dC;I5C++jZ7kWdZ@stkd*9FdU;k9bHTQj8_jkL>V?L@9(owEorLjjsFJ`p6 zgT{pDNgDhYP98+A3t{Ra4oQNCe{2331N~z(Lh<6WqjGjYFK4S|k2#-pmx1RzqQufQ zYn2>6vE%hK!ERt8;4tn({8c+^t%~ceL6j$j*a`FCO2|$i^GIWk$$C7mXnss?%1sKH zUvBn5+?x1#JAIi-5vT$B_Z-NdB}4tOTAk7r62Mj_;`>;T7Ffg(t;c~8p;>SP zV=&FIGT6w{duXRp3x+LAGOw+J9nGIZAeX+COV-U!VAyCB0{z69_}F-31tC8t#S$>) z&@K@ZPWcl4quy4b?b&2c-F!6qF1_>!;@`-nmmMAAw}*ii{B7|P!R-TEcKZF$ttbZa z#y^}Yv(cHd1vp|vbnl8^WDbp>-hKKF)Z!t#igZlYn zdgs^+$GqMwyk+nOp=K@qwf;1DpDc>G`NeyWx`a}~1pi-Xyl8{lLFd!fob553CUNsX z_D>68M}N{BkfTLs7rTpFVA~qr6UU3W>)m1fsU^7N#|HWS6kGA9aJLyR)P3Vrn9HE; z?{W2#n=cgDqBesVZJTJNch?TT8nnHGsx9lXF!sCt?eEXJJz7QOZxD zkigr-7JgE?U_tQk3y*J__EkU`y?rh?Z9!PJUI)LxS&38Mp zF?4HD@It}X+b5Ahm1aSe%-0EjRmz#wbh{yxHqU@tw9x@`2_J;>@M-^-u7pkX+?;8O z%d8KIrQzlu=bJ?_gOtv=Ifs5Q_$%HD&Hc}zScm-dZQWC-T!cjw`GT$|r%RH|i8e`^ zhZecODedwP>c$uu;1l=!Sg-y%ck45HT0=_Hu;%9T_yg74v$=6`+7!_h!(V8DV@-m$@Z6foq?Ix$(T=5Sd6X+s~|g99lHQ9!>!6aY!Y=EXi^}f zuIAH(wn+vQkNedsj}+BExn&buD?1u!W6qyi)@&Y_Kj_?nSl)V|+3>Dw2Qu|Yu>^nJ zf}aG@X!7HgrXdTI{tiew;OUABoVy%Yu_N)mneIq1sD0^8;mCaz0M1Z2IX;leUOAR! zo<&p!F8?tFRQ8`q`PG;~ucxDtFee|!)SrABJeVlb1BL1NRX~oCT0?IETIc%w1 zkDU55mdnx%_8~z2)XqQ`P7GfJ7hA6mDpjIGf}^%l>qnvTLfK#S1+Gde;Flk^1TBC{ ztg+`^jl;XRuzxM0ve1hB$|I774@07*H5q*hlW$BIH1GTGJ46f2>lWPJC$Yikx^Z*3 z-fbwL;Dwq**z=U6B~ydDIcf;*uQl%Rn)zrX+`bxek1nn9=+#dOb8ia5WU-9m(AZ@V zMd?$Ku&_Y!G!P}X`-w@}ZKG-O9i$fQv#|)>j1+m`m*Y44h%GMXjNv(uW9XXh5?sB3 zg@yAYAu^#FT$juw0XG!;lN7{7Fzw}!**d7FI-ro5SI~)p4VsfIiMhU1F}z|G>m&Da z*|Y+oYYF!+E#8PZyeI$de>np|*-Fw~bP5<;eEtMnHsR;i5W+r~^ zCar%^h>z4p)WD{MfTdfuL^rh&ghIfT)CoVymgA~z<9{azBIG089y#kB^VaH6H@Oxt z8u5o{t^7SX=k%qg3A6L@qZva$*Q^u7u*B#Vhuit5@CII5cAbP6y69H~5QmitEI-nm zG#%b25I+vQFNshkEIj)5_nuI9K>C_PuYGmXSIwIdzFV2wc?>e zuTWE9jpa%V*WRpaUS-4_x#mx-3PtgC?5xG(vd6;@8UT>yv>fR19^=v`JITad$*xyh z$A6~&tNjGYu!^ORc^z|U>M~HrN#e`IkIH%+gD@^7t7#LkVAz^U(1w+||QTStlLl9sLfX=ehoeA1F!k|9vI4nF5mfEdKI zecn>b9@YK94j@WPJQ7rEsude#Y{R?+Ko_dgvakhS4~Wu=G@<<}lHe@@wOl0?SX$C<6qE9du|?Y=_-oc88NCS8lwzg#iJcJIVFzz?wa* z;_v7MokSDF+Zh=~ZB*RzkQ{M|H%O&;aQJDZce*~kKsW;Ru|7_`f^C2>DI~rpuk!DB z?gR-5gs>SnJk3wjJf=nYUh<^K9Qh>Fsri#v7^< z?f+ztFdfFRfw+ka*D!B$EzWLafn1)t_5v_iCr2FUnH)Q|im2&~az? zEC7;abmw(3`2O4ZMQ?@gbuHSs8)VO^)Df%vF16*g?BUl#9TvE-Ue-C>t!~5uSN9uP z;-1c<2h`h7i8@0}kO}Ojr*g(CnUI^7Xr`wbW9X$DbR`sqZtEsPkk;;%@XOKlFoRW2 zMM**|1VbCl?&`dK-paL4ib`0XVYJ-nP}~wtj(d#yr{5idRE9f*>Y| zfu$9cl>`u{5>&;LM-Q66Qw-=aGjkqij{$gUD!+{jnh z%Uy=75xSd^tL2oR<<(j;ot>8dM?BKiA9h^+ynH@@6nR5Qc&6E1(!PIA%j1mo{cRa_ z@sy)BvN?pB#&Ckiv~HAhEovG+2?l|gpW;xLGgxkm?+M}72T(%CSCSaCVeu|GBuc0G zQ@G)}FPE6_-1>1X&=`YL<))bduhN>HARcn~jDGDF_am8b+@LF%W)7(2HzUNN=Dl29 z-B8abQ6e&|C^@4Cw%uDe{el@+<<6e8=1|@KD551g+3Sf!wLkC2V+HQ(W9r?d-*229 zE3KJJ21J8$x!pWgu^dUYx4D9uoJ*4r55Pr1nMCpXYEPAqVjU!&6dFU(uJ)Pnn)^1- ziZ@~6G7_+5I+qFpnCCz&$+lpPRYt4RZ@I8mp-w@T0V#9AbV_hgsp)cjp> z&r4w%dL^R#2i(Mgph(4@yrg*IW0Du)!7}v1DAIfWHr}HH|Gb+E6O~O+tTb4b*gh`u ztkyKAx;GtD*1n^^oS@9_u5UcTz)#yWQ`iicCapzrmhK$)`SdGA253Ll@U*fij_^_m zIgK@|%r}xVmj>M8 zyye!{K|sMx#mlT4NF90f@}xlQ%N-$oCj->;;4s_Ts;k5rAaG+C$F{o3DlW$F*PxTT zJ3A|GFBp)qJlBFGYQu6<>Cu2DcI*@G((D`q!PRt$H+m65ulH|hIOqKP{w?O!9Yfd$ zDoj=}$Ch2v+=DB1g{@I8o`K#QYl_-?XmW-g{B_MNh-nbt;r0urD5_IwkxeT_YgzhB z%9!kC`aMFHdliuf|9`FNy$U>ON9+k7x=EP|f)p#j6X$hVUBy(Qj zE%r};ol~T})|I4T7KCwYUwx8G#`!c+9;?FQx{}Aq2_h|+1a-r(pIcIw@2`!H`=CTq z3~dh(wKdlBH;=zi7uwOx51Zk)tPU?ZAZ~g&5`Bh7(;gd1NcP ztD@dU&3tCy@AwgE{yf(Q$x$g4>P7$5Wxh0Bc8*#0 z$SBD8Pa%fg8VO~Q{a7!D>yR+144>#)hI4$#CKkPw5S#-)*ddwiJp)~Eb%pqc^{vEL zvXcxUaKnk|kt)YB7i~+I0c1t+Nv;H-f;jMc2mtMLXU1a;hpU%B3Yp{3>B||dsVW>p zH_Ux6p+g06F4Q=~dohpX%YL1kh+f=|%>SoqW3jzi2k5%=S2g}DQo0#D-yhqHq34ZY zWh_D-hg+m7(q;=_T0L#n%Nj~TuPyJ4^0TXf>AMs)@8D)QFZ%0TBBC&I_F7r|5T?+d zb>z0}$#3*sxX-tDYgw@f^=2M2A$4OkW+T8>T)8X$1-0I84oE?pSXDF5`|?KC5orqN zXF00wZj2@rZ2qrBxImKm>A2FW#X^LOg4}eVKS`7ISi804nq6T@2>J)RcIzIy#-Uwr z&SJ&HSn7V-YmJSLOVrs`%?^vw$6U1r>NNj=(Ee1*wp%kqbF-p0t8t?NcD<+LvJKf3 zfs4(T#v(rp%2|y$PrZD#6*uHe8L7L*rI7!w@iu;W+q9=JR);;mh7|9`BJatIbFk}h z%kHpEOPM6+kMcfu1;Uc7B($Yo?9{ChSlfpZoLeya@0X`SOM>!zH*@Zyq&AYpHog!} z03O%sog6_n`F9NWhb`JJe3ko}y`X(Wymm*i;&uK=@q5UWCJDbKm&H@Yc zYn-qo!0}kgpxT~|GpwiQ z@7}}{IjV$Tm#n^BhxOjUwK%O`FWZfNEppQ!By)feLu&8LZU8rR*jiTHc7N(FBnUOA zV4^BnBQ%NS+Y z&514|2f5N-IMKccG z`~U$q*C)+!8Fpwo_EYy z`}R9_v^Z48!X4nIuU&I8-^ggk?ZWsOc=N@rdz-hhcN>({u9IF_q||_WsBf4Ht1CL$ z)5_PGDfu|rVoc;6Rq>HfEtI9v(7-|!zhUubR6)wj4Pyk@VF4etAe1E(&0MzY;SrJ~ zr93vW<@nN0*O>UEDQyp?0pNaHpN^fWBv(2;@JRYOktue6LiuIvR#s3eJ#%|znR(&{b9y3XkE%wg->oCn2Q zre#SdZQ3#q4>R`y2<`zW%dZi@77}#21hJjS$`GU22S#|1*C%N}5Mp#2vxK7VS*&!| zSRY|Tlo1m3tz^+OUkKay)+B43cyn78yQ}WPGIIqIBDUrC>)aYCQRX4rL;uU)geF-@ z{=Cl%)jKB9Hg!GughT;afhK3d`f*v@_)Da~3d^-^($fRx1LEXUO6VFxW(+AIUvPTK z4t(n>h7F}@+EcH@6DB74zXzbWpl&0t66gXO$M*rW_IPqjA{4c z9W2yax3iqaJ^x_*S%(g>DBzZ1>E+4GkIH*t@RMs_sJR>d!-w6DdSFdr&L_tOTo^;> z?!l=Y(y}ueryZAXALFdfnhz_TTCtt&^jp)t3O44UIn0v8kpM%mcpEQsC?f#H0Nd(pzIR} zJt=5RL~_Bm^aw*@v0bt*6`CKF7U@}gH9yOVHhaAVEv}iqf?gtsKIXb#Egg4p^)dSr zqG(^`iaR;M!Oo19^L&_|~K~S!rAIK>-CaKAE-` zibn)!@S?s6oxd&a$vqWsIarqD*4EZ4*)CX6x{TH_m;s^@CxFGt?ryr&*>wjogk@Ts z;8kIn8K`~GV<<8Zu0wSB3+>*?r)Cf>GwbZbuqBBK;g0%^3`O`}K34b`IPAXOEN z7CH7PF`k@)8q#)mqX;!DbyUqYr$CVD?(v>-ey2P_*)`f)K{rypBHN+dt<#CGz!kKs zAL2M$r2!vAr}xx^1k;5_A2COjN7b+^(s{^hF=rBdy(FkY!cu01eM#Y_5LZrH*0ZEc ztVDggYF-y4J}DGsP8&Ft-@?-OI>1A+m_9p7eQ3ldQZ!J6cn_WIA_ad$eho=p{BReO|# z4qxKgk-fY#1A-0u50lf%*lTs!bs5I%VMtw4a?tLQ9kITN;K!$z1*v3S2Dwyo;J9>q z|9f2gZ>0sa2aVsol>!&pKC4V=zFGutk?IMmaVWC-E=6h{$?=82vGZs6HfdS*X$Usy zo%)#X9RZ}oKtUVs&UKjpfBa=Wi8_OzUSTmmmjQIc!zL zkFqfYG$4^?h|gvVBnI-HFXJXd6wgzE{moBr4Ryg!6y~Qgl&U9*yqwJ=vtct}!6D{W zjJ?>%OMwV#SJA#%Jm;83Ps1jzXH|)9_>ii9CRd96s27?s^~B0Hydd`6g+?SD!1F~A z36Y#hk{~p~!5<=0Q=4=e{E6H>>`1d5L~veRzMDtuvb*o;*!StpLm%Yh$;Z6WKM}~K zG^ynLgIhX=D0V*>=qlK{aVcJ?(>jYsnzlSgIZirW?<Qsc#(u>M(b!hmEl!X+g`xMhXCo2jqxJd-!Y}m*F zRv~tuTDm7oGS@fW6K@t*RLfK`sk_h1?6XMlLUj3A%O&>KL%C-}am#dcqI;WaWgGuXO2|NipZ|)JrG&Zkv=AjE)1&dEYK>2@rclMQm1vJzpXkbJ_?@NE15o#39bTiEsWSII z;o7iblLcJj`9m=GMuX6@cc`^_f&&Orp7^Qse^1KN} zR1;~RzP*z8R6zA&*bEeI$|u#V+3;-qffJl4n#8-vsJ$s65u8+K`gu{umq>I}Pc~ys zLVrQjsp4?qcu%%Uc)<(ft$Gr5Syn4HRs|Y7j0e35o_G!EorN=kJ zffSiX;l8*dBz=xMW~}$`uFwSkXj4V-E;JmK2 zd#12RY{>7swz22*fUnJ*@<#{p4=aetL>3zrI~C{U(pv`Q6EqdoI zfSu3Hog;XZ8d!G4?}E{O21usc4zeuCsW&A)UN^7hZQEZVD$38QonFXP$w#RswYnp0 znXH6Kl2yS_2)xta6?o4A5fGvZUqT^zC68Nw(0PEd-*ltKb@jro9H!WXl z9G=5X&ZeN;gD>F+^1HbiVvpEpK>E?vm9wLIpQYpYx7w5vi5Y+?n?G3jG+1T2Mk!fM zOZf19Y?qbkM`4X&rJUG^#o8V>xSw&(Uc0&Z+#c;;4+6L2j9U`YleyQ;H0( zudRS@_q{j|%d)W5toxa%x94ZvcsL?Hl0M#X!l9sDf3B9s*gO_#dt`X_2jOc1k+$Mp?O_o0daA6^}5W?J(4tp7M$Il>| z@Y??CdbWb_AeZIu^_#LW)EN4yQ9|c)}NYdrsQTdRx^tZ1+%iA>J(!8m)vVjJC= z`Z!c6L-qg7%?U-k4!AysV9Fz;1jpSfOi&eqYpQ0%=X@aqs=yQX?{Q$t$jJQ;hmltG zH1WDT;Uj7=jxFJ&D^WycJ;a>dP*)Bg!<&`w<=>1ESa-l@_W zhL9d>))VO{;_n|tE`fuRLBxe=kkhamgLqf;d+pJeL0SKmUoiXdZN|1N$@_H=^ud>1 zxrkCovhQa?2U`WF$Wb;_wx5M|Ivw31CvM2V!3ZVILShcq_p25A4(}>$YhR%o_0jj1 z|7Tc}SNe0GShPB$r$gs?e`67MvLAnVCD;7TXl3MbI+6d_u-Pr?5jZS+_~&%k(*lqb zS^MxTs<7ooW^B<6#r2_rToC2%=TPlDbbB69UOo-}k9lHfc-Z|&w%k&C?M~yeVH_W-nP12k$5UO^Y|fBmjP-b9BdeZzb`c! z^FTF11k1;!NGnYgac*6<_IrJz4Xv_EMy1~l%5Qh2d@91^LB)()vkeD5S;3w4*Lqfl zM&;OzRQ0KLgp79)AIO!uG#Y($MsgZ#lkfSGpXdyFNzKE>E!jYSM;s=TUJodv<|>CHc5*>m>AWnsaAUzc8|i$GYxqe#CHv>;x=zv8a-vG59YNs(g2wlW}HoQs}e;m zk<$}&aSHNY*3duD$?7r@7_t6Mu0?)j5hL}px_JC(i`*!}bVc%|2QF}8647BMPBi7f)VNAAVn zX9s(Vx_^E|U6xO3K4pyLv3QJzxW&ytGY!VQ1WoEhe^0P^bkV=R-mb7Y+*Q1AF!<8+1*NotKbA@ndC|ihQ_miNltNVEw4y@KLEd1 zt-#XBVaO!;nJlt^C^)pM4;UrJ&Aa7jxJm-2!;So&G!w^kxr2$Z(ONu0$4$1X6f^wa zuAgOYFDv{>f2~o*NpE-eY?mcD<~qCNGhfalA$J-)44N+^6gI+XcLPw7H)+MZ$12BU zYl}M|S(_xk(P1;y(gg{-zg4~V%xbw!@6E{Dqx>@)oAL|M_{SNeqv3r+n;5ad*c3dZ znF&O2-GIXTIlg=jY>W1SIc+LH5YAQA`jR`r=wyp+?Q6Q17nXw!H9dvL%TI%W#fDu` z9=(1Ro;($yr(*AKhaYh7xcv;vJFK@Sz756uFO-q@v_ZPF->AZUH>o)<_?_(|&`+8R zA|Q7uI~*q8Z?p<%O>}eT3+tiOzP{W6BID@%*1ka$Ryogl8Bc$N(Hx6wt)gDkW4$iy z{A~6|)~oIQ=+#J-+ZIJo9&UF|Cf8ct^Rt$o|8cO1CA1Wg2eoAKt%WCTl^1H`T&X#8 zQcTL9+%h!O@@r#7oNZ!XAXtsaDS`DkhY}vRxCI3gi>$j;Sjx-|wMX1r%`z}ICz{tv z-l(T*oYe#9+Y7ojO^ez;xokOk4A6wF(u{OD&No);?@c9^8oE%4n+I$YV|sVI-H0xC zpqHjXi4igp43sg-Uiwi0(QoImZKj1%)!H+xOgI?S)WcHwUixIJul=Kv_8^WLlsg>hj!B*g`#a3De>Df@9rX7$E);$TbDE_iZCpMt}YIjN$;8 z6A|eU$G!lREr_A`X#4}G`|4{a_lNp_yE`YE5tMbOKo_pN1@GR!JeL6_%qsyv?2-df zvEeQ`&nm{i!KE!lb}+xTLSkm$1@_lb2zn7ElS$}s>aCe?vv$xJE|-mqE2-9}qjXqr zJRV=}xwc9(S#o~Fcfgf8yUloi*@adX7JKv}x0+3Fm;;+VT8n!7pU8f2h zZH@e(9I@;iuwm^e;?`0JVgqrmsH<{0oHr~DrBfjk^GGsb=W(mB@$9B{t=7!Fwaj{< z>K0)QEz5~0MBn`nLr}cuOp%XbUZQfQAKfDNo)6edTt)i;nFr37j>+y4VGY& zzoBdu3}tLgQjCH#Uj0YRXCiU=H@N4vLkFM{&x4N|?jY~=uZ&%4=90zSYRkXrRtbIq zgs2S#kZwMj=GIbPb$(e>UM`3|q?p5e^i5!;X7o~QGbHV2u8%kDO+allb4=)-xW`$j z_ve})H?Q^-H)?lep|~}=%>UX!f;^nFe%^n_j=T%pfp#^Nh*~6laH7Qv>JDvgj}YO! zP0+YrOMVq3d41HlC`v^t)hF6oEWGo36K@aCMF5sYrvIRd8i`1J{!kxQruOi8y|_hw z)&SyLwqADcM9__7;T$7)%zeAjp&x6s9T9)tk{|p;vnrq{XrKIF>ClO}vX|)D77Kcg z7(uC%n($%q?JKc8AV82l26>QUx?_R}`?N&yub)bdlqYfqqR5u|Q1}{e^q|BZ1l@Ge zlJw@JX>rl!Om1tSV|t?gw2Iv@0F8$4`AK>U8>|&~KgtjXxDjz+`IQW9Oe2T?0^0Xh z6}-Uj;(uhqBgG{D*Rjn6d1)a76P_HkfF&6G-YT*DxlHv58J^Tqf(n+~Fo1c*&*7q_ zo0B{|ZNgpOgdL$G;bI-qyYDx^K2y*{~*)F?77prSl`FJzR( zG?G4v_4Z8w1?{oI`8|C1s`C9@M!}a^v{}uHVfS(La`vn@G-=(iE=8hKjNizabvMJ&eUER z%Q1azpefg+b*4ygOs&ZC%B3Im;%iwZh=m1}FTFuCD5fXnNbWgQPpLV|Rz`LzA^Gi3 z;aUV|kP;qwwXnJ_^tE#)@MA>t0oTXs`JnvIk)fZjXC3Evt#2&P>zoG-uCk}pn z-5+hy5Lv{%&u?&xXk>ONvt%U&V~WJyiSvP&cYGefKR#b5D#d)TXH|!+ziIJqG<}ar zNxNs}*cTEYNGwr#H`+9N50X$5v7H>b|K7Wbnl$U!nUoLmE{lf%JEFz9iV@|OxguCV z?ftEU9*>T9ZxwptO!+o>lHcMD_z<}$KV&VRp2&4A*%Ldk<)OmTwf?q6X80<+=w~^& zluwOq^p^HiRBj%{KfK#^@-X20oP_J9^wdvs(rCZ&%>i&W)^s+we20+tp2VUYPed&` z=mVRAmnFUg@e%MQJl8RNR4SRD7cL!&mR^PYfQ zrv1pCf!&e5Ukq5cvrfT2H!9ZiQ!Y2)@mY`kJI%b4%@7{e#K27sxO8KcCNo54KZ3Tx z>{G@7I8LXpNlo?&zVuD1ddFTiP?9YpZV@)W68OrWL{7&d{JOvATCdM^@5wR`6aK8* zvpQ5?M%?_NNZgvh>4w_sjo{743jvKgTJq71MBWi9eP~u3wI!jkSwrU9F98)O7;0Sq zxUki~2EA0OBUtcd>F!d8IVnzIx?f5jy|%WC;%wxQFRRoWyc?BA3=*GcEgB+-d4UqV z@P}kPj#!14??6Q6tOKGlj*w>%;w^2Yq`U31L_^k8g*Ya@Fn1eXGxk!n_L?weZH=%M z?`s&LQNoJHpD?FjUG|c(Bh`hjUgT*uL93qIvx;f&S6Q6<04pTCcmp+YDyeO=Hme*D1 zTE#`%H7BjuZaUY?(sMd;X)^W@wg6%&CoE|$y~daK>*0G>FNwjVa9Y8gr<0&ri>7Sc zvh9_;0Of3-C0p`DdDlty!ecc4==0KT7~z|9K9MKw0ixZo4gKThnWd5Xd&N zNR?1V$0kxT$hkIdGkTuLR;2yHw({5NB6Stt!hJ3;07n^wdR5$hAU zbPh~&I&;2FUb!5=AfU~~dRD&^M&uG*+RJp`FJPv=cjx%Q@W!V(LW-b8YplenW!M=nP>GRSN6rqxw%sciT zr{CNtmo!s#JjQmc_>(oYSjmzb?l*^N%aX6Ji?taD2- zHtL!#1!X!vyO#Nmn9DRhC>OaRN;4b-3*$shPmJm{1m>krW>VqPd4K-q_ zar_|~TmA*!iR-JfB}3MjdC(aYK4!^$c6^sJQ@Vv-rQj($3KhE3;j87 z*R(@y$Dy~X+p{FQ++|~8XAo?)xEbm|3~>;?#>SJl4C=6>9I7cg^7NUgHO3vDb9J&h zNHgNkCFB(pj^}&1WKX_nd;Kse{iI03fH7L}rLwgCX0Q$?r;JXPUhimHecQ%3OzRPQ zfJ3{;+}UxEqSSWe=!K7|E+qFICkr2|wkcyLGS};mvwotvl9eMorbR@OE14%59g<;2m|ayZ41E_W5A(&6MuE?*-D0L{ay>g|2430L;>Gshw-GTfk` zDOdtky<>*c+m61i*6}m5_Zs^jE9Aqtd54yi*R>G;?a+JfWoosxb_AJTOmir4QtKav zk_)NQA%lMo<1sH;Av3*igq1p~i7%lI=!LeRur82tabd#{t|^4n%P3C}cJ7IKD*E9E zsY+MxR>ID;pB4->t5c#7SjE1G4(OhsK8aFDNSS6>AJO_w2T7kWI^^H%Npz|p>opM* zfvZ~ieKI&JJL@)JXN19NBFT^p>E@BJS zE$vtv6I5pQu}gH0O4=&R>#!*p1+iYz#p{QLb^WjAHE%APxn`#**8^HJPNM-{v&|>2 zHDuOY#jCrm>D({(^4rzb{9t`dM&Wy_Bea~$nm0Zj=R7WXmdheQ>=YaXY^Gix2f+cY zj22{h_bnUCBQ1YCM>}6rAR19GG=jU}kP77MifvYtGem~Yfd9v638NZyeS>KY;2^?% z(7H@Y6y1c`@V~>$e^<4HLIfmMqNIg#r0;LR>w+q*#q7W$f{P88CdMxpeZP)=!nw%} zf?raOb(}5c4!(j^Xm1=0Xg4{g@p9i35J$(tWOR*PPX@-?oR(?H#!=TO*X2fjtE8^o z-c2h(S(KN7%swDurEX^%zS_uLbGjApIwWl+_*P~@Jy6Sw4|M~2Yb|rrw9myRNPBte z&wjNQPYx8npIB$m_xdlil8yt!)wsfbK8v|&t!N-IagBQFtq(0o)F@=)(|X)+e%8f0 zJ75d`#Hp*>dk+?$L;_T>YHdY$pJ0h`P#kO(8^^8^^aV??}yK*~MZWy>IHS!4xArL!wC`^Wo( zil9vj2~Wi#^_me!shT;nAH&fe-&1#i*pW){V?szCg%~hELh>eZ2R5=vW@vV9h0Oj7u>=mP%7VQ$jRL#D372K zDX+J!VACiTo_|M?uD*;*;Q1?K#R(B}7%*qeRkLCf6mm)`IC-U5wOW3PM@g2 z9^_X*WGqmo>u7ch16(B*);$c19B_0 zXZCF&QGR6A`1~6sD&mig%$h4RCnJze=bip|hNnNge@wkDt)U9yTq>G9>){q|)he(n zKE#6FTh-A2uE+xetNf%8qOl~1a!2mHB-I`g;A8hSLrYpe=;K^N&cz|q`1cfAPl|_H zPpVdMMBOoa{Cs=x#6P>FguNNmFhb#lsrecau$VKx^3svnuwydKaPO+@XUmTG05=XVyl66kG z+VZ9~(hkTAYf9XlT(LNb7c=y*e-{ari0F|bQw=AaR-E{iZapsxi7Eshn60-9WhxIH z{K;AtqARB(U|$>6l4JCB7JXKnD~SZPJ$k){htJ8+;YFI+(|VbQV&SR#bC!ORJPoYw$@f5u>8I)L<9RPFS#Lj4=x=g zFIg06&+HBoN2JFCtw$E-#6}nK(!v)~7z~ z<`I1c6)`f8PE@WTh4n{19W|Nwa{+H#*OsJ_ey^Kr#vndz_K0inJF2&S*sdB1qbI4r zSO;yn`)8D|nZWHq^3Ku}aF5$D>+aJEQ4Oo1P?hXJvnlGS*_&Qh z!vk?hw2;sK#;{Gfx#X^x)hy}(>{{)2nXYS>;WM>ueOFZ_sT_6C%Ddr3rtSD482far z3>4NG1kdA44_}F4t}6m*2EWe5zP+d)#>Sr1HC^Z``0Lyk0|&z8d^DO65;raTCSE+}Mr>oH zm}T_pb-O5>b(CF!D5mGdt_2qU`AY%ni**qnc}HBgluKwdtd%~}ivR7D#UP4Ul8ue1 zhbE(coomCE87>HDduBRmV|E~|&bA@*=x?GR?Vs=CvW{tEY26+x;u{QgH3+%PPaIK~ns3kQ_vE2)2(uX%S>& zdh40>1TwJ?gX1n2YY3x*(sa_+6=GBb`P5_QXokh;tm81ujw6nv(H6iXO4+#n)J(3Z zVmDK!oBP)ylX$*&(S7z*@?(JvQhXT!ZH$m_p^Y@ryvIA+MP_f?*!$#_RhSpV27rh| za`0YLpr8`WzEfrMGhS-#TrLuy#Dx^U29 zu23@|^#O0trFJ!)2{HZf64%?Va%$|D`-qtzX8BHCKPAv1Lpd~G3yzZu5BRS=WiOk~ z;$7Y_*7l7SnwSefT6P)LHLCr6MZ-#5&oJNuw`5MOoX>zPx!Cdkbog%EpGzD5ybUa_ z+;_)sB#Kd&A~>Qt3xwia9~B0ueEGx>IKTg1iW2jk=8g+zkY<}dk!`*bk-_XwIs|Pu zUnFt8f0UMRo18FgDDh40aFyA#17BH4UEKd_+BO(p_*8(U`Hz2$<5TUZ8v{Aw_k`vl zlI#WC!q0vNDBfps<P7ip$dr)~GkRdry{k6I)IAeFBx_D3K#KIPqSZfoRXep7FR6A>U z9Q)JZu8`>yHd7&9%|8b&ZOrc9!00d8whx2v?){3OS?4DiSM)Xl?#O)FFjPJ--f_9J zwrxdbQJMVfT%+}AswLvvso8q1OPs+3vUbhy_;h@z$w^++-=(<|{0pv;=-`I|NvAKr z{W@3JWEPD4b#Ck)C#cA ztw#Mir%}3O4_3BAC%V^~7Qi25KK{7+Es5Ikq|g6szxtux>8x9O?XPo>#!Hlw#uuu) ztOD}=8$WL?HII9LJYseq@vL13;EBr-;vnPX?_cL0M#P+iHSPVJn%|pRtskHB?{`{v zpJ+m!*q&}pfz|3K1TfYAH1nTak=b<$)>&`bs~`8Q_ILU4dh3RB@Q~TmkqKDzSWT;z z>I-ZxY;p-sJc^rmy8z-`M%+i{o(N2>4DX!+7w&|9wPI(P3yFEo5_xq0X6E8Zr5 z7yq}-bjtw}yG!t@B@KMSU73^TYt1r?cJQO5zQE4i)0ES=1E~}4(}Q2<#*((b>`9%! z5fC%KdtlNz%z(F=C4!H1;M5op=_UaF&*0VJy{%xdv|jc9meyamc;UAT=l}ED#ovDa zf0x$Z088s(a84m%#O;TFaQzqRinbDwz4K^;`+u0fuam*0+UPfuu2U zXS=lEL~^;A{<---jJH!r3_s2}^QW*JyuCU8*SR-MRkb%x^bV3_jw?-r*Ieo>yQ+W6 z)CS5dBR?PKD(-`PqK94A?Pif0m!ED`8!xdff+|aGHBbL{-JpqDY-np^9)IK6!9d+wys zZ@qJIer4aMYRTNDJ#6aY6{U<(oLl-}MlbI8=4%w@!#xEmQ@J(+>}AH%m6tcJ8r{sv zRA8Fz+gb+x@;4ixTJ0wZ-Fz=q9gdW*yi;bWQ-=L%K0^M?s?UD!(UL;o%o6Y)`zt3) zS-wL`KOFi=D-QTx>-5PE_}ON&>07Afg<%}~i97IZzN+n$1@QTKnt~Dg^Xz-|_lDS= z^XpX?8=gShl7(dtdSMt;zG$@Nou{sS7KkvGOjC>6|(S~qSrkBMTWtv2y zI0SIi9>$y56yuDT=;56MzGBDyN~sq+4>nC^F%~-FAn3re&yF9=aPykhvNb%vdvrkdM6E7k#_t!^X0xN`v-&f&H3Tr_B{Py2&`vrtqnk9MTM~Wiz zEXL1bPSVgUEAFm^e%ktn=q(}bdo{v-Rzu%RqIhGz&WI^1a$-K+j!tJ#v^9Biu;vYF zjQ-Xwpr&!5PBg*b_szRZFhU%>F`weO<>J6fkonM)GmlHk; zl=TZx+CX%=t_g$Td3%jr%X%qahCMw%F?^M3rg9)r6sFPPXHt=ZzaWbh?=&6QIe|UE z?40gMsX_TsSPAn9*p64Hjfxf8H;xLr-AHjMeSSD0LWT3|vzups~iAo-=~*1@@so+l7Z0nO2GPech^ z1V{-#810~Y&%hLIS+Ms;H-yJ-Lsn?ePWjz-i{tmX{GDgGrimuTHsc2GHTPz%SxJ`Q zBxkZ&`t^v_o`+rkdBJ7OI3z+AeEsc_dEN<+@l7%9DF47uybTa&E)U$v@QWMKp^Td~ zg~MEY7kstF7+;JiiG0AbCUCn{j~+e z+`|&Y?fUo#law}t`z)+%v8+oHnFTAQfDfK`yDufQ;i{oX3_qlgtfiFME}CU#XLx!#7}C^$hCqODrz$%pq)5 zCV$LkH&L(5RyJSUxR6eFC<#@oV4E<;Wh+WVTJ-Jet=YHVQ@cJBtg%oq-j?{_uYDXV z#^}ep;_-U!&&i@gzEphm@f}z9fy4g0z-j|v$aJEWU>9O*ks2#5;rFEmwiJJ%VaEAg z9r5JM0AO8t-fr@RyqgS7f+gz0czWk`W}~GuE!iVM6@JIbb`!Q_UY-)i$<{X`6mD_z z(-_uOD&mFojl27hY(Qqzlih-CVvZDH4lhepyJ5WJfk_{b1v~TS%y_0f{HER*-x}Cc zaXW!HC^{pVhy$aVom%g4AbH1cA z7nM(q{U+tpsMa!GH?9vUj@EC9Z%Ypl6g%KV+waPRx#D-PowwlH5abhY0>dWo5S)Kr zFY5Kl!iarbGisNRiNCMT0?#EONb_sLKJ=>xW?v7T+DyO*50f86D@CPUALxd+W8P1A ze-MOKAkd#b`N~@K&(wTvW>dJ=xFnlWAh0dTME9r}wOHmk*d~v@sMZRLBFH(Q(r;sc zkc4T6Dt&O80j%Rxqv-VPQ#EP=*a3~!n*ALFIP?2#-GDX=a;AnNh#AZgDx=ol%8b)l z!r0e+8IucSTY|>D6soHtm-SNjBnT;1X|vooEpv>ZTAwGrU|SYKO%>Si~_egba~vQ zoXo_!8e+3aJ~CmBzMhK2WnGY9Ku;o$2AIj#6Tyv(z#*?+kPYZNcj8Mzm22%nDu{<% zbvxmkGIz(~TZ1*V_){J_v$q(i;R(w;rx1>HU|Rq$ay)*6!1?|6ts?Ly2)vsjxrBQa z#b631P7WTJ)NcNaVUqgS%DO(Vr{$axe$Gi|eMF-5uN&TV9gG$LP%Qb%*SiAD9zE4= zdG&8&9_1o{RkNXcnGMaTp?>WEtFa^WV!2kh6m58VhE3%Am8JSldu(_~`sWWPF&Rc7 z@6JQs1w5pTRPOjdVu+Rax`R1lMRcE3psKTHr0x(tGISagQ}AE?xwsk#f&FJTckJtHqPmGnOBIe|RaN@ckk{0V133vphqPh@o|l zURs|P|7*c4j0nTg5k@`ysVGx@O)n!3j9evfjA^YuC}EQ8!m$lr)%a=BaG2lx;x>JStk4F;e^e* zithyqiLXEl(CwsDaYLM}AaL!aWohoSidKy7z_^7;|d zE)I|VGWTexTx&WOVZQyU*dx4vNjq&*QiE}gDR~LL^ogOA;)f>pONhOh4hoio^hJq%AoBY|z<2}ho z3BGD(H+emme>>Xvd;Yf=9+izK5@x`&Uk*v2nd$#&39YD9lA-(21JAT0W$~<$IwLNk zeK{uMHiXcWpb9QK@%x%~z&u!8#_lG@9?!o|3BZ~x0XYs;5$zZuJ@DG_i4Y!~Gg=bh z^3m)gFj>!nWoAg}>gAOV`wQP2`q!;&j$~Ed(VwAY-&1A?u+NaC!v9X5ugp*jcEjeH zV0*fk^gumyxnFALtqat4FkxI+=s@cR`|B@clFb&)blF!+o(k)Do}>W*B)^u}!*gug z?WS17<6`FPABTEkQi1~?ZUD%^0$Avps`ob z>%qQ&)J*GRj&q$_{q`r*Q8DQ?z=c-&LSXtBl3b6klL{{-_63_XGybgwPP%q2Cu&5R_!eo13WD7OCofRoPtU3^h62uUs1-;BC+i8>>96+hNNN2Kw8 zrJd-6G1MLWihB5yudU%$Fh3Kn{g-SDFYxVX>$sQ8SOFy}|D(VJ9gaV;vz zXpLR|&%g0FBTA;0;?acoHx{LXIxehr8cf2F(wb(v)Ys$Cng*;PwvndumWv0)OXC5tc!;emYn8uSJ@z%=}w{diTcfB;6-- z;8^}s6+)Zy`VLpCcCb(lx0EczXN`n*;LkiCU4`THmF*zjzv$S5etNemb`^&#Y2Jb zfZCyVolUJ_VaOl3-f&MhmKaNI&N}ryvxphkN za4;^LA9)bA3&GB+sRYIelTAU4`ZslBP4|lobDcLQfyg#Z+F)QU`;(lVT_}a%F>gE~ z@}Z(N^dS&joak~jdJ`nz=YP|XIE2ikh_}L=OoM(FUAWrLtx-|h_{eY%&LnA#D^mmy4t^2Q zYjbF`Vm=^r(hm@qf5v;`b}d4{o7JwY037%xz98J+v+5(XBAu&NfRg8(<*_Dse=t-~ z<_z~B@HOWDLQk2}TkA)AY?H^>3}}5AI{cGfTg<~ZV(v9+oB%)xu3QjlevS7Sc%U*(8)FWIuw9h`@=d7 z8g9ln>-As@-C2$R{f*1~uqBA)MZOSVNUi%Ku(5&+)x=7xP=cEsEjI8y4&tCcR_DmG zvja|ME^wz8v~*}F$jjXEtLuWrJ(Nj@yiblCF%}rbw82Ssfv%|*hL}m0cREQPK}kP# zcxrZzZQXY zYkbj5oz^ecp7*oK5swJvWs)^_2%YqY&tuV88O$@8NGjZlE4Vwc%8H?ja;Vx z{`i(H?#+p&zF(^+vC&ZhS9_<>(ahY*d5HEYVA8*DX1cJmH1tt*659V7(RmE(?RR(t zEpq2m`{nR@4?Oe6o?uo9%TL?X4cFr{ExRRCg9BA<>ZN5Ofh_c-ls0s~b`R!YCfN0V zMann8`Yov$a46A$*zI6s-e=m0$KnDLV0>hpMsvoBdp9j~|Gtre?{{_2>#W*!j7 zThK?om(DO#h)5Cx&4ulF0O5p;g>4pTodaKtVgh{#5t8b z+%Em13UhMn%C+K+uR6Ty5M-==T6d8@z=KqFk_DOS=?qy#8I+AV%#^~|M4}CMvqL9R zYGfn$m!bV`5FYi5pMzBQj+l}A#u|=tWEQTb&OFa3qs`FkH);a=c$CILY`&m_=ya*Y z`Pz@Hnz2BEsjHp^9PS%1sd{FZU^g53@TAsG2-x2=DODi0EI6Cmb&avR@mn|l8sE{S z6Td`dGd(@`*_{i>B-9if zXB;!YI{(>VM7Bp6OJs*}ioztLTw-2#`AX+T#Sd2obn_0XD3_{kL3GR|F| zYmB_j9`(DDe!+p-xIe#vG5@!}ER06Q&OAz9CCmpvY)u3SMQooC?79;OhiQUadtZE_ zgQtVJYNgc!6a0KbGL$AC69LdFVh0b;cpTR8zKlS03?$lbMq{c!f>ccEJ7WOE3h^Yj z4SIDEgsl3dX1&s2vWKP+zALbh0LXv8^rJ8eFCY73Lx7NNF(1JLgls@a;qVt%d+xyC zY7oL=?tB<;@~DE>62hmc>nq`RhwlQ-EpMqn|4Ii6qZCbGw7<3$&6N+%r*5!*<*ryjO7F@lGze$*}Jvk#=X3xO=x;xBVr zT)|EJ!d9*{Aakss1Ir?ae1UJ?Mh__hLxyfFBaADPXmyn=F1_pX0tAmxJ;4Z)rXnYQ zt-v3R)p4rI^}_bd_tK?C1LXa@oT4(YN_I)m#abTYuOQU@l0%K$sn~TP7mFb0vOmkG zf)u%soy_d;-f_>%>;tebfWiqMS+;o;!;>|S=|k!nWujDOrOTtq%|l$7!DXBYf8py+ z#oxr5y7V@6O*TL-G_irXK)e0iIy)FtDhdpRI>kG88Uf@A`p9(k z5+uF})Ha7%^En`$Pk%m3+GshBr}gWRO3%ASoF~VMw*_B@VhtKq$6^|w9UfBxL8&c5 zMh}cVR#+EPvjPO z9yiW~oQlKep5Rigg31ZlrF5=$+{h!3w3ZZ2>#DJpCrYm|5B8@bDA?Qy%}evj?Xd(3 z9spsx%aL1k zTQ1tTr$NB&0hjPL$AjNyC#dJlqg$ zYleAJSw8fnQ&24#JWY3-$F6rTr1XGRKw9{DY# z!JvizWzE37z34M@pKWyK);tLW2$LbQICuw}JEe)Ry;$6uoYJ|>G;ALEsr$NJeCVx$ zP6|}>BjhPMy}s0_k2ddi?J{A0oq7lfPq`u_dV8PAVJ)hWtUm1*v!~D~)0pm(_rZfc zZpc|dRaRYvO21)4Cj{df4R8@7B5dA&djJlBfW<7q6~QMu+GDW{s#`1AzG}`&YP}U0DM_4 zVcg}T$tDL#_)tH<4oEn1%YcM~2lhBLCDu2k>qc)grj>Il_!szcR0IfAYF+z*T~uY) zdKzO9{==P86W!cG!K!cHOow`sa&HI7WZ$|sv8EMv9d}J=QtY5WDWInoU^tJ^Oi}#B zW}Lk&H%>Fe$0k>$vy=;8LVv`nZ#()8VE^o_{R*dnM3s4?g?Ov*PVSH7XOccb2i3Ln; zmbz~j4L*U^7&4bgjizlqrNmP7HEyr<6;J;T9p};5ba&4!k~;WsiM@Q-%{!(DJCn0M zwe^8>1|UGQkD&D)(Eb;oy>gReN@uy!{Rn(RbK6%Pa%r{1ef9>zqUm^#7a?RT{w<)!*1q5YZBZt+`yl3k$X6sLR7}!!u{ZsmY^u) z6;JA&O*nLZM>%>VXZK#ZpzW+RHA^U^5Tjz*56QATb2KhhUju>HeBfVgeZ{rP3Z3nN z!4NqUs{|f$EJe4_5i^t0W|9^?Ct&WLUUUh;eFw}dF3jo7h~MocvJKL#x*uE+XHG;y z0Jg>Pg#Jc$Gv?vAX7M51$ErL38KKQK60Pc{w_sDJy<7m8dK3vegkHuHTKwIAn17K3 zyYzN#5S2-|ghQ6I49}3#L(d+98SM2c;O%5vibPLrXIm7OgW?wYI!L1`(96)?uvV;BF)s=GjHPI{>>_| z$4V~Rd!QI73yjE1T+^8odP~?ftrIrg*GL^??m&qN>kP5c;x=))!NvN(mDUAc7yl54 z+xcN@fifrL#OEJkqg2Me68}jS$In<)}&yt_;fuB+{ zVeu3tdPczDMi=wAW~TbLxJS808$MM0iz4Agn>sHmzS+REU-%KTPQ~{eIGN`Eof!3W z_9>)hOXovy45xianJ-gBz)P50kS<%T`1sI3h%%4?4&b|97Cc{dU&(OYj zH1O|v7CqgRt-P}AZ?C)pB@``?GX*&7?r?~ys z-gRMXQ!U-caF>g!l(uG#w_CSpNfYw$SXC)f_jovj&G*)KJ+VF?s<6jQ%FpqeL(xlU z>&)t?vb6bE0nauct7^8UPHwGu>*IGeROd8SJk+Xjck-AxK;R=`(tN-LCjVj?`p<91 z%$T@TMNeYq&f6j~V=i;LI`qN`_RnvRhSTloLQMejBAAY=L#r>nHT`*|0dU3No1O8X z+u66&5Sx70Z;aSrKJ=!hABWT%n}L`KS@k?WviDev{1MaubJnsqduZl%FekR^k zdxpgsSNJK?-Ve2zucO1V`eE1T?pwD;O=Lps1oc+67rCOlfVBZ*1nB8m&pqdJo_aMi z!B9|e>dKTTGCudtmm`!aVp5GqkWU>%{vmS($g%m&!k@o?@rQ&D)hqy38js(4!tp8Q zDuupz0THq}ThAX8YO*F>!ZM~ayg}`IKh`A3a8Zn?N(ah4$aVXFZG2@!ee7pR%`Huq zY~vA{mn}hA)>>I5u$&iomcX+i^6tyXjVg$khrbEH8lgx|JD6mS|e=D zaar@LsKKeQ{aEt%3)j=|-C>A}nNuEsyQs8^2rEyZH4!O2csp7jFR^ zI4|lzS^gR8{XPxCRp+KaMUYb1UEpTp?d1zeh(Lm>KuMXnH3R-jCs>ms#0NxrU({p1 z0c*POL_3J?n}}CD;7t9y3z$#rB>G7wLYwH#<=HsGgZDWNsh2hrtkF46JuBmzT$

z;Tk%dv{an{TSJ$!+~oFGSqbKj_cKyo(7)Ys+J0mGmT2F3a(4ntSU|kk#Mj&7{{MaM zb?dL$H~WuKXagD;d@pnWlrVr?;4*fW2Ut&jAa*uAc~ra&LQnq{E8#~2IAwb`Euh{P z`!kgwy?2DR|JUGZb33^(SQXUm$U*-c$*B*StkTf9ugz8|xDzdVX5P z*LUO&TZmB9Q)5o)6hx9Fs{6P$U+}Blx=Qd$aS8H z&h~w2r7TzD#Lh6& zP+Qs#ND^zFkxFynFG}7Jvhu2Paot8PeIX=CZd_5cm(YAci?ZCJcc8P%!!Mj^PSc$C zjais^anZo+A~XLNU}$zS&d)h`TB8l&0OShDO(Ba7VRGd}F-=+E&Lo13%&$}@$-&tjp<5Uw7_Ds@jMsi?YZhH=y9lw4sC-S&b)5X3TF|6HPa;W`pQfRuA zZO}Rrl=0~hdhJXp_cNgJ)I55)PK~;>JF}{UD^4HbzQ5!8D==|f1J)WRsd4blhNr0_ zTsBcoO4rf0Dv|l-Ur9gxh4xm)6CKb9$4#^&Ks8e%y3lB^UcEIiR>oFz%F|h=#g52tx9~;`9eM@w_v^zJYpLl?u-B;xYJKLuL{htFV$FwNu zeQf7PA&=Njl{&2RJm|)XX4S-_v@ImYS=9;JWy0hGomybZR$g9l-)s6^?U&npXO5QkuaqNlJqPlj!NW)#BOt_aVH|p3E(~LZu;CmLJ zySWSlcLBiWa+x#UKtlB2X(@SsHZl0=m*R687AZe~OFT4{IW0ZB*`vA)GkjhTF|V>T z1LlO_ufZ$&mMtN{q#vT>q_$d@z9)ZEz)$0I9Xu8+zZjGhnq*7gIzk4bnRDGrK;SC1 z%uDzANs=|bT7Ol4(=6Dt@U)oC-sKkj+YGkF31t<8GJM{jzRd!5a&|Ja(#X^!G7lDN z^&`QS|82=aKR>gP@IeiJmoPZR;CGHC^*-e}SbKnE!z7%A+j1YaW&oS{di4y*)9ey~ zP*Ujn?3<5beFAglU)!qSn<;sp6zs4?L9Y#G-oJ3Ehje0MJ9uhMLgT2wDDjVgH}L-^ zV)nHy7b^1mM^Vz;)beaZmlq4&N`9BrBo!OF%xD6Wm}UW3WW?6|6p7SvRo-SjQLTar z0QLoN3$X9&TfeY}VfIB9pq+@y3n}&U>^T z`Y~K6LX&haY53e6*nO_$x|l3B9vA4+yZ9YGG9AmPA$REe-)0T`X@)!%V!Wk8&I4wr zLVT*DJC`Lrb0K>YB}9|B@3)n?^csVb(6{({?Cud?kSoRjGPU#;!1-jHmqANJTvUOf zej#Otbe#N2?sMtOC^B#7iOiy@5%&>|9R{Q_R-HlqTU*-5-tYegxA2ArXB!dJtg&bRHc^_5LcT{@eiLY}%_n9YG z1P+}cM$NsLlNAh%A08*67GI@O$;yC8zRLG*dn0pFX034ttIO>k0zGkG7BX_Hy7+N7 zuPxWhXRFEZ<_X(2(2zeHRVHV4#x}mazRs!S_CECH&gW&E#cqDz0ar}?Oi~-KY;6RM z68+Uz(r!N8mJF1G$|mH>V&eQV)eAsN2ObYne}{JxtO#DT%J^rCq9Tbd~l#f0O4%MRq8fZcEYtR$31*45VQ&D=~?vIhpX?&}#% z*wi^=^nhRyxq^OsS$;tyO(|fB&aI!pXH4-Eud!bLrky4<$NL@hAEj4OPHV|2;re*C zNBS&&QCnD>dchh&3~@ra)}U9RF|PossOy)qDk^I>MgB&bxZaR-SKyLh$ zf#@?{c~SLVGGku0RY*1gMZ5lI*mpej+CWM?mldd$YtlgJ}q5m zV+z1an*mRwK2vHuP)2=|l0DTm%A%KCKaZgNrs0Mp`}7m@HCi_7xaJ zYo>$(ySADf40D~@g~_M_yZc^Stw^TIJ0*X)3q+WgR-@jijSrJ>NS3hd(b)X|@ZOSy z_}x}+`uK!LUUJp13m{>CAN7ec0#4xNhW!JnPX?S=s+LBNwz^065;3MiT! zR*74bK#|S;TF;v+sW`O9uwGHRx6g{S3VeU*W)F-d2 zKyL9L_EK@4$Kp!d(M3c4JS`bIi!O|HaO#e1gr#Krbk{$>jVC{g8p|m#>K)%SbZhHk zWNxMFo@$xvApgExSt$nscVsFd(P-6tEzeg7GRnN{kx4@2`x8s#Q-#Sf;Kfzgy}C8aCR_KYiTk&_w`l|EF*bFyoY|5xoC9zSaa$%ghg~uE;~q)EIHytMORmv4 z&R;bTbZr%%Z_FUwjV+%-lmDU|6Ljhzx9!O3cg+c{k;#EB{MiPi{MCTxi&~s>H-`^< znqXWMQKW!ESrfV1Bu(Tx+alJmJSoU`2DtlXOESUG9GXXoZO~}b$`;D)p475MVEoKs za8hHlm3|BzcuMej{MHj@izF?@YMy#9MsG{+dlypXkXiD8fwQHvuLMDS-(LxX$Ujep zggtXs-o05s@#fV2K_2<%Hz^S(uX!Hj{(Yr{1*|?L;dN^g3&y>-85xIr^MMA{=^-AB z$4ok^Fq0+i$%UN%t7KsM08rRl}WuVGqeta_@;)t zT#6~HK_JBgA1ZcDl5_pR6ahM`vG(8TEl01J2cT!}?> zeb4FR2*4h~EIiq^klOU4GCq|_An0;Zx>{2fPskTGi=Oe2 zNrHF;(D(b-y{+*FJIS<}!K{{qoJ6J&I1+JnT)4ElcY>M#HrMT2?%mhFgAU5`? zcA-6357-QebTqq=Pg606ns6y{p!Te^8y=N z7_u5-OCCUe9LsWfI}-yJpkxIY8y&P78QA(U;1HXzb?L!>`Cbl4-RU)@GGTE6M!p?1 z1Q6p#m0wcqz_eM>G;Gg5zX5Myqg}sCdY4D(%Rb+v1TQr>KzD6M5A};o!3T>?=LS|uB9ZO+((-WCa*&_4dhVqFfzCdFHOUgO zC}k1dgK_w}iAqmkd7Yc4$kuGR>~7^RsApI&ogN$gu^HOTrnkJ23|Yhg?rGJ7-mi%J zPH*{f79IaR7%uL02p5cPO_%k^bWQ8&!wr~)`#pSY*&?ofuftnOa{RVGs1cg9odypa0b@B*fFK~PrR!9tVoQ5Rx(I;(msYSCYZIAU{*arq z4jY%QS8{dh=u#sM+y8VS5n2Tp3qEH-0=I;a(=n-J$!Y&P`D^U9vN*hcuOVlPxYe^g_d|tBv-%aq2Eg;q z=#-5Hy0~#ZvcB@lnu|VFp$@j4kI%7LY1su>Q-Rxjp&WIbPwuq6PA9Hus zKyM2~$(Sh46eOF^9RBx)IBJI9PkNUU)CoRzof~pcV+dt6d}%p^^*x22apuaUyr`nI zMt8qJOAfh@@O`TnwTCdRILRAl3{ste;n9j;ldN5zoHbbO&2%`NXE;BFuz&M%ummD? zU+|s`tW%IUB>=OGO(STZ*3TFg~zb#C#*9WRf7T#$>njXwWJq zVXNc3WA{<8#BCRU+9w{da1audx;vgHChL}UnkymDruHS2K z8qDa3t-6w#AH9IFtda6Y{rRhAjK%t27!0=qjn})bw*SJ=5s;2G`C4x!$%L6gxJ_`z zQ_=&GdrneA!6y|55PVxAck9a(I~1<2YoaWSp~j(iMb-I^&AapKR{(Y%*v0xs9o%IM z5DIYtRr7D1CW%3{$u&$sIRvpaeOa+)MyN`SfpixEzUuaq+1R~8q}3;!#@kC0)9X7G zpW0~|{)$7C8)yK{gb6MmH~I`M^lj2~=hZdSqIl431Jt^UwFiqGBb>V_9oTOZwHYJ% zCm8^(7odLtEzc(*vj((a$Rup&B8Uk1xv)ttU0A%)(#G&5l7JUr3O)c zZh&dX3ZU9VVTZKVxuON?koaLD}c zSfcd1B+28-URoYa8?QTebEJcHuohzqw+72u5Dy`C8Y@st%;KuBBzKnD1kKx8>lziZ z-9t);@l3$Up<6ip*tI@M=jdU92we0&%H*=dNfrv5jklG>XBr&}q3V{2g3yMNv$%e4aUzedHLR$=-BU}aIqS7?`6AT*b2lRl z=#c@!Ai?{Iv62KPy*VDpx|8_^RaFCW^!-+-Ywe{@S^6UokpkjF(IGzavvBYb#ie)Z zGuir-8R1wghyXfwj3%iOR>fGN)@I_llJJqQIiRTGmb{ULaY-?k?U6Ru|X^*Ond#%RqF?$XQdEYo;9wawEXT0ZIT8W`){P>J9b8?q<|#o6FP#*+hILF|1YDpx2U zh(<~8%4FS9?Z3n5f?h!Dw;N0*{rOQ$QP2M3`dWZjG>%1(z1vRqxFJdu?lH_j#|=@B zTNa#eBelz2ZoGiz>ShE#hU`OfK(Jd)wMKQx);xRyOSLdBUA1oD7%mB2W|`_S$oC&m z7@VNX#gjN(99>2Ke>gLM%Kc6plr){=QTBg4gXS1SNRx08hx&UD_r{q|vlcqb&#$bw zKs@?Ezg0aB&&{jLT=fI^{b}j{2qFXu0(ky@e@xQuXFxLP7NCDcMkOS)>Uo~-QGyhG z)|6%=w)yWLFk=f4(-_>TXPzAdapOQWnauvS{zXAek68H5Lz>&Cgb_2`TG)?#ge2QmbdXOSF6 zDizQ+TZI-*H@@Vyj>FAxY6X)zQI|tAOPamgMm1FNP76EFtB_E9Kt48PsjX7XRCUh=(R&7J}my=+Yxv&R9q+j#|+$4qr<=%Yo zGd0+L<1#nUGj6vX6o`%~OF!_uB;t(I^zqmfi|Zo_RgZUoY^k2}VhDD%)9Lb27nOX+X7?m8}0*IoMT;pb)0yslB`tXRZyh2qWQ^3~z!zvbe1%M7hB zXPvpM)*;h^PC^B6K&EDz#ov)YAVFNA*vLqJ7c!!zV70SAY-94iGUZTE@-l<0G+eqfq@0>+4tQPQ|$zr=~-NS{HtSGP5^1C#INy7`(m5u^bhp+4I zB?sjWubzdM0Qy5UqhB#Az*dA=gHE$x#dLxtMjQ0x`#rbYmARC5Au8k>x=7hyVg-nI zTwZCOkK*@XpiK*H8?*qXEg$hRJ*Z1RCOPL@iFOYw8^6k0NDp2-6L! zVW7dS?Q99+oG{V6LevbGV&>CZ#&#G(u{ytQWZ4-`wn>v z+VnN*?N(203;36w)q^IIQRtSbLCenw53dv)7_IzfJh4P%Dwef`=$_Lx`L~PbjQC8} zc8X7!Nw)-OMBhMVp^ zO>}IE^l!+)rxB8hcMF}ltrE7n0Gx8T#HmLgx_=0{>UQnV<}%*xeF^C_zhq6LtUmz> zG^Yqb#bCT9HTN^Ie^)U)dpNU5E=Et?73jiP0lFRx>lwu1;{mfcq2)n83Mw{W3E^AJ zL?iA?=fzj_nh$O|Fjqg>DAD3UinXDFBZmC^iXAyHORY`5nBYGuSeJ8K)9uC*>7pmt zIsB@R46Daee%i<;*FlE@2JU)$!>)ue=nm}Ev#iy#j6L>+?8X&Wt7iut!1Ww@3s@9V z-&L`(tqo#;JmNuMtcu9E!^+I(pSZfQrE*f26QScll7wX6L$^9CXL9YE`Imi|zUUWd zpEHog8ZvGj4c0BjO7oPv4;Q3Oo^fp#;3RjUk(>8P`(g(mrNoywYli^DP#2R^e^|#T zUi9zzWw8$ZEP0+LVxtz@0s^^#a#s3+Jia?2HO+sZpFVC=1g!N-Hpnvqm%Gn$IY<=! zgg2jHV*EKh<7ez&UHf|1M^*fr?jNZQXz~eX>SZGXkkVBj3!xT^L4f>k_J7?2fsjFC z`U&pbi;~_CpUFV6MgXWz2ATpLb8Z0vFdYX*5SOWuZ{mipi;H~h_8svCtaI|1euodL zm8tv-3xl$HUyc{W*8Hh=`X^?(Q`C5n|5`X8M2iW2 z!$H+ggnyV=+sa~%0mzy#bkLBA-+0VaxIA9F+xfeQx8xEqC9!i*xfHjlb%P4ev}c+r zV^4-#1kSqI>6jF+L}feh~a8h5FkZbHuHg!!rqdWA5Y%jB%WBd+bR&1+3jK zEg>pgR?q(ukZMh?68OJAETKd?b31_u;B{)|2_Pqaxdt_oy=j>l+q&~z{uKdI0o0@b z-vXQVSIsA~tMsAcdUX2D<7&iaW;e_I)0db!ur4Z7ib*Sy_69vIJFT!-Ni$IVGHKVl z;*Zp2EX`xde#^mxh6K@BqK%#7Vi9bOQAUW5#btMAeL<6LfK(aG;lp?uUv2a#xjxY9 zpWo!5HG=XGmUeC}+Lom?16x_A?4b84w%&&Tt$LP|?*pBw9$7VXluSJ%B9(6VA-#I= zTDntK@W(+n3tgmpb=j0=AJFon8~c6IaB!fRU(1bR0>~Q+JO)>$YaiPss%vSZBo-RP zELsXt-ltle_9zZ-t~sF8rgSu48c+1&!0!?u`{)T@tie`$8Swpo&59L|6}Ss zz}f2mzwzGPs;EsBt=KAdP{p@KY>L_;6?@mNTHm&21dUCM+SH6qik8^X+618#MG3Wc zpX2`hp8x;3t~d_jaGmjauh%%2us@RXNtK*h+Vp0a8PCQaC$8D?m!&y-COF)2Us2=x zvH>+NLHciOIJBkAU|W@S55(%+jHdcZw-@sW60Q6gYva8|@S&Kzw4rfySZzu{;CE#= zk6r#3#8j!BYADaAS58b5%a-FyM*w~zSFVCKDIiaO<)u$7+RC_K*rFn>Enze5B;iMF z)Hs0h>o2n#w)fz?0qNUMQUpkVv=iz`Kj>Ibr*NL4VD8a{D;`k|`IlDr)7$3|dTRWl$}MP4)kRFB^dYQ2%3dJ0V$S@mNnWQmPL} zx637@LfYnqCFQ*rD?th&*SlSyQ2BX!5LG@&^UR`}yoXM=v@SrDR1O2mJ%$cW+lwBj z0N+=@lcm+n?M{qAQ25OP>xW18AF%m}QwaJjd(rDUM#LD(M}~O-cqbSE<}lM>tDl5L zF3W=ZDcEdSwzVqrDs=x5BVj>Y>i{CqKW^}_f{pd}HUO1O8%{UC zHHYUrqb~s|8ITN@Wpf@v=ME`ysn?r7z;Rmo2enfrfO+6utR_emDH2@<7_NQvNboev3sViEvOEc|o%3+h!NuD%y~xE&wdPwMYX+ef; zu9GzXqVU0to$PR_IaP%uhqhRZY$Zfdx#i?uvwM=ejCi9KYu2kZZ|a}ZDpT`ouOP)I zK|O>{U<~b(rYB3g6r{iNyn^i7o)>Lcc*%b`oEHEbT$9$KqfofZ+RqR~JB(vz-udMq z$4TS!C2dOw#P+MVlbk;WH>46W__yPnSvdl%>ku!UpUme8ONg8MSYVLOZcGeMbh~($ z41k-uZYnWnD4EphXFEkU05j2xZbkA_(4>7@xJgUiVxr3HKrJfh6IN(inAvQH&cW>pQa(4b)wLV^ zV-lP8OpfIZBzZ8?Z{VRrO&hf~rVa@MT4)dV1r!@%EBCyxQry#L7_O{B&;Dhz!|qee zCwQdNh);hI>`Aoz-Q=oN>@)B0)m)6AXPZ89fNAC*mWxzUjY#`3(i4WVBG=or=1)6=UkLrG|7t_ffGQjqm zD!$%NNfu&bFEZ4g_CHgwZN7XGtP&{z_*guWawAXwvrh7!n4k~P?se}u4(G?sVw2hd zAkDwsDebDEfwb;ZhUb}0hUjgeoCcM~`E~Yox6^}YT2h~<0L-XbvH15%=`kfgWqD38 zPqv~oD6CAxz&nhUfDl27ESQafBa`3DWI-Gts260QHP@x>1J@c6qyp%qn8Ev!8A#~6 z*Q*x$4`&5<=v_t^)MIKvy|z3gQJt1ZP5VjWqN`GprJcRqNCP{>cX`M`Xu~cV1{_;OCzJo?~z+yVLk1DtKz!Z<*?#8O45VD z{u@AxrIisR|Gk2(^=f^camo^T2}4?=$Jy)Gy)+kKz3gCR6m{|#0t-UTkk#v0bJnLc@*jlgYj&xR8j-dOh}gzI@|eC@6pG$ z4jy(%h~7|MFot6-;t=%Ex~c};>Xe6F;u@UvqL9*eSsb_bI> z$lWfW$QLLbRso3XCIq{;)NfpReM9-z+vpK-f` zxciEwQ6pIcR;s!dfd07tC$gucZNF~KBX)hj-Cf(ffkP+xNqX!Wj}VB{U_#W}U7c9` z}>C90&P*Crcg ztjY~+%2Xjzo|gGe@?c=`>{iG~wz9Xlu}f(v9Kysq)_$Gn{zuiRC0dIh(6-i~IJzV` zPOQ=2k{@sBX=#5}(Jzf9yRM(!xbY?`9^`obs79z87-=)Mm=cz!#Z(1TO6d_JFp*{61Lo*-p`{r}09{s(}H^0ApJOIquK z0$`1qe{9$shh4jHlZm2d03r|I>cwxa+gAY*TK}{PSg?Sm+qdVh@Bq_d@OB*mR@uAL ztYCYh%F5z%%41!e2UT+%@#ohfbJ0ZH$Ea1_KgDLLys1Pu$iA>2)EHpb+leZ?4+unb zmC+h6sipkJfvy{dH)HG|Sm*BhWv^rP-vamraWRir(>LO-t>`Dw>YZ)7h*WxUwKsV& zdg)=7oy>Fj+{!C84e8HZ6i; z(+rNwY5@hWF7p!(d~@;>D2X8CoYqv~&0|tPwq7`-^_A*yqiQqp6DeQZGQ}_6ujoM6 zCN6aUrPGz~gWx4Y zNDqwf4oIW_5Ms;}?E|oco!C%SA+#OFb~3m-2jU?d-Q9Ggil{vd^bE4$pkJESubG>S zTg-bY*#U4dtUhzBKW#KH2k`a=aS_D&9TjH`cU`j(V@tf-RI1}06IJSWE6SW$@7rxV zTy+qPwpa~E*(zM=1pH#n7Bx5WPF1E$^9hFf@0GAG(?&md^-6$TD11$H4)O4`L4jY9 zicT+iFmX@4wD*v^juamsgq-vPfR|e7{;9ZssnB;<>?sazhuLlrw-5ZkfH>KP&~YJL z_H4c!dDCeYe)cYFW>FQ=e2jolA+a{u_K$H;w7<4e?QH+IA)Ay4CjZztfKI&iENOA9 z*NMSl+S>IHG5@+nhb8^1?g7N_yJ&d3VT2dT0Mg9_gy%6>qhTY(dt7piQ*M33@qh#k z%h{NAtBmz<%xW{E*QYV8agMatI}LPXGkRdlG*Kr_PEaF__}WYyM)1(+?68-dr#oXY z*QzZA~TpUg|jU zDDYQ_TelAum)wNgEZw{JY2T!+XC2rvHetn#MTfVC)Mq#~;YxtE1K`x_7zuKNQ{VZS z^)t5yyw{B!XoKraVO}{ab#wIdHKVJjU(Rd}#g>M!vvIC-oW>c?h3}26@!Ib-<A zfg*OqED}uu@i)UV4b*%03WyP2zO%aHUL%qP5Q>qw|jO4ZD6$BpeYLGq& zu{c>}h)pjPB7&jP1uOR7g7mRriAIcs44AAN$Z7JeYg-|0QZqx#uy2Q3X49+C1HY`5 z*krvL?50<+b`may6czkcw~O^q74&$Lik?DuyppH1ZcdYgT4$`Z5mbxK=S>Q+zx{=@ zu;><-_QDjvT5%*qriJ&;ME-~_C>TsY#>(IFYJ5I{!D?+Hd2X+o_W5)ay~J$d-E2;2 zAp);cz@e&*x#x_sbQ0m<8;FdB46hZ}2USVW*fdsoU;MVGFZN?D=yWoot&flX-T4Th zL`(fh;Ohm1xWqi-l@hm{Vg11>{&ubZtG=+uEVi?dCpZ)l@daR50lmiJBe9H0`%4Kv z<#oX7>s#V$fn@7j243h|_k9t1OIoB!Is(>b-b3{>)P{!fYami6J<&<~Yr}fp0VGC~ z!oABEZTXvVb=sBq|Y+Gl~y zLRc=@jJ+l%8N?3)cgD-QjxRH^@Vkxrm$Z7dL8Uh!_ndb;xA;nHo)|g;pnA224U=bV zN%Ymji6`o5Y<@EhRsBc^Pw=q^ykze}M>j?N+tN7q@UymIh%4O%Exlvw_5Li^jy-b6 zURtOa!!alQ<_?ylsPBYZ??VreE={uPPLh%pY5yPWwzA}k{R8%dX05l*K(cz|bxB@@ zXA&ToQeS4byhL)ojN6YTWHqX2FcoR!9w`h4>~;0h4GVluE4WZ>MQHmyasLBtA`Iuaah1hR%d$}h&x!C27Pp0T^oajF;5 zKDuxO$sdt)eolh&(1=Div{*|CQ-bWAwuguORZgOvO{?6gbZ<9(C`uSY84-%KmeFCU z^Qz@;B8lu%^@rE%q2id$0^7Pw&!l;>bykVWj7pmsEx!*rAK-i5%Z?x^Uf1!m@BOV2 zk2Wkh2*k#I@~729lkJ2$@Lw$&N%fYrz$NH&*H%8ccbHeK#R-BW{xH9%q2tMRLuhFm z5;LToVS54vt7~N7N1wN+;<<>oj)-aGNz#47lL%O8q=m7GH814Ubl?s5al09uJ zgHnPo)!X>(U5Oo_hhW3FQWGgZ_9Q$PYEppO8rH^lXc{zSfyUN zk-zCtJuoXHL)TaZ+t>{6CVn#9V$;2t*f23;4#?*;4=&G(O0pNJ-Dd=F|7{kkN1V*w9@>V3K19GTFgB@20s@?V>Sxx z>EXiM0{*Bjp$CM)XMHTxH>`MdNM8Y6Rp~s}Lw+xkt~pDnsGhQ0)^?$0@C-({@TRPS zow0P$hPKB|87D+SkTe5pvf>>S56)JDO>e0O$Q~@AvI?YhIuB-=6VXyX^%?jhN+m%1 zMWn_0WGFumvZeh9@0yy>{bHSC!!YP(ihx!N+)!Yz1;UpA%Vv$7HjYDPOT3=A|1O zY+67NHChD2{6WT(LRPsIuYIkY%}JTyfL(kH-z|>GaoX)>bR5Yb~iDD)(UuAvVv| zy$7(^WE}&C>V35Q6lObPs&E9&JlC8oawq+*W9IIbTQje;41{A&2^p<-Jc9Z^$8%`1cA_p%zY!1#E>Azr3Ku&ce(Fd?!M;z( znqr6L*q!jES2YHmECRGHLIEYI5P-@sKuUvShkllnw|`UX9Q%7^6rD!2UP9DYfvAB} zL9wOlHu#zXOh&-8%oqmk2V5SOOU=%|dhrk{z%0@EG(5xynon4jw!0~#jZ~!UM?|U{ z5pF%67RZeX{wF6QyN0%}tz@5tX2c+Ap%WS{rR|>HpkBlq*1#GE{@H0jua4$Jv4E@{gd7fn-e)QDY-c=8 zhvilKj6h2H7g+k)N-FNKI|2cJZRW10B*wnqC6c?2XD|_n$ukM*tp4hHhL0zyQQUA> z{({)zMhEt(wwjZ)7PK$MvDY?Rfyru_Mo{s++tU)Xc~Y}`{)jbmFr_*sP=q>>J;MZI zWd8m>IdMhHPYg`^jKRc5%kc5u>HvI>w%!Af^^(?&qECT?E zf2sC22;EfnhiWnM$fc~(W*x5 zN972RQ+B7&9{aovWk(*70kdeY6PhZz`KLf3(dlVYBO>)R)+%A~4Te=mSyXQy^a)DT z+)qaOC)G0x4@alA*%rRWScGPy-xiCcML#<)K*x?;jlC=w3j3d$I8jO2IE)wJQBp@- z=(H2F{8Q8ydnZ}X?(VA|E1=M^{sdD&`$^~&6ha1MYH~Cpf2&~|?^}C_G9_6~Blj0va9wp3$yzs*+1QjC3CRJ|Vyvn||WNk^_OV z`LSE3jx+eEPqWVd!&MMz~2@reId-a7Ho{2tyJYf+&8}yW!E58!~agz}7Sk8OtF^vY+ zr!@<#)ytGdu~&;F8?Jj#ZSRPmdP1f(zJg}ok;z5YlAkP1k0Q1-=)#94p_) z@>5n&PJ*gJ;-dMs=2ChM_((2U_AWr<+(R>07U(Ch0np3;#U57;N!mYOEC`2!HF#Zb zOu+2v7CQB|5zKQyin^gFk%`o6iOo;lUYo)jrj(H|B9t!}$mIU5IJ^3xgt3aDZ_FL( z&@*YS@=80p?E_IK**why^=X}lDH}#dc$1%oenEqg8aCs7{C%*Qo2Lk&&pbeQZUwu# zv<#MnJb}`AZ7wG8gZWiiitP{+D%~4KC0QCE>d_Thp()~C)6%O`2B`wnH>>-`@`Rw2 zh*SwRYS7huL4XhR`~AD~*iWNWh-D6ok{*jB#`V}Tko)PBn+8-QjHv0Cr-NgBQVt07 zD3LSSXOK)vG2({{c`tbmAFG;9$0g&KaEm%}jHLth_5&U8b%s$8XG3hgACd$BucSJx zgT8X!%gSZoJ#uwz*#@*2#4Y8pByM*BM}rBdRa*xzq@7rV6rL}+IybNbdLzzfG3T_) zdjn{02l05@rl?on{Qq829HfaXJ5td;9M&#dk)Xg)_2#bR0kNV=B_sj}6_2BFxj^C8 zQ-U0WnylO4L{>#|GXT;__S<|(y=r4dS;GwA7|Ji(i_$Z;N6%Q24_PBfkt<+3aM)mh z%aW~zo|z)B@KwRz*YEoN>htR_`Mp!F-v0^u2v{0J-M*V`S+_-}o09zOLcC@zu zrB>4ERnLU_W46Z?DhI9^TM4X4%V8xL6DaUrb86FBjVRNm);zlIT#OXGOPLluPzN#C zVyFB7cVlyNUybr2X&h>^XFV$jdbZ5q39@hH;_C%S$ld+J)fF283~z?t!mU}IgFnWW z0^-<*$9_WOpfHP|fMAKG7ZNXUY&CZz(zkz~7(o43SB!R9-#@lSDggb9Vtnu`<#iK? ze$TST4(ldPeEnh85UOenZ+|V{58&1!o?_FB={}Y}(*uV1U)mRX2{{qys8ByBo8?r9 zt#P5uA#FXK){RHF?+0T<4Ns%c`rZl|5W=9%mrsEUDyWUWwscRZt&x$Qw zW*bu?-r4{;xUxy(ZVwe}EYmuxv-4+e!Y7lCFVn<%%3LarBKA4C zQb4A2_ufRrTs!vTY?Dsfjv047_dXx)9w|=1G$u$)DREKsUQ8$G8e`ALJU6`qa+ktU z&TIVGRx4Ga3E`pn5aj2n%AUX;xd>PCRiw!b6LNC99%vt@_B-l*I0h6!x_0Pdqo%uV z9MXovomh=#=Qd0H@gQ5Cg^GO(pMYTTB^}n9Q+^a5;Bz1s+QH?Z5EuHcDviM0wjrif zanB#A0J2#6Fg{n*XR)l|1tds4`?T(>WBrAT2oMNym=Pn=sQ|ZU$ zFEeq0lsGnw<|!J`b$%GhSO&SziT}y13o{2f?{(46HfNf%I+GK#W7)JSpFoXNA1lFs ziRYv;pfqdL4jE|>d5faeiA{^gZ1QB)vN9GsAAqmhIyGu2w&;s$jGjb_&#^2BSD+3Yk}dkS)XRINzd!rd|WtqNAd$BOc! zYjlqgT2KX`Eg>4b$gZwE0FREg5njDae&{ZBhRk@LG5l6bU3C>|Ge`BkHoLeBtv8FX zDDehy> z^%lIFnI7TBXKm^1dTRbbb6HWCwJHzb%>hr|Cn*c%fAkNAp|FvTPQYLQJ^-6o1_KGa zXyYc+=4ZG&_oqrIp-+p(vjVKMu2<|Q*ndAJV##$8I;;NGQ;>gPX7l!dp)*ei`&5=Q zWqB3LpITcG%gXcW`gf4US@q}}MeD)5^yTKD#jBr(@(-!9jKAVbd(Slh)T!V_vPL0l>ZBp_a?>==6VA_w-B~L3L!9$(&pGzCe^@%vRKDz0hdX5Oz%jCY@$}>G)`1~E zR}$I=s8J6R(y6G5E=W_^SW9tdefzHhp(W6twf2)UzwW#tD6R0?EltW{wEWW~_%W}? zkj{JKC>xPn;gRI_@3S4PrdfnYX}Qi1_#$TGtth*A}$r znii#Aq644hFu@{qMq!57S`IDsRIXs{gccI=T@odqn|e>nGjk1~$S$y}BHx}4-BgD1 z%KuR|_{?488ZLcNcy$6geT=39)|TRtm&w0y9v;(Ng+C=88F%CrgJx47rLAlznWI~g z)cdrm;OllTHX(R7wl-0so^t!t;R$G9EmZ>~?bnGlsjFZJlDlEN#byvc~ z_3_^;H$22@DRI<%PFz&{AgAS^YeLTod0oqG3rhf%HoN&*f~Gr0u%TS(jDiYRl1BMh zT-10Itpl63Du7jmtmk@60 z=EBrVBIsd#;x4`#(2Wisq!zmNce?#FR@GlWQ?H}Xy~i8qPl*=&cDC~wtzu)L{47aZ zKhnn0RSvYwqQflz$f>gVIvI?WX-=3x>>&kOMfm1~WgIPAE}yLu7;n?tp|yb@Ag!Yz z8D}oOAy}&wUb@!Un2TcV(ApV_BtUU0IC&0 zZ{EV)1y&j9+&}a~j0SBHy))IEj{xYmJ))jj67+bVmNivj^_Vr|51p8T1t|kwt^GWe zleXIHf(11_FAONq)cu=Sip#4PrQU($53746Rn8yh)+8?ahko2-^eQ%9L2hn~8@h2} z$grYwVrt>e%FR@gN)A;5Bmc=DJC0jwNLBJvjfwk23dE!-mv9w2TsW%#94YXk z)WC`DW_v_>rdwp97>6wivY{w0uPWnDTW|i7DA-EA?piyp>Lv3!9iD#Pxq^5C9YG4< zx+sb^P?;vQjck$=KVxN$T&HevW;k;nJG#Nk1op`QYT>K1ar-rB zAmeVbBCny&(--PH>Rq%Z08^Wv#Z-a*Z909OdfSd2I3~S4FJ@Aeoz{3@;7BCO4)fO8HUn&X3#>q^y2ezo(6??TNIw1J zcoMUOQ}QP@z!QjGBQe9!zT0f&l`S0P7PR2~Xu!uf0+vHh`g14r4S$%2Ou8Znz=i+aB%pQIjtI(huNwdfs;J8B5g@ zD6=0+;R;uF)KPe)RSzHD)y3nk)`#Qx4}{K=)`FOHhtmI|aQS|hQ{Xq!_J;LbGI6Z@ z`PQMutnG!VKg!!SP~Z(ked-zv*>rlQoH{@GiQ6nOK*-FShh!`y?<$rSmr!7!D#h~U zoxbQ(xCj%W-E0b;TSs!bTaNohHL7YJyvcc7y1kx5{n*JHQfVcYWZX#NKn#6#qN`ab z<|X`*jxVvD)$e-NKBdDyi=R8BeQu`HcT+)o|oF%&lV37q{iJgmxHLMu5b5bCP!k=ET6TjR0v7@YUC@mbo9IR>3} zTsK!ny=gk2{b`6M>-mWb_i7*?59BW*)YQ|}_;9lO2@qe48}vHgI_fLoZ%*ub?VYD{ zbEl`(k31kdKP9a01B=Wr^{-{*kNUf`z@UpVw750~X%4d4`#5w=lfEG#@umz=u6t~P zSAklN0%J#UfPy5!$I%BXS`(%UPu>}`uRL-}emY_8Z`~iPssJ5tdrCjFfcoUg#+&*^ zb>5Jte1^Ll!MJDypm>#*UM>;X8aQskC5sHq*|aa#S>Yu}Cx|9yv3Yw&H}iyAU==A$YV1290gzX;?^M2mvZ;ht?=c3I4tc$$4-Mt;i%uk zwX@y(56shJO%J{+3zcN^n0|#$y`Gv8(T&;%mKdP-^||x&U_;Gl zHAZMJUmTj54AR$8keTgIu12exkc3O6am*oHS)0}nz$Ri5oG556Pi!woe-OFGq+pRS zq~5TiGr%t^%gOtVTDO+P7F=(vHGOk&h;jR^1bC z*yxM-Y-3Z3JIZDMIBNKpYkeRX9jV>V|KpM*6^?MDfzsQFx^OJ26eXuN@#kLYKT`O(Mg3 zrL<9Ob`0ehiI}qjK=>lIz-Cs?9csZ+u448se0vyOKY8p05%3`c@M5WH&fLb&c~F!H zf%sj=Rs+YekwJ=y{UPJ^h`GZcW>J-be>gf>a-HK4l6yWT-g?v%tkHE4*;xl9vVr(S z$S6$z>eEKmRXgnG$ZPI-%W<9$m#4cV9`F4TT#e`>cMX;@6np~-Pkh$zu+!kdNrs3+ zp%ri6JvNIDrlMR6ie^nMw$0b19XoOoStxS491ALVi^jC#s0}Um4BGEN4u=>SJaVxU zFW4h{0rdt_+J0UK)N-8*_>1RqwNd~&l=)RXYEh(ms5mn7lYoSE&h~F0R-{`H>{;DA zlO}@!9G^oTPuC3*0Hr%dG#-0A@G6<}wLTe}7HON_~YzgF?5(@W2S0Jju)R!6Y{gOJHSY!i)3a2P*C2+ zLA4z7Vn=(4w0v()7QjzSCumli;dM1u zefpR49WLN9=XvD0P>-( z#S=)6me^LE<)y`@*bi{0%we!Y^>A951}z{z4Bn@eN8yV7oIhVu(z@-fG#|+hc7d#! zw@0O{?yClv=Zk*#V`m^0sPdxgTW2Y4KK;TECVncu#mhr}u`YsRI1T$1zy&<&N~wX$ zH#0h+D{x(1z?m2fa$;Z4-4XPS`zFq3o>}-MKC)#ohi3KFjIrC}&o2B#`Qa7VSAfaS zGaZJ`EP3i$FK*g}h!ZMfNp>4$m6_IEzFp}+MTqdzVOS;`YKlr!sUO-fF5@JM!Lxlo zF5Csu%*?|OZb(fewgPbEEA>eekmF$S#M46XHQ4(cBwuI4#ix z(H(uy=rA|}BD9wcl)^Z_-a^K1M>{9KjuBq7kne_51ol6!{d*m1fp&+paN}Ec)r{3c znJMLE)yKZ-#GEroe^qaP4U*Lt{4JiVP)eq$rn38`10Pp*&&&MYKv3AZx@+bAr{T4x zfie6AsGoKvD-3~O}U4_k!7@Feu1TKo0MUr`3azsGvwXc!mnR&* zRxoLkLCt#Cw4MYp`N!neyN&epA z2f4WRDAjmW7dmPu^Qp=Gw$e``Y#_SJAar>!vlp9W{bg+`+a!7!(O?*@R}i2p5bE@} ziXrD&^ne%`LyqUix4yW&oEBE~r9U7zg!@Pve7}95;%-B@kz5}&SZtW>8PuRGk0-p$ zJ)x4W{zPNJxuliCZv2Hu2RR7RF5rG3tO!D~V4h2I z>19$<0826-)SR`APLGYxhCf#dWzMix&sK*(eEX*IgRBYeGWS{(5V0=auyxjis33mI z-D|umfji45#kTf%i=%MHglvQ3RTh3L0K6Qc#09YP^w8m<0Zh<&Id07|_@3*ocPCfg zaKg%qH}3cWr9#yXzYJKxX>aG~>FoioqqKHqr_X`t}Toh^pw#-+9$`D-ZHaGp5GHH1`Yt$zsuxAL)dlgw!=bvkBC};0G-}_qKPNoe zlWRKra!yxiZ?qxjur8&Hi5>?IKRFT44vCS@V&;t}FQ#kM z21XY&J32>;*-U+$3hJ2dCxOb$uSxFRjQ}&x3 zdf*P91INyVl7&esDTJ|>{*UcJs{%cACr{TGWIzaeS^w36>b2%qA3F3?573<>?Y?v! zBPp?r2mb%a&exhK{votcX-e+%CBK}MiRb%TGXGyQ2=!!=#s-=0a6$18il&9BcbN=} z+Gv2~X#Bkr^W#BXv@)pk+4LQJ&9sTJ-0eM<%)3I$H8#W`?(7JV&Gt@0^#M4Nk!(Em zSYBgph5qHLLFFgD-a?MsB~><%z6pf~Pt`8yswR|e<(tB5m@jtZz|Qbz-EJ;*l8=FlZNVjl)|zy-FbV?=0!r{;}%K?zfRO1(0&s!+PY*qxe*AJzEJ% z9~!$q2W$Kr4RW#m>dUXAMm0bpUnT&7)S8U3@7s*)iz19(X1XF5H(XPhyN2|5x`>Aq zOHFM;O%e+SS6d%=Fnl1^zkV{(-GIJ(!{*OGE14|W))oAD zl3*O28~|D(82s_rv5OA+tY#+Zg{e}*S<0zC}NG3mjb6{yrFYHq1jX2 zkj%4WKD4Q|+pj(@h~(bmsX+TOc0`*`Jiy5$`l;A-G!g$^SrXDSjM(Mj;6=;&H))vn z^ZOyO+<6I-njN2M8L|uWNJRcW;+h+&p($j+wR`#iXpa z3mog1imxU%+kWfk%oSufHa&I*TXH*gJWW~CFFMX&UaPXUUIQ-C@f-LbxjTMKev*La z_KQdTU9hrSMsq%+Osc5>UI6`|_IC3#n#Y~+f&h2MwJ*_jEVABjFQVW6y|NbXP;>bA z%F*{Te}KBamUFZM|9jV9U0C=scE+%S>3;#ZW_RTDNmcvo|!4kC2=<7-f9QP7B z4NFY!uH1(vzWBngZJiXTz^;5%UCruL>?j=Ze1h!6JSQ3iG za;%jb^?L?M+ZwL)!ZDA6VNot6nHU^s-k)){YQrLG9Ct~C*Jy^J>c$qirpI(D;LTl* zbcr=Q!mpuKA6L@Zyb&p>Pi#ERdG@gsWi@nO<97~ZqD5>p)EAlFozr-lF2Z^%6ca9z z&oJ?;u&zBYTyw>CE-vTi@!^H<;V#E1wN>X(OLZzvCU^kWh|L@6YBEBzbvdi)nC2xe z+9tHqy7PIL#_ia^KQ3)3sN7PiR9EbEEX8g?KCGw!{zEmPRS@$f@Q>^Vag;XiS z$iuk`v_UJe%s(jH4^L#*m0Oi{>Cknb6;sLD{Aa9oLES3|#-Hdkm086@Tju|K-%s0F zT++Q)1EwJh`)roC^+0`1vK5JiMGH-LY~ATjX&woy$fE~++j!#~ct@eDnd4BF%JCJ6 ziPpuvad@*el!yFl4`K?}yj&!8Dyf0T;S4!Biyla~m6=Uxd60$fHBnz^DA!!B=M0!~ zYP7!SXy+Q_J4wM(uaAwdN6ErW(1EL(e#3N$3)q5}Xt&!qp&HDa2BmXtb6h4&^(bwV z58-tnD6+|YWP|Xf^ONb5rxpUmggXl}GdE8|1y^I*0}^tm^Oi%s<`&IiT3YwWPR%`2 zp17fua-`1eh(S|6!%;dxpS=3Y>{W^h_Uk-H3))!NRw|gnS;3!K2rEn($Ta2xUxvuf zhF!#v?GDArXH>-O#o3%;&O&eTP*uHck&FKv?l7%XdIoY$I0&m?#>SGDd2IA?56m5f zx;Q2uonYnG;W7H(qlphfx{MQQ9&EDTASscd8|Fe-4Ppb7fNCPea&JWIcyb38M9Wm% zo1}_Mvg-fEm`vn67SDhYx4Z=88vFxd+Dr2hCUiQpH9`2F8{9KZ87uwHPl!6tC*9%FF;2>@-o=nq8RKD5?s8nG3~A<*}bf3%h#TdJrZ$jg5IuC~)W%l`)6* zA)?o5&QQ!b^E?}kf8$V0OyIxKOmDUH;>O=Az48~BSua`UMv2nwr7TkP*aA7Xk3Y4c ze8n&IL!qBQac-9zEpMI|H~}a3x%YQzLE0%HxnM)1n8a5UwVcP@qyr)hD{u*(^dct~ zP5eQO!Wv*%Qw_28jW~|YNVe5iLa&fav1tB7*H>%SMJeIQkmW40<~bA_Bc(nrL}wBn$7UK!7Pjo&X^K@1QEq1pCzz}RQq4RIiEPR`gzM$c zd$CEKh_oyp3KLvmF=XR;kD{k-X}jWjs@ibNzgOnwemx2~ZH`GlrGQ>{bHNr0>u^U~ z?nrVc7(dPn&uex$OurQX^IS|RiZfPCFjhR%2QLoACV&{-)JOIGACY@ITf4l&HC5IB zh{JRF*KA)AnQ9i)Xzsd3G$9OI;)*Ge50{dcgenobcn@n&VbjDFp;ow*X#-#J;PY{ACMuyEv} zTl>_yR68)O?e%XWkz!$yrGeNsKI>LpFdssE(Sh;!L-M}eW@(ol-V^M=SjmSdu3Dna#c5-rMrm!>UU5ZJQlcU*&=sx zEFE>vp4{)go|TNBUV)wwzZ-jcI{WHK#7D1sS{bX<4`C+o%jfyz)tHj92hm#LbfYie z;m!gf#d?n%BgPE5>Lj7>8y{JqeS3}V#(V2T#%fkP%{V%(@Z-xJl7*o34vX28s0F|3 z{G#8K`=o(&tw8xh;Q{XxFs$wO-z$P5dWEc*v zIcLL^4D>Hgmr|F*SYfXxW$4;5tBr`(g^L2;v3Mc$vR}xev59<)*`3~+rO4hIgrZk= z{Y2@3V_c~z>22l0PL#XJZ`-s`US4dTrldQe1 zJtq&0hgze^ALgq`AEL_EeIHEqIY!HIJ$WP#R@QIT3uI&l9dMu(>&&4`bSr8G_oFQ{ zr;?8okam^PY6g>j!W$ZvjEo7HB1{1;uJb#hxV%HvHUythtPxBr>QumlBM+X zf>fW@a?4rWU92~9$*S;eKD_LN=~r_w-O{5}rlrHyN#@be;=lMSruVM=bM@+t zTeoje+`N9{+O?~<{<(6M_R%#uv1j_%?^%0dhqt-Jz22g8zb?=#86ZX;N_f9Z$gAo2 za|5Jo2+WlwZFU&`^W5h>_ltZL+s>Dxj671Wl78;~oxXDWpMS30RJ;nv?Syi{7Y5EK z@{tMIPJgewRZLbqjy`L8uxqIQU5bb2>DKtpJHgOBSpmVX8an?8?bVY1^!=DpafuH| zWpesb`~GWq4CdJC=Un*yH0eU6eQEjPdV5bsii^a|1$UeFSYZbI$H19vXrGSO)1<#w zzP=rNeA1CR5aGrt81Uo8ct3oXZt&^ovCSyU2pbDCxlGhC0aL1XVf3x=A}`k2W4$t1 zWS?09vssl{e5&e;7}y1hzyt0nr#b7C0FGXZ8#^(ix3f6@*-1+<8^q=`0iM{ zUD>Zvg#nXqBYsjrKQAtKf>Wxp3QiyCqW@m870C>pqWlFvhg4<#yK`{$cry6Ec>-An zSF^+}(%?@+i&UDbK!M zq#5=r9sxR>qFZa>Pjlx-DSv(610(@Ofnyv;hH8uC`0sTb@1Bdcy*>}$?b)~?Xmhy_ zptVGDfCKyjvb)Lep?|7?e$7v~Jm;CqugJa0?=)*wIUakd-z835eqqjeb_o|5$19;4 zLA#0tZExaFw0@-n-yDOFz~xkdojv%dVNpKtmUYhRHoy1{fl=v=sw@v8TvqLX`CIKq zRi*>cu+RZt{xqi!!flA?R ze}HmJ3G;W^=@O&Li;Q1dADhAQt_J=t4B7K*r3&#U&boOQ=`i4*G^$e^6`al4UsLq=AH&I<{7r&{T12b1FQCo$=2=c>D| z7kS4;q544sp`}Z0PVHj`xu@$sif2e4#o(aM_7dh}|KR#vnp5l0)PmDzL0x=~a0#tx zW`e?8}XX7?E8FthXk0$;;n&$}~GB@7r0q z{;u=?J;?w6rQk?&m$^})IdJ5Ef0_(zo4dSIWy*}oGB5vPYyaG`; zE;~@d=DZYQJ-C{dfBKKNcQ2O;q(6%Oavzn=AQ~>e6MLP-+)c{focuY~`9ACwu)qF_hZm*)I> z?_16*)Z+y9vh=y;n6&1s-)WbhV$#|?5G$^*!I<<}X6Yud^#)}+*89#%rPBr_X12L? z05i)hd2nNpOo=%(5%mK*c&(g|6Harz8%SmXo!6wt%~&S`i){)mxnxW5=%B>OY9i* z`Y_O6OvtUb&<`WFVgIli1PGz~cIcJYuDN)TD8M2A`KNs}fOqLQG*2W@hz7bEWuIS| z??$Dm&vdaZqHd;avZnN32DQIe`1Ke#0R=8xdMrQuoNpf}F}2QL0^$aDLU+S=%@l!p zS?Ug~B`!?AY5cn3H7T2r5$_T?tQJef2HKgowr-3hIgmla>R5l>bWa`eb5XxT*7cdjoN4 zrwdNiv!gbdXX1X>3Rae13I1Z3jwPR9CS$o+wmoCK4U9A^@ZaZ;RU3;$t=`eGsw@n1 zO0C{8{s-lJI9}^C6C?T;=w&#z_~_^bt_HJ{3w-SH_2vH`&aUIto*`5j)1t;T4;}34 zqf@;4ylXa29IE^A7|!uxk1g2~qG?^rR`7u(XGx_{&u-s&<*u#wW&WpoU@N6Yj&#Lc zJYzTaxT?mTL-_JNW98T@S68Qv;iupJ6@K_1j`DJb9`-3E3h~@)x<84p`-p*=Y0vo1Ld3Qa=<$N2t`OYD%=KU%{em z&Fc(ZfYu}OiMyBDyLiP#*{+9b56OMGp8eQ8>ZS#ig){7ub5GaqMLjgAoi%8c|s-zw%F zIm&m#XiWXksOLDDj&A=;yxq5mxYhr{5?!%J)aJt9CGMP~FF-lbB-H)1a9A zgtqSzmg<&D$753undt5_!c2LndVVMqabDg*a=Y;td%~xllA#8_>r(X>i^@UD#ffCI z!sghtTvCbHT^;v}LHuBmPSVAX%&n91n})+gnY_bQ{nH^ru+~Z(nu2O?kzGpJxmtdf z?11Xo4Dxi|`2OdUGVl_DPU)!T>cB+=Nv)f-4|+u#(@ID4d0oUMAn%;$|7C2_W} zpwF7rJ|NHu2Kb@bj(LJQC0zN)Rl;nJOq>tqe#G1xp3sF5uKztkpbfmR(6E>46Rj&R z$1#;>GE?oLps-$!V9LUNOe*76`pVhUQY!VXT-Ig2y4iOW`VIu_>`ZjF+-Vd>5bQ*T z9jC02Ss|%?jj5dw>ZyCAB$Q$adeun@KEwHC5Sws~cQ5uQg!9j_9w$}= zA1%Ln!u0RQ=GA|QhR}CE#um;Nsh6qKXaUKy`2q<3wHXq!$A@noS z@e*bsHvX|Vj-cuRKI;(PpT6$CpeS8{=0gA~6q*^e*PIo>ySr9*s)9%~ce4_CEk8yZ~UXbr4br5 zbcZz=`u3|mdLl&G&w%;LKMMh_l|05e;gW#EMT*hu@{gbMp2wNhOLq*jc<8!IH^LCE zuH*3;!Do=UP6xfYrhs~3w|bCYw)a)yNGN4Aji24>IbhoyZoP)sj8VAYI)Zhz+Lm5r zY`5xyCEVF;i?q;)lD1ovq>5-lZ<+NJFR122mMe+NWZ5OZZ_W06A(ba5PwO^DF3dRk zLn~g)xXs{v>j&rBg3^lBB$Pmj7pw8!OU47%89Q&9JavWTHi~hVZEc{>v6*t5Alz9k zKgnk!+W)ZMcVO&^abp~LmyUq53tO|VUpZ-eyfS-ur6_qA{WC#7D}Fb1{5j%&3cY!Q zgz(aXa4{*ef9fx%ZsHa~zXS`GNXjo8JDK7WI;q~i;TETY!3nbW$A)JLpN(8WrURpU zNc)$&60UO&?4(YOzQO6VhA3Vj?XkgZBWN$68NLV0Dms}@rT%X%J&@ma#Xm$??SD7{ zJviha3<#nmZJjiM98nACde%z^22*_>%M}~pysVIXoZ`9Od)>K!3k&-1>RGa1|e&a3zWGZquwi@G`J$e=eKUYxe zsgOF>^Orr+Fg$9^YVhL4)a$d(3334< z`*yVK?k)Mqj%?W{+j2A_?7us0y9}E7VtBc4tp5#)3L7?bV$=^cQD2Gr4>LX3BlCj&xrktyHS>*1 z-gFWdPQ>+cbplSo`!w4QJ##WC~&RtuS~{h z6DQ3fC3ei!WN~d;hhjSja>SpE+U0b#Li-QJI1smh6{i)w-OQG|I{$xdu1{FsgdLrN zJ8?U^NggcdtfUgK1%XaU9fF52O-i9l6JI4L&malz>hcFD%S0{r%8u&XJaMw+8x@v$U0b4){Lr%1vBu7+lYets_NrF5e+66H z+af-Fhw6G?r?`uxh-?k%kl9X`R=3E`!=@4kD-JY|FV!dO#b&GaJHteat^pd$CEqf* z{V+=z@~m~uzA@tg?Vj#kQq4oSXpBT8u_25iPpr3wIP}IpGePR;CRdbkPJYc*lE2<% z-V`03yw+L*st}e4Ogl`#*L(nG82Y+p(nIW&8clTRQ&J&4s9>)2IGKMCs_7d?Meau` z>H>7|A|vfmnJyEd-IazjuZ1u;3aK^(+N zl$zUT75L9?zf=7|F<`a3%=lI4%6mA&3AAXL0+q#q{^VvOb-sqgbC>8iHB(M&`OMz5 zhDhCVVO^7(6mFV4Ra`4ncouFX{~NbrhlC~&{u~qSe)Ai9%lzmm$WZ-BD5Y7>Z2||= zkUn!J<4^njd^N>Ss(RqoD zp;Sazr(K_H?oqgFUC2;Bd*lilao5)^H<9U@hiub4**;Pu${NyGx&#>k|?A-DDbrS`d z(A~E<0m0HNC$P}A_D&;lx4B1svdaT$5o-t;GKj0b(#OZ&uEzzx1*&0`CM54D;cdxqA`w`KdKj2IJtsn3M zYFLM8EPZ^jM$@z!kQNr(&xxog#+d}mIBl91^N&VE0z~PB+$xpF+f7eeS4p$8i16z7& z+1ovgGP~N^JQqO#%C()tb`?UIT#`tH@^5YsG(iZB+zg2$ zm`tGI5ExjZ-0tBFu5o2X4((AJTQCn}tZ%AWQQ{-CeP&uAZE34oO+0d*Fu#8+EX3QL z_HV(*ogW$Q4NCRBkM&}m#*0Micix-ZS$2Q@mQ{^=#-?yL5K}8NU6_Ir;vK;_K&Z2+ zcGn85LPkuk*=a@=A#DM=Ur~O_i9nxREOIbqOv!2kz}H|)T@r;Ct!x9`?U;bVtJ^XW zGJbdq2Enc)6pgM)@&8TW;3>9Ck@O^GtYY6cL@vUU=Od?C|1oc9}45b?I3HlVI>f4Pxc{IHEomU1^YnqTEu zSX~|D(ww)C?1Er&wZ~=OO^b%N9znYJEUT7^st3qkKU-Ij$!>CMn)ny@&X{v1m~G_k zXcg|C;J8%PPYx0j)JK3qvZt*Hh-dE8bi!ASzMdse-vdPRF`j9_x8;mTon&@-xw)Yun5W8b^ zot&FZm8W^VBr(3JvF)4$*|ZY@LY#^HLt5s;D8udW?m2}IrSv)bVIyJL z%0Zviu7>{<|*9s0_iT??q^QWH&yBe`>Ix+E) z462;S=YrQAnzxY-1|sj-h-_U_vBQ_dXQ(#2t~SJP>hf?$JTTd$ZO4iy>JvDO)KBE! zKD9&jb7$Wx(rD;dZ1#XyKzo!R0@DkJ5G-~u^$#@rx_j1+_=?I+z8rW&WK*hoO|g%c z{CVvk6@z@WZ7t{X++!g9THOP^U60$9;7HDU3(dS8hW8gle#GBn-TZ%wuq>OpX-7fH z+yom3e(J(6amTn1TbmW3Q1DWP%(K;TDEv=pJTr-!MHR}$O85$#&;4Qt(L^o333Y33 z5>Z-Zc9zZM<4BvXpT2-)6j^#6c~}d z#fVoy6-|bITBe@gr`|OrS)rr?$d2MLwyHm@9Tn3@ynRRy-js@_LeG7N^Wpa&eW8np zUYuA#`s3YZpVz^m7(MUk_BU5s`>#t1C$3o5O$Dp;a8rLn2dkH<)WUkrZRHr$uK*w| zX*}j@{gX;u;8ceyil~p4DO6^4wFB!XE$diWw_Z~VD8{&s<%WsR9?iAbGG`Z{q5GWcEpa|@ja zIJ*wd1BrZck-yKS>n!)Rkd*I+V&@kc=Zr#r^(k0tIb<|X(@hjq?iBRp5!-c&?PKmZ zJ7ttofmeSk)I1cEPW5_+jKEvgHU2c|6C!5v76(+DzUlC%=>^-ALf{szEkPOVdGmPV zpZV+~89i%Mly}h#p-ruren;>LnEG}_0wsNim26*ox>xFCCU-g%3=h)dKPr!ey5CQ> zEViO@WVrgeY4j%Jj3g`TJRpZ>di%tGfl3Qn)yFI?+%L{_`zo&RH@0PSILXSaV?3(@ zghCR0DstTUc`pPM;DfwW7Lf(cjM(KGNfpZz&UR|BbC^`3k=35 zEgl#D!9H6$n~D5=5Mw6_yxLzJe(2|ai4vQN4KapGKK4m3OpZp_7KXeKV8OC%`JHmB z{hjHW?3^&7MCQ_{Uo4LumAayNT6-?Q6yx+a2!-c-m3EYDE2%N2TrGuCTCUgstItZ$ z-G*4a6RhB;f|U_*iWY1CxMPhZ>W6qLWUB*oWkt=l3@}~<0R)@zzq1Fl)I%h zdi_)2>_nEGS9@*lH`_{K-0NcmrJw!_|8;0?l@}~3bUcGxpZze4#q0MHI>ueR=G!$)B-3w^Qf7|xE30r*&AKjSMxwoI zu7>%5SKv-Bxof|g2K(4blCKRrRBzInvN-Q}RUxQFbHGt6oPoGp3UC%e8z<5AxClk|pN?MPdk(YM(#M zS{f*$&;Ff1it&6Uz2W;#GDFNd`>5DrVt@z7VNjJ|ta3sc{~S-*=rTN6b|8dBp$2VZS%Ve_2Jk=&QfEhW3j$ zO}e80G9Ty{1jyz$k_%LpkSe6-8W!kfy;(wgW}NB8_tt#8c)Ph%gZUZ=8QZGUi9gIB z2G#v%k6Lpg7R+tvP~CwtTN1H5hYcm3RTFO@8tYbO2TQH!KRAceb7~p%A94r6p8>dv zh=46#2S81-O9iRVEyOt7)9W86^JLSnwUVcXfmyfXlH8W9llaRcG`d8-ZW^^TNC<`I zk=5Jh>zdRWJBH4c=fvkjh&OKET=e)H|69Kq7dbwLy;T7fEk3ium0D=X?pP>8C*2Df zSh?jE0uF8*16v*yoJZ)yBA~^|bB<2U_r@ZO=!pG*x~hGzP15((^b83%Ko4nj+8g}f z8p8Eo=REGh0I$D55Vf>_Or&YJI#aU#KQA-!MMq+cGehHdm^OU{4>`9#$y(96hP&6KWUd@EA$4|(RxTJ-U)*%W zb%T;*#Upx4V`k>lmOPeuw2cJ4lCYs{c*cvB5$sFV0{6-X)#ehu#WPCz7I>zuAH(u- z&Sc)V3pTdT+JBvC2dJ1}Pebk}rNx?hLt2vCc-Hg_+G<{k?IA@?|AGY$X{&ug>858T z*ZY_cY<#qiFO-5kOTX}Ef7$SP|NY5ok`B{0Av?7myKGdXUZ-bYNb}C&k@8FX8I9-3EP@-h7H^n?T;s zwuG&<#6GF#wWP}=@`ZF1*g8%tlWW=6IsGHMcA~E5Tt1*k!RXEg|D7^ZD}KKhz}2rb zu356o07XwV31?fB)OdGw40v~4RadLTs^_E*@frFKIB=BtE3mrzvFlP}m3hBQySbc} z;;YM4>ns;%>s~&gn3+}=`NI=~k=t0;vgZ7Szd%i!Ck6TUV(o@be4|#iz6#m7M6Lsy zX3~F@6hAOUiRIgW=4}gqHc_p{=_5R45-bw=D@f z_Tl|Mzt1-}N`=+nOlvNsc3;s~PS1xB}?jBdCO9+2Cpy~MX zU3eh>o5RT|mgS#6g$iIU zFVu&+IXHg{F~z0$U$4bss0Kbjv}<;TjL8QZ@bbIZTFN=Z*13!H$6_c*~Y zEW-Z(v0>u!FGIsa>Meajzs`!;uf8Oom`92`{y)Ep#bzg8E4JJKos(OWROWIBO<6E0 z@2lvkRM|PSv#|i1zsa!`*HruWA-kAF?KtpihHL8vB>(b6&$$~;chF0GzE)!CZUic% zJAR~>@EdQSm9|7w0^_T$sS8hfll}djfr-V3%TsuLBrOS<%+~@cq9}iCok&>Ntz15A zCIR3&B{+LFMJY4o{5~0N9zIE%pL}G7W!Pn;dA`*{rDF!;+bFY_Q=XVCD<+j-VH}MRmWw8fb+h=10VS_<_l>hUt`b zjw~&K;nC{IYgKj*FYRj9rY9hXhgWR3lPOH}=;;L4&v5<~CfCtc;FIvc9`9VD@cPyH=C z$;^z8rt)DzK>+h}t7z(&4hOusyH}?u&9SsRJKTA+hEAEu_G~Uvd=*kV!2#HUM$v@C zX6*REW3265lR!$&thw~i*BNv-%?esnzVgc-)a3uXBU=bESqv zwIqZ6NN4y4RU7>2`4T?5)|Eg$jSR_ZrMnvWSyIk1_EVsPpGH$KC<#_G+=+1vPOe)0 zbIIVSygAj2e+WiVMq{$s-?0AVAI(st8jOxeucd69+Cm;__mD*rueIdZO#sKLzZ3uE zCYr{q+zLSD{g7OiXlBVTE`~9;pU6dS`+%TirmW?d}>li-LQg!V_9I1|DBx!b*zY zaYly1Tfd-`)9fEK}D5F#g*i729lc<|nwfdCYgL`=#UEoJJ%+7bH$6 zy;X(nHxKWW3U$Un1+wp7(C!oVL(^Ki{xZUKtxi`nn9mt&CPFX=W-~`HRtl%q9hnEF z_)!i^M#knmT6Mxlxz=XYJoJltc-v~)hWj+=)+_n3*7g6tO7hYLU;A||#EcW-a1Rrg z(-!g_{6EW@CD_%<(r(x>-7U%G%0{51j+MxG&`BHX{fJN-s8VPQd%TegM&A(Y#TimM z=lshx&$Qga`5(gjrMpwIQ$Zl##LkVmO(UR{H42z<&-M4+dtfR#$LVXTDdmo?r$=Wn zV_VlHyJ^mxK%i8@pxLZVC#c@MIloa)mb8*dRhQQDL^fAu3JLPiAcrHoMUVT z3GF&eiy3#*7S}5w$vmAcP@EY^WprZy&Nni(S0>D7`3^?xA6rPWNcHEOfUqO#2ktw1 zttWg?)uyvEb5+KJB_VHkTQ75`-;@DnH))2F%JJO<4? zk~&{O4n`&*#XC^c3&UZwQF56apM6vPgNhFty+6_%b3PqAd7cRl*OIa=m8DIf|2&Tl zzL))r@bN0B@Ts4;2|{U*T=1rD%ma0IZbN>8@F|jQHj+cl)Osc6wIg5Y{bwzcl+EEHpw|8$eRhOwc#HE^%v`L|dNnigcP)=(^(d^btbFN+V7S9k5H;H2^CCuUzyGt>_ zJmPDTS_l_J4H}K1?Fnu$7Savh{I?NIHzKUA-D{Ew@!p&sTV}p1v?l`58 z(o$^x|hOi5p&r%?>w_oI}QQ9erZbqHDLVC z##4b_l5E#kIS;Qj?UF9dEZqY@3#SlCM$nN|3O%pcFPDGUO1ekp;0&r1_v&Ayt4UA) z>98^-A3XrG@LND|`yc|XcsqQ(kB*;)8@tV&ZA9F8g~GDUPu$GW{azK}M275-UtOB7 z*|(AhFa~g+Wj})_E;fgmYo+^DkyiWfb>4r`-!s#nD(reNighndsiOE&k;GQp4O7~= z)A|!q%gaf#ht5oLDLyCKQeT-o@ky&p1jx~TA7bp9dusC}ZQ4x!i?SG!Zq+#~YWZ4w z^n3EoF7D$Kk!^x($tAZ>vu%ItN?zr?|6ripnmEKHnD+@E_rfXa5XN1F590Bw zywkq%+Kiy?MqmR87FgvS2^|xMGay(Ku*Hi03^1O_4sq%t-a-)YbuUDw7jJ9|sbBnD z-THAdZ`89obj23I+He*(P;Nh&I$<+kf-bEBCT+l&$P61{t#czMAWSS^sku~#BZzHl>qe)2LH?w zZK+v|-lg6MtOWsa^`$cx3!h0v2dRU&=KTusDl-scMXuLcg_*Rw22|ta=^N>-vf4Pq zxHKn_By?s8NykMvZkTSxd`Uk|uSyHSRt3)MownH0iyBb%3o>siSQEOQe<2)Ro zyn?poWthQ5>|$QDL2o5%-e_dxpt zb(qr*s>dfEP>i<#P1DMJh<((@5q677ss3ZlRZHT)c-`p;qev#6`?MsJq(dmKSe!B4 zOPpUvsyn+@oOCqU`@*s1#=^9&ESUzpO@pL~aZ>t>b?n)JAXCk)?37k+0#Z-fzl+W% zq%I17Sq=Aq6$GFEht)?)Qf;A9`@`HuGQXf|fjJ9HCWZtVPMZY(kEfbFX{9W4!u5;D zg!iHSc4_Dw?%%iNma(#S4yB}HJvD|?VCZ&!aa8nnlhknE?nW__+Cs6tZa9=ChYeKM zeNf8LQESeNYwI9Y{aWtN_ix)Udl|lr4r0Bi!cQfnG9DRt?ZFQ=7I5ce}EhWl&pdF-TF>7xv^B3qu zOwB%aD7=y^`s6FYa_)g1)VZy zd16xPwrSu^8Rt&YH?_;8gc1>YCDQ>+P%SuFBOMmin~tHWl?pzE2?yuNU8(muOn4i`g#GK7PD8wj-GBZOTaln^jZY&Zq3^+@aBZ2K z%2{q->!-0)DgBPeltv0Io#vB%+}I5q4!&ANw}@53;n|xlnR;E6n-XilLjse=U*5T& zo%S2q6CtJZV8)k=cWyV1WjQsihG|&e&foE|kuL>msYGujpo4k{-bPQ$jAwF`yRzFY zH5ANolY@eJxzg*y#9_$kHZC147>3e+NvWho?OC-n;;B&a2NY)|^WEhS1*1u2&P?Pd zj#fx@M)Vr;lj)t9puJHmN+B7ed}W6A&^%hjg6cY*U1WjJOu-ARSTgcAd1@;p!3!lu zuNoxC55leE6~vZ{NdB=ZL7pw3qdZVQ`ajkifJKoCKc4I2>@8!RR)KWqS>Wd1nQ%fwzO(HScVrs65m1MydcT(Mq-Hg?4I)suU)K2 z>;GFO4(AN^W(7}8%{_I>EpbA04ftV-dfz2;jVAsxf0`LG=~`13w(E}X{T#$l$Y97C z!3?)`LudsTX}_@5ooqr`7QZ>GF*V0%vXE zK{W$RJTkdoyp3n7&Mu)I=iLmqD2oCsj?)u;$~X>y)VXU?n)h$o_n++P-mq7q8VbhF z>nRcVlU^5A%97@lrKlekh852LEIv=t37fHRQ5ySm(q|12dC2BIbB|tt&Lb*l?WG;J z8@3=jm-VSVrO9M{Jg1cqj{iXTn^7d`eIi?wL;eplMBT%4_G28Zb8ixzo~vYS!qwHc zeu70{j`j=~$#tPl91V~HF>iweYq^`lQczt+=wR2WkCdAk#bm=~Awb58s>SF|5~zAA zSi&*wO-POBloczY_>qZ>gNww;0})K#}OdiG+|8iV0K?djM0pR$iHF*>ZfYm&9) zWQiJ~G&`#l0VcYKIuIc?K$_K%l%1EaNF$u;nO4_x+Hg`o3wL(P>ks{kayLOIYM=`{ zL1hRnZt6wA#Rg(YOY{PP5&HlE!XYBGap5Q8GLT&{YuE67RVC3tyvMYLegE zu2ncuQ(kMUgY?Xmg{rCD(36rcnEAK}@Y~^dRePY}+Xi3ZfO7lTN68l+b!hVijvG{n zh6)gFx5dXy(s4h-~n$_Pjzn&_CkFqnmL;H+q1Gr;Cd^%;#EBA|LS)7~g z?=msDt{g9Zm}99_!HFu&SGur4bU*b{)Hh-B>l#Md~2ZagDR`LX&%iOL`Qhj9RkbXajYSw)4RY=iHV za}Ihx7mO`hd#AbtFClhbXzwo)Xi&Oa(2~2dA3YDqaD$-tlFHb9@HJp^v_+egkbH|y zqg6r~@V(L4SCLb-?jk)`(|eC|2(C&xquT`}IollFHG$$7c~YSc&7b%;U5H1i{H>}c zsGwq>y%XW8x_3N7R3npc<1VFzG*J9-hBB3lap z&j&w6;zgyh2hz9m`lkZItvaP>h-i43hLmF5NStAD;AIhA>bSn+M`QF%gDk$|AK8)6 zGHgYl5+h%6WCB5Me-YMGcw%3+GJhjj);8bBo>UEM(eDvYR-QiO(CWSWM%E5gb#{w< z9HeNnP7JMLnH3SpjIR)gVVjhrJNp@w#UxJFrFliQ2g9JdYu06e_t=mf0O>pxJlCHsuD7pTH`qEpObMTSxqn;Xh5M-L zTh0VNet{tYlMaoWv56=UA9ip>9Qe$ZV|S;4snN4bjf4Vo@2e1QZ`p=qP0#;R02^O9 z?Lt#+snZU#4{TGt_2vJ4+t-h2vZwKRgf((Nw0PGKiPTeVw0v zRdfo)VSOVfSpz=v8fKl@oe*wqTRi7$3rO|1dK~MwFQxm>3YS`pzMSL`7I3ymAUyG3 zudOjjz*(Y&IaBlpyIkKke)ZW~ zDJCp|O2qU{4ezQEv(am@lhtDPA{k@Jg(0Wkv(l$ozks#}s$yG?QN}wg{$$8#iai>c z|J(vU>$a$x?6_tVimx~LhIs=n`MMaU6;6XIk>uLZ1uvfGJoEZbGf^=OIhfRM0>+C; zqFJe#uWvyqd>NA6b$F}x&d49H3Ga8Vo-o~nUINM45Y!cm8zBe@FSQMr_PER!Xxd6J zz)e}pe(BN;YgyDHlUm2tXRwHx4tiSg&n0n>_ZbHauDZP>&P|@|-&T9h;R-JO^ygTI)wyTI1W@c__ zsWFMY0jIZz=#C6tNP2b;o|aAggDnD2%}R4IYgK6K6vYxlpU#w&Gf%AEC_f3yt)r+% zc$0qm7;7FDO!7ywL^iuIwb{_cn=O#9e}<%A&Jwh$Xfm`y3@DDVU>Lxo{cvahyQ$ZB{sHps6t(0{wso-w+NMCeGrs03WqHY$B&`I zXdv@w7qG5epkPjzxJYv<9q}Fp`hGAFU)4R~TKOvM=dseuva0j{mMdtuMm_-MCC>?j zb##_t_+;$ z1UUZP1OkZCes!b1pb}Kh>E72Y{cixAgtIwui`dNhxNj>+FBvQoqb_ zKBYFZusWP(y%vUMlYC2M(3PHWc47!iNw+L$%ro?0O4h$ljN@!fM)??8Xu-spJsQPKhs_wZC7Wgp$?{%`ZQbINpDAP8zq?05MRT3_ z1{Oy&p<<6JV_Y8P1n;I5_Z9o_MJUcmY4-Z<{eu8fclETtZ;XXpt1gDu)iqj-k!qDW#?v*2Z@yyRz>qNu6axyw9S*(=l zvyMJN_l!sP#fg%TrgcKD>sUhUMojQ4f~AfoIjK=o-n|NWl_QA(Uc1JkK# zo(AM3aSO(CX})lDuGVqcG)?a&=szc}n2RRCP)7mTd<18w_QrW+jNCW^)*YY<*i}vo zL4s9<5ZYXh2CCAVVn!OV=`}=oTsJ*4$b_WJq`ubjWp0Uf z2_rEFHX4RkoYKwD*L(aKZo4AE_f4DE{m}zWnU3x;%%|QDM z5ndhFZ^Ir3Z2QUz{gaqa2f3<<-&U?s*lzAZfJV9yCfCLJTyLAsx?{Qu?Y{IvWmR)T zBf)X+u2P;+lZ(|QVX8-U(U}06XNjz}Fz&`$bc^)!K_P+&=WR!9+x6q(g7IaYB4buv z$fW;Ebo(HpS4=#Cy$U{9BwW;OY}b%J%lpa$<}plj%sO6<%@pH5FuWJAbId4s5+|2S zi*&tfD=hmFZ@-os1Hm7s5y9(wy6L=73}e*DCO!fdNjKGlZPtt$8urpf*9-D;DGfkJ zs)Y9oCb|tG!-AhBr#d7XQ3@|*Clk?uvSS)^kFV!2**Iz}y&eaiBxjw~cpQP7Lvh3! z_ixWCWtF#25MafctSC@9Zmiw-NbS9m6BC z(hZi?7A@bAg@`;}ZrK~%ec*F{+rs^jxc3y!4O&zFmPT?D*<;~VK&#I}kI*Alhg$O| zrr;bawSZfmn~24HiVJBE5a^$sRKI{yFpO)?qM4U3kpI$_8Q+do#8@-ML&%U1xNUu% zM*idF`#CCQ`;KE>FB(A5Dtz14qFYeUZLuuo!MZr&^b1D=CWM~N;~2(c-39_rJBVCIDLdd2bFrlFCL!b zc+;Hd`VHpV@~C)NIQ598&TtHLI-GG1NBq?HeQzfxGh-bRn?3h7(zhZ*X!l25rL#Nt z3Q|5#DIf4uSDwvomd=YqbMS|R6d_Wi)SOEOG|^UnnAYZN8#-^eFbZW)VjU_Te>*OE z-#uGKbkJj{_lo*AGurW9m+|l>+m6zb*95Dq^x#@+#kF?d&)M*bQp#wKcs6YnS$aWy zh-qhu=GPECzii5v=Q8S@)<|;)=0r~dcXePoE{}UV+@_&CGI{(`2@|gR*O>e>BR9XI zMW6X3F)?)!Tcd(y$wYq1MaKJ)F-gMQ@7D+%_JhnX>9X_cRJ+jMmu4oy<@~}{8HvG4 zhpGC?;_sDjB!N#r8P5O1dD&m?WqzcDKi-cSg62Q5t#)&-^V+XwslabLN!eRk_(!iv zyw}aNe+JV-Elm++&CU?Vh^F9^SbH@Y*_oD;6FMG2JiD*r^zUfmWcUYkjTGWM1Zufw zRE9om?sGPaf6IAHo-1}+z0KT(40>Fu8l1rb8l1nWgl|*V7o>Q$YP`O+<=3YoP@bX@ zokenSUqg%M1xO01arE)+f{7iOf0Y#OuQmldd>FuM^7Zd?kcj+CiB0+;tP$KWX@m^( z|4VS|SP4!Jifzx4x!kE-!;o8I)yV(XY$f(e(PH%KoG!k{{-Dg;j0QJEFCU9`MSNzd z@3`g{$+NhA`A^xZ3)seLjqdJ1SFcLBAfQ_aeFK{22KE-?T+(RODZZikTlm7*PKuLW zjBs9gaC}!i0ZA?|Nunq}>va{5SLEl{|J6uwred2f z^wdY$643XcPhcCNsXqBXJ8A95u}Wcqw=hf2Yf&#s1hYSMl@HQUzAYrqjrXPvdqj4juwwa#M0v2d~ zB??SUi`cZEM*AGuIw!}4gRk|8``eRlvWvJG+eD7wdtgF7&p7{z{d{m}MLf4cBiz?o zVf334q`uNx-QcR9rggGgzaZ$br}&-g&`Xo}Oql)FVxVC3R}2N`E^J|zSFF~QD>kmK zJ&ia@FmQZ1+jdd>rbliieCGP5R*>J0rq#fh39D0ty~Fc$J)nP-7wEtBI%8#V7s#DxtC;yH{ElLQ;kDwX z;@Ms$9$u40aN19--rtUz@lq$|I1zza5C6bd3!9I(r6x(|{63IM-NpJP7r(+aU=7JL z^=?(GcR<_EOkUaK75{uo3wM4v%B%84!_Jf{-P$L8GKln<(H~>QX?kpk0=@uX@0OudaX)`$=3~d14@p!huHXT&j)#N77liHT}MC9|>usnRJ6A1c5P9x@$1N5z^u4ZV(vVF>*-P2w}8< zN=QjcNGn~^Ap-h&_WeEo0LQ^`ym#IAeO~AJI_q1sUxMK9j+U=q=nq;fixWh1W%I6M zAGG zV28%7s{*0VBAXM+&>SU567YgVRxk4==pP!{T$raH@LL$%%L?w0km^*po}k{c_xKi2 zMdgCP9aFtZ_B??a45Xm(7UgB;bmOLi!#wOAM}w?Bzy0MMI7mr+x31CFlI%2hts_@W z)3Kq(=rOZh@?69)+bBUaItAqn#Tw(flc{Fdp2Qfb@x05<`&%@+-ogFPlJ!7ET<6s$ z_*$zD@yt|+CB4dLJjMv38cMKuz#AG8C6L*rz5RY;u@4~ zY+@Gi&3}BH5I<;!rbS^j#Z)1Z)R$!pPe0MV$+Qf&sMOh@KW$SDF9A&kS}t>%p zrT<}vG{MRgJSq0gm*nV=;L&2@Sj36mpk_OIjN_rd#*dbcP#vV_>!G(rSLy)30iPIU zO3&{L7HfB|;t^hoK3M#YA$EefLbqaVoIaUn|P04FK3dZ zJL=z;pY2u)QbkL;8|!ecsJ*`_dG2NyTz&>*7j%hvckq&A78gCU^0X9TTH}@AnyiKd-oD93vfSs9~hB#*H<3b%HLSSeHs_^-Tr0y3mNbE-zwD-FP2VshL3+p?pb zOGJ*v(dtu$HUTmngZh3j3KZsrT*N&MNJkjC6u- z+$;&pEh40v`mCd@GV@~96bi?QE@NY{_~EpKJ#>JSp=%_YkH~umLm4EOL*VyG1H`p& zM?+{J`dM(Pcn4kOQ&lS4qH$K4IgXbinDCSBap6pf`jkT|6fX()mhGXkm|tl1mDbT8 zaflKa9r#24CvDh#_Q0RU#WuPuUordhn*h$r;4!}^bizVCGvd`j|0#1T0he=ITCwdZ2ro*F#3p?PM0y#7)ix1M>D5@9Nu>0ZnZe?66h?WpNE$0@3 zB()j09fMQ2F{OFwaxGlyA%b*w@UD@WGCf(PzsU13obJ}2)NjfGTHXNV4V8QR&s23A zFa=lj^6=v^-l-Y9r)I|OfJ}6fs`*vSJs1G;$yEiNxUB)Ib3TlftJn3E9g#i9F3K|l z@V_s(edO<(b$vcRd|31IaE2*8=AQ;yG$~7k+by?y7t;tWR2mqDSEQk#x?5ii7UEJ+YWfXD3srBr&e0=J)vg!-<<)Oq&U53;}*u`J4 z#_&SjPfWX(o

fJ3c{<4E2xxd^3pWavr@g&0$JcjB|eoi3F4Dm)le0Xb*aFq4oTE zwh#HCRfu|Ts)-ONiyu=cO}SsuSYqH%s5Ro0(M#vhB9qDOD0_K6rBwQ{vZ!t>8!)TH z)M~W+Xt7md2BwGijH{C$MGs-y?J|H%VZsxzrR|Uf1RbbqmmiyHt?bp5Zshx7sN@;H zoJe%QKTP)J1%0(d#YO9VwfFYY{?=`l#V>nI{3N!>svbx#ZkKwEyb4u&m_vr;-yj4A zKd7Hob>@A>umx;Dsp;|!-qnOYL#(c)>v*+4Yru^_m)kiI{Q@TA;0#HVeAU1iLhe!? z2yL0gw^ueFoa$y13N(ruqgV{bu~d!?e#Ny4pt3fHiCql=jeCQ}(BjENwyjNg_Fa{* z=ymHKH2sh}%PO7LbkMlyJbwSF37&1x%de}l2D8J7fqf}Ug?zjgX-S)>DoIC@=YKru zOkUZ^*&G2Mt!~larPy`JKVDq#5=qKRcC@W!0c5=T`rdo=^+CbO)=8OM{Mu;{*PB`` zN4~(-+Fm9zc+?{{Q}5`X3ft-G%q+l6vh8T;mSRc+htIkGEF&QwL((P5*EP^ge{3Kl zd2`8a?$CNrH@91n7S$e8*2W<&e0 z)-jN}?V+`DhPT%9{aFqXh0`gQD*aR37p@ni{JgkSTV0Bo5=Bp4pl+y`Vi%>dtBh=a zBDgp42#rq^{#~ox!WO~3n*3rhyj)~Ap}y+%h1ON1qsiUG-|xiG3igC9igLG_I!wp!M7Z>X#YWqH&x>fm)l82fAPEN-IcomS>@f7=@Oo;8_t-Km1KK2JZJOEB&cjm152 zqRn+;33*TAa6ORzg%=`3?K=CfFr(Vl$Z))1(HdZ?tR{tnDy#g7S8Yi_1A1{7&}TR& z8p9Bn+?`{{HEt6ZzI}wyPu<>hm#QsyL-}*SF41z%tCB#%LDgT63{_H%;B`B!GDumD z5vR1wgyf#Atq^<}$_0#7xoi0}Om<6z?2e5J>bEzZ<`RI^aq<4-FaLABj%hfqpz(;# zmItTkG%!sfQ*-*cFOL)Vsa{=}8vE!9wJHR~LT8bHnbac~%S-#)Yt`Fj2!KNuE^M}XU(`ztz$yDJ zkN5#S;j&AXsH#Wuy+`jo?lZiKfqdP;)U6#C9#F%sW*8`{-t1T}#MC^z~sNbW+wW~#O>=?SvYn~1dq;;wO%H%s{Y7^*eg*4H8U>GX{%zp60Cib?Z#8P zI7!MMnL)nDu)vS^j3CE_Elon0<0A)=nRI8fkvSjbkB^a^#WZ=P)QBx^4p-M>eP`c| z)ZRlpR#4T2C+HcA0(wMdDP;^FP>2I9*Ym2|ktxGYC)>v$Fwo`gnBXC)3cV5AE>f{} z1-s6{@v%SG``=!B+_tTrUU&9K{xWmmi9TcCQht-g8?!>PD%GK}baZNtW zVY}QYGP&?)^s1|_kK>-#&pak@bkhmUG0~JlhR#`ua9|W7k@JGOpRW415wPFaljd^~ zBeKjm;gG*Ixc&NH?J>9F1j-}#_cObpxnEdqFg~ymvfXO;jRf(|#e5X{m+iI7Qpz|?Yy!b%ID#kFiw zH6UE4zo-)hcU(yV8T)yaQwK$&?1kXv1(c^pkfHS-5}FLkzvBjiOGMj#p``iORmkMW z91PcWW2HNQFNW1@!e9X5P=_};M1%d#lir_1=c8O5T-|#e9A608bSO#N*2?W-bJn62 z&@f8OR9&m%7~EpwP(mReC#+JFe<5h)?`XB@jsj<7msuf`AJFV$eY=OQa8d4|j&tO8 zJU|^^O2R3?7K~UVwe1S6d0lJg>T~!<)$gG|zBiHib?t`JgL0a;&<9fBof9@J_1ZP7 zEL6X@5;C{9rN63rzhC+XqWM^TI?rKNvnk%v1PL!A6%wbO9f{uAcAC4x!9;cu$~t z<%bPF>wr)78vK|P6zwa1sIGVn#dyF5Zx+_+Ovc*YTos%qI2_y8F|G}w)sk5@(LeBS zNo^s#b{Bf2Vv%E{6Ym!ORIkTEzo34llachV-`S0kZP`}P<6LeQefd-J zi_Uue_>mFHdiKUBu9sp*OXTedHm$6-UZW#toc)!&3-ex`!iZxS?iNOWP}j>~$t+FW zBpFCP76K&^GfKp-FRQtZS>HDqCkyNoZQ7&g6SoBy1iEq!7i>qFtysqx>o#sje3s5# z_#TfsbCx*a~p(qn4z3h!!!8@ha6WhN||%`b=W1Ioqz? zebz=$T`ZHB?8=h8Vqc;lm`CNED~I^Z5zypb$bEcH8UUipdEzq+GI$zBrA~6Y#g+i| zcyEs8x<^uiq|?=P;18OWA6uVz9Ohx~bc|dkTB*(37{aC)wY{;1wZa_O(|>1cwc<+3 zY7JviK94#MZ;c-bHJ8o2#e3o>HIe=vixPvk)KYf0TDFb{=+zn>9TK z53r0qUT*4GyMVEy1?VYuG>J_p(-_nJKhYuSR~J*iL3lR=owV-)MNr zkH)`;5cK}n)PhmO#sLp@4X8&BAp)J_kKC2pD1oI}lK7L+--;?B`~K3#Jg9Dm_rZZ8 zZipLxLnWc)@<`)lN0#skqK`)XZ|+_v3y$0UqFvMrRG$ZCcPx8{c)=7U4Jk2!#0&7U z=|!M-n6;SEd`#{UoUSt~0I0T*_tI)mVxknUHE+7Xn0xu0k4)dchokQ9_`GwRTN32)90W}@_(~xe2HTa>-5l- z>8N%Fny5NRj&R`{n3-t5AD9wDkEmQP*NQ)-nDf(g#b(|7>wn>1Kkm*{1IH0iOcC87nJU-*o?xOF~HFQh0%u%z@&tJMpn7cN2CuNZAy%c+GaK%e(uv z?n+0#)#%mSkXRPcuV-bHI4g5Ixv;USu*EM*creCkPD=9asRK#G51p6(0$EiKcn&3Q z=c@D8D|&@gvKgZfr7FohiwP6i77oM%Nk%Exx`@KbYo$|fbhUU#Q>u(HB|y@tVC-$e z-|3~@wym0Kwkz|^s1k$}V#=t40{6zzMo@r_K--nklFWY39p=RE{YLb6>W%;tg-nKX zb8U$dIfr5@_k~?JQ;x!l#n#Wyg?Mf-b;@})GIGagQa7}$maF5;ll~tJd_)(p$(RcQ zNb?;hcMKbly5R}Bxa@tDbce@;I@36naNKjMC*~7|UBiXD1$zguz@c#lr;Zq z71f_!>ou*YIaGQ?5cmi#Vqe-Q`-Q2Go`q}`^TO?_e(^_)GzlXvA8u*MZ3+3?Vmh54 z*H1QO=IOg9)9W-A;_7A$@)}60=s_Cs?IkI(i#73U?v?g9EvciVP-oW_d8fA^FKPWsCECbz%5x+*^t8o44;2T`Gsmo3l-GbY?UvqmI z(NMu4Vxmk&oI*3T_8T3|pK`UzK;E9yR17wW;arXULyo1rhF2Nm@{qYS?d=(Ifd<3m zH$AwNZ4MDS$8~&1i%}zYd>|cE1qNj;YgAH1_D3+R{@d>N$P@i7@_pU`+BHfmY$_p^ zby~4Z4br{9G^~|gCHpd=6}Cp)1QwiPWZk^)%UIQix)a}se(T&T?DUUf~ZVGI)uny?6|CAUU{p& z8ivfDl#CdARxoGyetxJS5oMgsMl!2xlV#&MvC(uHf-?15Qzf3Q;0=2N)7l63JJO%W zsTw`94zXLz2js`(^u(L^gwkt2jn=|049jOIHtER1IvuM$uUYj2GDQK4;2Trd*u<3_ zJ+ha6T1$StdLthCv6TF6R02>xppnUUtZ`X|9CWVm>c4SAF@9INTKIQ6)pGHCFCX91)4q_+pq_zWKe+O96cM zMzKQpQ?Iui~>X z_j0*hnIHFQzSrMS2Afot5S1B=?`y;@)Ls9CZ^4%1Eq6Fket$?YIBzONOXT zH#UL*!YoI%ml*wh+N5uw4v`zI@0vw2B=9o}#zt=!oBdG3E{fj?ZO=qHCU)oO0KLs_ z63B&CYqkK1&G+S>tJz@3&K(NGGevHFDnnG6XjhC}d|PuQ{Q%?~Y9Ln379{WGx*_*+ zwdMfd)e~3ld4de}CBM03$>C%@*LHz96R?#+ywND39WMo**S#Q5l$&Jdk1(j=gv;0l z`r>OQV79s(ypvfM0~*)`tLoW#R%?h*tZLmRlmrH0pob*|s=XmghGz;&pfLN3Rh!$7 zJj#(3l=oI^`7@u9np9*|saVUilX1rUARYK)_w9*sWO~XSNjZgAitaxxoYvey9pme) zk|25;0&T!hOOj%zb?wis5!_>VmU$24aC~V12^Y)aKOeY)DHn#D{N!^;#0og!sAH>^ zt#E_2QgcrzC3YSEX!X}zb8FGcEIdIWT!knL@x*hrGNjZ)+xsz9lnvl#y-@*z-q>vB zsaNeIoA>9uY9m$)HA&5_CAzUDd`iL%FBqh$+YDXQ9~iFE=P&rL8-#(`qzC#@TS*J1 zRr&WO+T=1~_GU#J1<@MVcOhC#!hEwQ>*|^Qdyt;oP9@k@ch&ZtitqVCMc&&pD=Z;K zg~i4@0cp*%5K#K+B1tr5M?_>yOfHGP&cWm#Wg(e~{*`qA0n#^|c}dZ29BXy0(X66h z`#0$16yp&iqJ#suVL+Em5zSh_Q9n(qviOF|IJ8#fEl~Q{$pA%4GxNn!mx)%VB}McZxKy!M}e;PY~4= zEe?oc!=8UMye!=rxrWX88TaJFJe5oX$>t!aIScYNuXrlEQ5n6PFg3WQAr04=H7mds1P*%L}6j0S^qe&$*AlsA|imQIeUpMy^)Q4Mn8DDK-6=q7c$t7$7KApy#ecj zB5ISG^fPPNj{eygnOJeab)i2Jp$S2$kCeYU->yXn20n24yEd{-`p;($=#4MYR|h(d zZbf-xNpel|)rQ{47ywot{p4?F%CdrN4{}!p9h8Y!naa_}@u`ePZ}4}0e?(mMXtEXU zkG<~?a==StCYVUR5_WGD^4En?rP(rS<_!*S8c<3cQOJKQ`CW^z_u&(D{qtgv!?&(3 zQW7aCqba@x91%TqPyJFNe?^T=R2UYOis2k1fRctGO~0J_F%i1Xb$&e=U1B7X6iWzJ zRg>l>!egM;JUa6G5m1+4botV7pXwBvx!qiKw9{oTic9Nj%aWM&&Bu%~mQ`Mx{|*#g zo}Jfsa?8(DQ&8Ao-)2tj{AG>hAZF8DByZ;L$Xls?%OBL0HJqe&t^e#*`0C6=U2Sb4 z!jvM$B|zmZof;juIcssISae+f&l-!Hcb>Du5{&5$^dm)V%LN-mpP7Y?tAQFLYs2)s z?;t|>$9kB)QXBF=HRbV&C6UmiGok5E&Ui0K&G<^CO6sd>U`J ziYu7J9H_7FT~{jzxwX^K#U{q28{|~CMlQjvEypiOY=*tvC8+#g=)0kU>Z|;l7rZKI zoP!?gLfw+3H=@dtzrcQn0uv^1+Br`u)d_VZ@Sb#=^KqmUpu8qBVap&2k(IzU#Oc z4C$zEG{xH9kX*c$_0O`*IA8kDt-7@6>~tAe{5%9-N3=ORv-~J~(oSWV;v7)2Fjdt2 z7-X%+S5x0jqjld_Uh(eCNF~KY?JLIt4bvl7qe!-(mtuO%-+yl!a5=q>Rp0uQdzf6Q z>=EE_IKsV-wL8%e6N%-6{!uA=9Rv^)hEA7g$Ii!RzhEwffIBJwYz^5`mP!DTQfgSG zq*)318woRR@NZ*Ud%zi?|8}4DWKQ2%Rc`A=9tdCi{mhJ->|#~>i@$UL$6h0*dCKxY zQQW^TR}(_^&>}ljf6~K*8X72ctDdi;SyTNj13h1B4ri9Di7et+4G@gS)+cmdZMv+{P*D)BXpj zxPVC!|AIx)V^4H4V}RS$#G;Rb(r;{t4Kn;SxPeIFfs~WNExR=UehX=R_Nke+U&d=REc$RqX22FsMS?Xth_{RncryU#lFm8G3l zlEk9i=#-y0^Tu|@D|IXrevw=yNf_G4J_rO|LvRV~H)(2KKFJ*W!0bAat^CKyX0^6X zsRMW$VxF~n#BMWZ)%(I&*Y43mIQPvFlYyj(@d&cEA~lFQ%{0*s)-`X(@)A9!BUF*g z0#6kc75bEHjN>}Ne&bf?8#3CaP;cqpNjvJj*2z1lk~OwftGfEYHAK9O->oFK)>SLf z0q4zRu1dnnaqia>fOu$6jno8_Qd!Z&8O%!AJ0*jas55xZM$)XTPWo8#ssnL^<1(7I zGF?PtMzx|rJ6@)~?!QBns?SPN9ui^@C+%z^#;V>2nVRQ*dy;yxZFjhKLo$GLvlL35 z9fb0)uG{cZ?7#U|1Wxx)FSC;x+&5m8Qn@;x+^W+zW&@$T4fZ>-`t?=P& zGygJzulzo7jiHkDsV~RR_3*H)GK3P_T^iY31HwgCyCv8w8;8ZjL)VkWr@-<_Z7)Q0 zbHQ(MN_>REm5x@}s29|<$3}ouis+Ku_f(TVYcVSikQnL+(Yrbrk=`15h7_T%>MMJ6 zo^BkE|CbDsBGDwR=VwVWRehNSC2AM*&h(s&@0)H*(IYuw zB}(@Fg%p_Dr1V@V_hBwGq<%5ky7p1m7q&Cp%7bhPekaFPOGIcueRVayC(H?pkQv36 zo;2IDSq(@~9K+M=OdzEV%CvT=ZwRu6|6nPX{n$w+&8+V&O=IJo-on~?A=V5A=@p$} zXOh&%S;dam+XFs!wqwjZqwa$GI^9_;m z%D0&$#P>rU5>O@INt!7!*h&d6Uu|Ig7Fl?QMu9b9KF+CNSCu5h9slvQZ9@r*5o zfg#$ri1bslk=*J^nYh! zbESU=i!Rb}^dNPFX)Eo?TXJSvyocIj>6%g2YYtZnRGRNo|P>2Q;E%F=+JQ4XOS4GtWqP}}|!Pb;=X6Y2$U-J0dMZvV^aVEf=`W5IPP%lGO(rSc_Gq=h}JcjCBQbml* z6&$AcJ94pA@cjYyme@4g0#dB~_q4|2Cm&@4OkX8%HyBv}&Czv|@pqEwJZ}R>^68u} z^knG)of4kGAl+iqajz^mqfyqLSYxs{pBsSim`>efWWqrNQ^#?mWG_Sk6J`5~Yh~=f z38_2V9ndI{Zs zKbJ9-JHPm1-)JF zmaq1qWz(-66Z%R_IEkBLY%~dhO6C(bk+RNuu{?VJ;mFQ?K$KSq`@-AS+j8hsHUkp_ ze>;{vpT-1k9`K^rG2nGQI|CZF6KF#k&k8nG>|Hu0BzL@CqsO*bNFAF~%O&mvGaOj( z0>O1e(Pqac3g{PV^zTG;k`$6c)3<*Bs*Uk1)1iNvjoNnId%m!x(>>Qg3ny~Pv1tOf zdL3Sg-|`E#9Q?rE-p1g6i?LYNTnUo!dI){U#-%sw z{MNL+3rv)hqA`1vk?poyjDL8RjL&YHM{rnFwkv6iY|bnJh`7q+7>4ESV)>RII z*qA)|1JgW9dL3)0{af{u;c^xu9cR%8DB0b{;W^!uAK> zM-TFju`{eyj!sk3do7kQxn{!`QF~+c0rfdF`meZYq?-!E;Ba{<6>^sP1H|8Q2!DiY$Cwo zB^0Npv>gjwytxg_@OebD_imUr?5vzF%PSyr8h50xDc5m3aty0Y`T394JS06b z4d|~q9IH!N{>}ddQbb@@VkeWe!K!U6&WC#)z@Ez(Q$^d?)ACXC_8zOn~5fZ6ptJXe4^M1k)KIcWf z>teSgv7(rn_GrOqhL!(ww(E353rM%}-_zu(|9OrVrY7F-t3)!qMszJxr9R#N+*1x& z%@l2)PKw;VlhW6YvbU2!l74=3LX!H=U>V~PoKl@SpY8iUyUx5y5cVH(`N>JN6P7)t z>BYo^?UClYowK|S0A>vIQLb=c5#;3nBDDI)|2h5M>6SKTOVl^kHlKXb&jjEGo|-1MM{%`3jOrT#))a)RJ;Y}@6dKc!}eMgeAI1A1?k03y+$7?(Zd5BqxKIO zl#7B5gT@4z1|J!&(Sd0O6#wCHbG)1#bubvFQ=cV{<$Yi7HuKNS+7&11C0~Iv=lN~^ zIWNwPn19x85wm33o<$mWrv=U1*61cQ$G?QQ9~b)XAHRcgEhMe}iIYu*%9a12H`v@K zPEb!XX86`L#D^TJ)F#nU)^W?s>S_d~gdl~CMz_2r0&{a5U6_nWOBv|nj~*Gyk7Srz zWZT#NE4q|k&?5dPvdq9pul1<;-_w}w50lMZh9(GQKGyd!B0BwqR&*x1rLip7Qy>r; zw!x5F=!)v4VGlY3ZGpW24TTUR#vLp4@oWB~>7vWUkD^(^#Z%pA*OUBm#QSm8mW!WF1 zgX8dc$OM{1J=z|yDu^~8Wuq=7r(x>%r1cSWPIJ5{5natM|0=eOWjly~q*A+Afp5w9 z7BE9eH3lga?(3MWlE{_acdi3%Uxn+ODnxV_YL+!UulysiQlGcwn6p@H^jX=_%2PkQ zKQt(IoRCs-I!Ht8j*yp9eX`;y)VRr2@o*i(e|P1>m-4Jk2*rmm->s>h5_>1)f335a zCrfd+GAEdTrf3S_iv>$RHrUeIBN}!qP5_%T-9xsRS2F;*WB}FW-<&gHTyeJ8V=#O8 z&(t|g^yUp`AuG3un&@~?*+;IbTAoLc**IMqzzW6pQz&&|(tkLTgSFOl{NGX$TtV)0 zwkIx%9fo4b&!ec?VyaUI*|&Hkk;Pz$+=t}MuohoP`g3I}0>usu- zn*4$bmzaN=1fXw<95g_Xp*8mXK%fxN$0EFr(dr=lB{TEQ^w;9Dz(0$LSUx)>Pm_>H zv39U?D48$zfI+#4|APP0O{q~D1jcAShfYXKe(>3%Q?hY`=_`58zig=lHKEv(S%_Xo z7lsueB}|8e#11DGVr>dw{Z)6$ZQ^RbzD=lqdx{weL2C=#1suZ|wEJFRzcqNo&V(9x z6P{~wUFksAWKyk6Cy`i^)-kj?*ED!r-7l-kl*sbczVi!BxeNsjG^%wZpy34Tkg4=& zUAxb6us1P0_3Nhb5{@cC^FT?(>9SRPV&P$2pHJ0r>B{`h>Bd~O6F$sRodfCP-|ig? z%0JtsA07w}sU@gjl`-aV_qs4($p2~>UCFV;qxmXMM~%?16f70j!>c+?$?(Rhpu+9V z%%zT}ODIIBxA7B{mQZ@l0;vh`&f|DIz%sHAcF`Sr7`BQx5Y8>9Vu=(P5)hKS1HYSB zoo15FF%Tf4I`hyUxLU`Y30LYY6uuhAj3|K~G`!2fW^$+T{Nm??X>`wUf8SQmXWLOo zXYWzr6lu~>QPWuLF8Z_aWGVn4?JTKGNM^o?95K8;2xREn%E>l`M9l|J`v_d}-B+7L zdQW_#Rg|1}$5m(|nX(2_Vwq^J-N)f8WHjip0GIETasz*+wt7XUMFpPaN~4B5ChQ;T zm}iIKHbcw-@0Tu7mm;JtPlq+uD~VoWJH;T8qL2Paq6xu1c5Ij*;`^z`T7~N>oFTV! zn1p_EzX$7Ng1s-Vh!K?)XmCh>@MIA%Z;f=1%qW>#1EIvR$&6ih8Vi<=o$Dbh_PFCO zn!ZtG7b@9!+v{kAy#Jj(J!k8A29tx03^&u=%i35Ch@`dp|EbCKwv-8$nI-o8K(p@- z#cf@tm=gTfg*&&d<=d+&;PQkZs|~}+3@bHM8L^AXFVs)mp#fOryN*>vBFgbi%?=@n zPpeVDhzc2lKV;I7X%pBJheFxx9N5*#GDbcVGk-6S4QIx}pYlaj)YiT3^OmihFw_@~ z8odj39pBvy5a1yVk*v7|N@)nNzf`@(`hnQjcNnDASlcsYAvZ-TpzNU}O&CZI1!HR*imCXxyzt!OHepUEv+T zRNGg6yg#X1U53O~!~RYiCSfXU1Pm5DP9F>iFjvhdB=PwQ=m0yN(?QIu6^j5cuUDW| zONpz?)^8t?505F5IV#-E7==Feot4;_vrZjD6)4;pQ+Grg42Ntfu|<0N^eS{ng+dN@ zet^z=W|)W5((i&AQVa93;m?VfUN?=x?OJwgYfcHIxP)V+WOQs4 z`rf!)2p(&fy||K)$W!GgPz#9w=h1*4<`BN)}$DUsu#+k?UX<#lW}6LCQ|VZkVB!;LMj zV0X>Eo&2By=VE^^qQ>`r#M_*KhALik9d$W|KM53xhR=)XG&15l5v#od!eiOHgDX(rwP*-x352&^1tjwjTDA@>Kz zgbSVz;aZ_SzpKDje}7P)K#OY6GbNJov4wNC3Rbl&R0t%G4fW?ELI6%DXhjiFBomKpu3(4%98Rc@sZrT5yw*3J_lV*$v*{6kcPb%* z(fdR;;}gFl-FJemk96e<&}A@@E#Evo;sw36I10SUriuj!uy=7zVj&puHpTFu?~WL3 z|A8*bw#tSkwc(Ipy8aQbG|h&Iw@F8~7c@gOmS~s7d3Q^Fgp~iz)D27UzlxeWW#h@2 z+|>uct|qZlt$@d#k+cQk($Q>a#?xg-rysx_ST6o&y#mocdSxh(fqQ{{7nHH|gp6r6 zo6Vg%OD&3KdQ#jkGuHz1u@xyN3RyUq#D%_YI%c^hOhiz556fZJ`qnTAf zAK%54Owt>#ma6C!PNqJ>Kr`rN3!f(&^Ox^Pwy1M{u5ZTzmfnb zI+zTbYZTGrUey{?iAdeaOjcZ!ERFh$au_Seu59pa&{@Q`qBEb{USo6};hMIM||lUE6~b z)7ErR_MD1_h|@MD zD)w=tZndNtQG>>HIoX!km^^aD;1Br$zRmnP=C4Gkk3%umJj8KlQ0cM%$w_V9YG-_e zg144u_>l_Q`JTgky{N&g0m`P`3*d8>p9438m0mEwSEC43jC8q6=Ss00OF5Ce#TB9{ zjrC-%ah#hkk_6e{^@VWCnw=wpEH;OfxHUc0pB{h5G3=idTc&Ie41Km!h65hiXIswG zD6Vo1{hL;A|>vM*WiFu zi6NaWC+cBptMAU5ywuCy!*<-|_D^ZmJpcPs*IqaIa97<1#!Y-3T&>;$CzOz^sLjLBBpD%YoqwF_D zenVN0@GW^vT5X9FIsg1MU8IT}dwg0|W&xZetQE2XEo4oS(Pfu>j)IDIgMs`7_i$$yz4;tq( z-;arxzmo=jQDL$f4!$c)cV&mkA<%r%f&Tbp?D}wDb)HxflwO}Xv<1hPFXa2$iI`85gXX1Y}F_$R)PtEA6aXD_p zNrS_b<*U%V8p6bsZI>?7cTQ=`j%4vjffow+7n+>6RIpB~ksx6H_3iZy@$H+pt9;q5xWBNkt7??_nBm7=I>Z%68dvweGppH_zAhLP&`$_$tBE3F~N=bLrHuZwa)N} zW4Lhm@gcHa3~eJP4^OS#kt??y!w(vlp%uj?1#;2S z)h4Zy_?JXqCgYX`_-3rWylk+4rFicH8D>y2W3I(xN!wkgDYcPt3Dx3Sz`JA{FI-H` zmQV$t>{u&vCO3%pNwDPPm>xw#rXULD6)^U?T!rDdtiIzCZe3ch;dscvG6^N;v2pV; zWxLVjM@OqDf|$wf>h0puA}g9+>krw9kuaCYT?lnCc<{sDH~k>-m4$@Cjc?QCbcFL_ zQO+M0&j*p*FzEb^O|j`n+{jq?|3((sLYJ%=X5W1DT+ty+B7^LW5-I05%YtN50pP0y zuP)U)IzCm}{nuS16IlDc=Fs>MWwICcyG5uq!1C$v*gw`Ry-U*0ww#bZpX~uUDHo|c z39@L=DlVCbGzjDJM+1TmFDyq@5vB&Nuu`r{!varQ1m1 zYTNnGqGZ0M?QTMrBwjJLp7I$|Nls>XU-N^sFW;-Pr(*zC+)y(1S+NqtIe<}2_!67) z%Uwueil2e=B~45FfD4Pey}g959mY~7pzdCnlsf(j1`w%QkqZ!4wu~#F5Yl`ap0u#1yEi@$@Ezgbf<3>b8D%j`(b95s!f`DdxOcY*T?ld^;%#m zt-ymDXI7-w-KHJe`*R(wxm=O|J^%7_4t3(^f*b&i3=uS?&FcV`xYC z_Azl)>rQy30^tRIh@LC*)4>BA^>lbDSVFyCR-VV<)%5V02jpEK_*^0f^s?VO+bu6O zO#(0E@jD@iv-AKYjmMdZ@@>X0VmD{ZM{>`%=#pDn^=H5q0KE+b*^akmIf#9;sR>@3YQYZ9}jpH{;g7W#>5CS{chtht8FZ$e`pFW z>?=j)wdZ?PEz#g5{QfpE^m!-RhCy~gGp8)Q zzH3dNcLjr<++hbj-MzxkzdPVbT@h^EV)H-S60jjb7IFOJfs+%MfX4UVq4P|==t6*G zl}Wo50vkY2GB#VLv+^Sv|5J1@(_Zp{p*uK`*(a(rI!N%Wp$lZ(rF8J43o^HtK&Wiy z6>V$l8Z#nOSjEDlL)hw{9oSRLH)Mgb3Dm7i$@oSmX7f_-IMQ&$M<+d*`&y9#eUqmr8-|=d!)iJe@w*F%>jz-=Bi;vfZ|VYjN~Hj=HixK=nuFnF15CIE?9#8R zdd*aC-vfX=xaS z7#O-4LJ%0bhLnz>L%O>`q+7aExP#Qr&x71uXo?~^E@wKI1cy%d+%BQ zwbwe&-|6FeT7YF5ayP1O1H>FTU*6M%0*7A;aQIamVeN8|8N6EXFE!BhO@7PtVEM5d z=-t!52?OzHMuAKX-c|JHP=lL0>uUSzL!Niw7}gohWm`X(Iw6Y4M5xSl29tafa7g}Y zaGTh9Uv$|=_m!Kd4|7WgZ3tFazL^+qeUXPlkF8+-G9{{zdlt`z)DZrn7tD~L+ezkA z&6I3)pKU+p+4f-<8Tb*frDL&M)b2PHR5)hct<}d5bZRe&)Vv-apW@fbvD!YUUDKK# zQF@W*UJ@jZ_U{T~x`+M8<&U?i;wEPsbxC4Pl$TAadz(Q?&pjzG6RQMSlL3UU#F}e1 z&l?}C=Sh@i*xBPhG%39`hg!#NRBFpkIU__>K=WqvkavfFxmMsOJkK}EBHDTfHD{hh zwsm+{)>c?jmekgLYGj*{m{+2Cv(wLy^R3OpurJ15Gs81B^OE@`JJ$9%o$4U}JT@sK z+TQduGXN7V+B@r*>=%8EeZn0W+wcWC<1BFkPQKr&WO*rbwG}TQD2R(zN(n{A_x1HW z6M~4-DBz7*&!ve}h&F&(x%qX% zojxC7e4Bh$vO%smT`d&`rYln4!;?)<;o3A6!cxxFldAHnF|cN2ZN_b$fg-(oYwX>A zcA?D8`AUq%sFom!{JM}Sbh5Ph-w)u)dVysr;;ywv#zgIPRx{!wN0q*2RTI zwN`(>V;1BH97|djBaJJ*A~HY)#Bb`K0fT$@{TPTQ5s)`NwyiK|J*O{S{g1RYtjc~- ze%9?Ft*Z!bKUr4ch}0!(xDQzn5E%OnV>h=t=0(~*G{?EsJ< z>@VBS3%_Os(PyKBB{Bz!4SskzPxQR7gNEUg-A0a(yq&NMEU;gTeg?y|G&WQlv;`99 za#=e`dsB3qF%IarXy1vOzWh7M{}XbOuk>PPQiKAXiRh@q&uycwDf!jcr`qYS9B0Xs zZ;NP_Ff2*9^UHJ$vUtKfHMAVN3)|TjBStt95Ov47zsotM@z-PRm-y2)BH}qP;|i+V z-dVR66T-w_uGCs{92PfwXeL$cwd#328x{ufHDK^Q)Qy-L=iUTl4cH&TWxPnAUDW(h zj-KNp1jt&qKJ zDq-9thQ*-drC4cXXl39it-DSDSO)+H&-FSpFcmXa(aR|2j69N_Zxn*JTH{}Tj^=r* zA&3AA8Vme~rYESbERmd;NisiWJdQ1+7PwZ-dT8-+wqW9;=adSEl%#b>l4N@Y-dZ7b zqY&m8fD8aa4HPBw7gK#jcv_p8YcrCYksZ~}BGzR`G0K=z?xLXyrC}nWuFZ!RSNf&g zxZh7hB<1uBz!X1T2QVvU7nAh&plurfWLSP9$$7RZjjZTBsb%IP6$nXtT{N5V7AGnC zXJ^vR_&n$RqlIw4nKPfR7)1jzpK_asYq?eo%G>)2ZA72FUr^n4kD8M8{E3pzj6Sb6 zyT+1fkM_g!{SG*eTczvkp`hj6*0oO+e^uje6$^3<=Z!h$MVuS#j9$%d)VkmzpwRB> zyq(rmFJm#0SrN;v_+wOu5avjFt6Stx{o>7cTo>?`7SIussPbc^o7O&E>PG(I%9psm zrrE3~RPhnj=UB92e-dP2A&{@e;WD9 za%OD?y^U*7vaE|{p_y${{ZcO0u90e8b66a&3_z}GYc>+?6B)pT98t{vBgIFRaEh+v zqv$d1|IjY0GhcJ8Y)%3S{y)QE-W zF42ZdIZ1ZLOh&?#6uOeCVZ=FE8%ZZ(yJKJLFFfuXhbY z`T_|{;9;wXbb2UaE9;c;r2M|{DHOs0GtX}7F%)CC8SrmORCdW zjdzvwi9b)lv_2=U2+k=!{NGIga55%eT++kRP8!Bvfi)Xt5&}nps#TRJojeMh;v`Zj zl%ZxAwk(hsWpp>Aqg}2FnwGPzcjhO3ZrAD!F5&S~?_f<-A0&8_&BgUWtv*fz)v*#e zD6V6{QzV5M2d||YIds-EanDXe8$e&uD^GA3Y*QWvd`=T6yHf$usRWCg%J*ep8B-B+j1Y#B%%j$ z1ugMkc(5FqDZk00UBD?MLiD(ZPG9TGR(h^k0^(+e7)&XOf|<52Vg7MGrvRzcy8(B} z>zB+Ka*XzvTSBDaxyd4Z^u)wRVOSuv<>W#=JMFW@{?x72Duoulj62y;y=vo6U1~gL zMrN!@M-Y%aqJtw!HY*B*K}=}k4zJ}je#5d*_O21kbFC`V9{LUQVc5w8I$w4;2}Kyl zr1r1&Qfm+WV8KxcNR>V7tS(@Qg3$E|l`-d+exRN4LP*23HuA}pb$(Yxi4nK!7 z-f>VQjS1cT1FJRNbPK5FL1%$R#b$-?urLHe6u~$$CcX= zc;yFRf6|R|D+3e7B!I%|N`zwqHo2O&LbYi3jJ5)xx-cQ{vy(eyLegJ7C1-x@HYrWK zZ=DqGgH!4iRQ#^^bsy7fr=SNIO+h|I2mjNHV^f$ zf-zbYJ9-poGUgw+BQTL}>P)jf4GPXFmWn7rx56$KWzX_+(v5;vywLJaAS)ZPHvm=|b9iqP9G>X$7H;4{<168byb$ECkBX*>~nRkl7G-g#DD;IXg7U^<~2zA`ZGzE4wBz9Q~))pB{pbzVypEMn|eceU%d z?*12uQY5ttZw0V<;x_aZ*lNCzmwJ%uAxi+LVdmvM(NgcDllKkI|7e=IDXspx!5u#X z?Tf_qJP-xD!O^8B1cA^9eUJ+`qL zB6ty#{v_ysF!7D)aTb;Lh7}IeG$8x6l|DmM}{jv3*W`5MPe@xd{5@)@%kr^&*xoD(rHSmDo|~Ct_#rix*n#^pM2~DMqZ7< z(*HHo9yqVk$(Y8&%Ez_L;>KECBD~Hm@6vsFQKM9TwI3H|b|&F}JdkHG)+TIY?LT$4 z+)=8w_5ephCzkT^yaLJK@=4T52LpS^lT-XzhAmH=h&S)EbR)Iept3Q%A~QiDf?bZ< za}DO1OHs#b4a~(1AFGo#=b~=tqF{x~pL1l~+a-grCI3bFCL`yFfMsMdd4Rn@RN z%P}Y0$^&CRCKWPpf}!EK7y+k0K55R|-0p0M+-XPmqpyt1igW?=mvliiGJ$ z&#Q?$B=*so54~!6Z!Y0Ndd7v6>Gg|tcs4~MOWm?A;S-cn z?Ph8%Um`m2SpC7keo}=I)aR~8u^*Gx(DQ=!O#SsIiu6|6_v){i?vYHKBt%~#2>2FK zI&8xmUmNHfJ;Qsb_^<~ z^^wvuYcWb!+b4)=ZVsv>pk-k{Dsgi=PTHQ7Nr0$2PA{Oqq{O2%zrlbMVM+SEct{!! z8o{m1gg{3%;X1C7DP3Z6$NPPSWBev)86KRo#2;%IYbWI1%vm#{8Az*NC`jWwhb$r) z*G#F8V43oSuc<8dLrmuB_s3!sMX!8p-+RT_N8JT*p!2yEn`T4ZVvM|Qkumv_5I#cAvO#Ft0M;Y` z5=dQo)hF<@ZzZ~$Y7s0+^M_=p;AD5bgC%JRiNpI>PD}Nvtm$)Muv6sMRQU^GA{X}I zS%M2p@BD+ps+7G;lbM~nB_jz5v!W_i;>!7*I%A{()TDM|^b@|Wbs)#fM7Hkk}IhM*>V9*efy_Qe>s1?hvp%*q<6e`HjVf z@?6E}*sAV_DPpyTqu|jc<mPw$U1(3tsfNG{4WTkzJ$Rk5w*wB}ly=?DA!tuU}TRFk5eOOz5ijTsA{>(gPx^ zSkW9fjam97UTziFd>3ZrW5S(AGcP?e7GmLlT~sp5wP9V!`^-un0)XoH%Twv{Tg_l0 zE}Y>>@pSL6l$a$C{XYkX$!#Y}!!kdN>Z1E(*R}j{rAco(JH@B#|FVRcVH(;rnIa2m z|7OZ-0&ZBGpNy=09i*_C=w=WlJpv6B*|sL7eJNsKj~DXmbvih$OW*e1#BQZE-ui#| za-Xw?3MZPebKblf612UL87BP|?5At5&gi~>uFbj*>=JWyVhny=e{(Ux(*wzN)RWe$ zHKBA5@9X8Ao^=Mo7U=44JCF^TS8g;^%ZGBn1Y@_saM7K0S#`bQE6<83WJ-?XWj)> zNxc98W_oq~XN_<(Yn@R_;|<5NXiVick3|U2C-?&n)(@;ROH+EZ!v& z^R2)|4A-chZs{jGQd^zbJSr=ihYV|ICD;ap?`oNv_UnQZ&Y$!JLNZUxY)g!kUguc2 zu_w&X0EnM$YCodmSdq+T54{pjSr>+lx&&t0{8uuIG#}i8rPcA7<^*ze@Ge@3;=Hp_ z-VPA^3YKSX*GS9!2jiq5gMf1Md<}P@CR9JJV+Q;2c*@OBCGD-&57qvQ2)|#NwSzQc zVIJOLj7nv_RTnj@IEH5&J4mmOQq#)1q&*_0dNjY3WA_f!ua!OVVz2RrW${zm6_j0p zvxD;R^X9dI++qb}t^O)Gqi>~>j>*Hiczt#e&_P~^cy&k(J+f7vBZ-d?7MEJ=zd;)X zyz#UF)!UDs;AP{K^_>5qal@5JnmGMKRMYg^;~Coeb^fF_7gHw8jQ1qW(!SKSF@{(t zDX`Wt^0J#$^w6;u*XOK%IO4)ZJ{woca=v~y2gP*Ks%X+}dRt6_jT~dn_&Y4@Ce0Bn z2o@~qKPaQ-X;8HIq>F7g*UbODNgbhRS8NJaVzZ6qPlh^-zl4*tM?u!%=NfN6P~A}U z9ducKb0JtvkL0H+?5JnLFP*z15A3T~#vN=J-=q2yoIxv$#1L)D->$K7aFcH)l!4GQka~ZOAA+cjcHF` z^UXfhzpuSqh^POOt&rmU3-D`PhUYjwc3^EkLq)-6u4rq z&a8u@-an@`aEH6GLRbM%sFo5MoN^gV6RN)!eG?$Q%j~DjByy7>b1zs|4a8)iAk=S5 z%x}KS*1(*K^XbcEfu)dPJ`3V`*C^*mH~y#(L8F)bG|coPm3{SChrbc(v{V;Fnf#df z>nHh%C}88VV4~M*2t3SAN8@pQ)Zx!G{ZXL4!$35#)`y_7*{E$6Vu36DRCObOvL?GI4 zF%1@$g?|-ViO3<@aWc0KYVaUVOGzrs&Cw)~3TVi6yOYR{KAHJ+AmxoJ2p-;=#qC}LpmsVsrv{>d9!Mg0&mpSq2CW2P7b_1{Qyi) zhSJyn)G5bTj}tC18kuQser3m8tg(tM+bi?QCUpZ`2%6jED9f7<;U`zf%9SOGtT)_1 znEQ`kNbE?eb&M@h{Ak2jGxUSC!#~ldf!eBoNEBLhgo2u(ZoAh*y(iCp;JGHo-8AKT zKgNpO)Qh;63Y#W(52F}jRjt4kcL_ETO=L}=%vICbDyckIoM}Iw%9_S$?8Oh^t#zLX z;7Oqz3Kmlog=>S&oRF=8_BTwUb>WcIJNtLng=`1c!jDd~Zk3(EoBg!r)P5z&k~dv< zH4y}h{7L1-5};4h4d4&^!jOmb@I|7poXHV00w@G=$cMC6t2 z0y_%Ir=9TehIBztpG?62I~$VTr7*NZ>BNdc>m1p;HI?-^>Q!bJjJs0Uqz!qe#y>#! z#lUYIcxNe!$vmo|b)su8p&+*lW-62I>eZc)!~CfndA!+U2bY_^^L0eF#n-=aqgQQa zo~WMzH95O^lb9z&kXmKKiMv_nwJBs6 zLS4v6gZ zC&ka8(utn3Nx7MY{D*e5zUc0nG12v7tMJP&IxO+eOj{)k4ukKU4ewzV8G#@m7H=s$ zO^a%o<&p#M+q(+`T_804lrue`t@7L=dwO4LBmZ{*I}Wum?i%=O6DW>OWfCOmDu-*8 z--I(n;;K_z^{KN&XoHURItiY%FgEcWZVIhq%x}_3oDGK)=iDk%nc4>bDHEKG#_~-* zJoKL-_qB|c>ri>=!_F5u(fISSMO9z!61g_L>}agmDem`C6~Tc$DQXhE%S@@oV1ayKph+cOcR5uWB5+i5amWC~UBz8cW_`fUhCTKaL zDdhQscRxGgyi(Y@QVmZ9A!q()3#8wxE%~ZPM1$LEyO47(a3$F=4>_J{hx^VT8SO7O z2j#!Zjs(<(td}*RAX|%EbG|x-f>#^_=AP2~@hJ<|{sqs}b0ZH$@53TxnrY@(Zg(F_ zA%6t5bVPuBjHe)%KMIVPX=XWJ3GJNF2hgbuSt>i9h}FJ-M@OOb9OB3B)Db$xQ*vIj z(PJn-$UnY(;anY4ojR!ZhRImcH8oQ5DAmxg1Z?)zXve<)IHR$LPZGc(2)V$pzTSSb z3@q^AE(}{UwrV2f!i{$O>8{}!5erzsF!_o8$J6LsYMEq)esQ5*PMV~G1FuH}jaYr~ z7FN(mmZ}@D_P^&7n9>r(f5%vlIM;bUzRAr-MRarkV#3xaPh_|Fli~V{ljH^p1lA0j z(zILC>D=k9>ss>%tlc4L1pcDv@a~N7vI6_{=wNBPbx=&q@kFnNzjBV_w+QJD(+&^h z(A+^+y_L=uZc(|E0ya|va!r9x-Al0hN4ZkUZjnOy4iB%MyfCP>;}y2H#JLk*1}T9# za))5DD)*9OyYP9*E(PUBTPqk*w1}ZjV^hy_F z>9&?hv@e+fkP3sx*EdecYIu}QW|Y~y-`iXP>e?s)@3KRC?qspp>>6i4fBaQCtLzFR zyBXyiXjjAT_Yg8);XG+mVLg%hP^ROEZo3lf{|}u1d%a(Gl4`XHvL<>VfA~eJ!aFbb zFcGYy1LTd|C-}24@0$KPo~^Qob#J_3-MH*PTkA4jpnL^}%;@|shXIPzh1OTq_A|Ym z9_n$|v98s}V;DN!=lTsJb3zj}uK>RpvwN!AE>gjmR@`((%2eOM)UFmBl1(kLBE59c zTBGvsXnD-jTY$6^hmH+ItkOgvRS64cA`R~6_+7fD;w&uu7`4zw(;s5QL#0N`nyp1>~-e`4> zN*oo(EQ|y=UGM@Ha^Z8}Gu-LEZ2v{pH7sDw;gV~1YWnj_8M53)ulc9L?Xc8DDW?My zeOA3B-q?XU1u1{?F}#r!$6Uk1*7tAUCj~~Ppd}DJ^KLMyYm$1|oKXMEm8&mrZ0m># z_o1)$*vwJhz**$upmOMEw#l}6V>&j0l|dcZFtSCldabH)S!IL$NBMs{j{qU7X)TVE zl!j@uM~CX8Mk?LJU1!JB^BBGH`)N&)_>BrIMqUK7fHC8kG;~JP^p8H>==5e8nFTwa zIL%uV_aqkYfRCaDg3su06=?;@H-o0DxKkr@LfU{%jDxseT2;r3TIgpTxW;>u+eF?U zJM*X#ok)#iHv3LOm}w}}J7ffRvmfue{qmIK)fd2eL2kEE!d2QHn$R+y+|&AYs-hpt z$PxgtCzbPaa0S=rPe0j(&NjV)MBilXK8ERQRW_#WsBboCK{L_2<^)rIEfmnvB~(pk zVWDQ!iQtGA(mxAIXD+Fo?2}ESxZY!kGGmqC$@)k$O+ueL?@5wI#KbTNMu< zHhYSyL48rl&Bl@%?_;{dTUv)LNxu(lkd=QmoH$o?0#AAfU3OnrQDo6W(RScAIL zZXkt7KabIFH(T`&VnP?<(_|eWmITvkc9xMfeV3RFt+6bJ8dl9~v%tOF!`Xa0%fRls85pV7w!9P38_Y?1*?S6w+exhe7jFm@9&qsA62V#dm#B(O`pmbSGsB2A6g@;}Yet~yg zu7}Fhv#idwhJ|s(0Rb(k4?k^?&C&$FbCT-Q-t5-8M`g2`TM9fLqP?kAKB-kM`WfnW zoFQ31CN;5U96fUKsUSBFw z1|fAQF(P597JKBGr98>CC->B^=9sR6L~Saj#Foa{Js-bxH@P6rJC>_BQz(cjucsKmPRKkU}peEh7a<$HY*R)zM>25;dwI6R4| zM;uhow_ws5jM#Q45Qk>d*$-hEF^ShjTH?x@+x`X9K|}s{VJkXDl`RhF>~6CP+n3kj zj|dLHAU-ETzp-Xc9RLd0?+qvtmr9SaR$?v}9Z_ z=b+>ZlgS2dqNh+;=Fzhdk?K|Pnu~KSif~~l{cM$_5vVS>*pU=xUWOxz$PJNo<*hR8XF(2<` zid~+wM+WBH;Qo%=+sacvK@Sa&zfjQERo0zQ?glE4)kw-7Y_bB?L1hR>&FKiF7uXcB ztDqyUV{#b@l`#d>nHNJqC+44IICvfuq*Zo@$W?&0Q_F*6$~#+ZM8vxMsLT*MGhDs3 zjG^_{!jQq49y=Doq;FO<`Ef|t;fsX+(q)T;38@_QE3h=saxgmQUHhFOV=d8BA-rn3 zoQTN^De8f`ypHOXqL3U5k~)PIwIuRJkg-xn`iSzMUu)G89kAz>@2mwXH)LMCCaBNt zN*x`&EjsL28VBO-{rOKzMxwPOywtr!*mK!4EjJ8woc0QZI=-~8*=$p2>0yQlXb@t_ zjm^eYZq(yJ)@^y!-ybi@uH-G@q7g5{7*A41dVOYB(UoIM*yKn})XMz1=8Q$7tyIJC zY5v4y+KlaItAwns?ia)bdvFw7IxK7$@;0USy`jF)MIB7vW)?Y)$KEP8VUbWKpM;3s z<^a9`6foBcEdCjceVWQ{PbYOKssJ(}n>!Q5PyYb&k&U4FJ1-yFE?looc&svPaB~fv zqykuJ$=YYIEQ6oVUX|8kQh$<9tGqWr#3AXL8#o6wlR#Qy{cp5Tv_Hm{D_ zDMOUfw^&f>d>xB6Ytn2~rp6WB9vbsPz9toA^d9aJJ-Vv;D}ldEVm_v3ROMp{Zvj~k z_A-I+Gi~&x{6XXkSM)p$ek{xoz`)wJ552gj7L3L4L}6#fZmWzvowM##0ZMaCl1;HX zHaCG4KoF?`*iQk1?vPc0NapkAe2X74?0a)eAl%=? zaz*}iL+&Y?$7xI5h+xfI3ok1i5W6@9X^iDK-bysHk;F{%k$F$SGs+5r2%V99_K`fk zva1*0E9LjTuJ-C^Ca(&f{mF&tAZG_$fnN9~d9C&%^NWRA5@2d7gEyrycbCmI;P0gm z=VN~lRFoH?ABGRQcW-u|BNpsz?|x}5!S8`qb`eM?$cwQ3IVN^nef(WP!27Mo*o%08 zaRp29BY@Wupy+S4nruhHgnRXD?ErTq$t@J{e?ET5s)*fFv*X)x-z__CANmF>!B*DJ z!^HfZS9@Yi%DdRFL&)?aPv~tnREd}J_*#pY^sOniGSQ(SsbsnXr2Hk;rzH85jw5;N z!<__isT%^vvn;<+#YF+-Xr|;Pg~E=nM_j!QhQ6ze3z2G;roiX&^BBn& zx>9DD7xicwSvHpPavRmII>f(@m2p<-o4#`ItLy%S#rH10DY4U0>CWk#tty=H36f6I z0&Iv8?;lz~J>rw6KdE2IVAS!j*9iHs*ws2y*MTS_vHx1v7Qd%!;1={VAj_vC;7l4Q zgCd;7NgpWh0HAtP!<6OU-o)~*bm_^@_+F_~Bc{>P*jnYeU$3fTY$x5Lt%x^6U!MJr zU)$$=*a)b11XHOM`JN+l#HYJcg~Vvn+(vPXjM#iRgqG0Q@NzCAOZSHMbe0QZRs_+{ z9gU%%9}9159FZDvm&z&DL^q$%C3L@%JWQ|E`qf5?@dELct@;jsx|p%-7^Vx{_9ZUG zsMT)G7QK`^Y|9TBuvSc;W3jyDqY-jKW=|9{h90x_F)Zr16e&)elV({}(G;zC$P3!U z>kVp%)R~h2>uzQSw!SXeaKq|}BOvyvb^p5k)x2iSb!(_1=A0 zDY=_(nEw}URX=)lQ=Kt(CP@b6XX_Iv3JmD#hhR5eIyz|CasKlwF-hsP4_C-n3*3K` zNpA7u{$gWEr&VNz4e87pbSzHjx*+^a7>~EE&}OJ{eWsJY9(=mG|8yhcd$vG3mJ|M5 zY~}hmuMF+v{o+Au?!Mxwn=qJ~bY&IWaK86d1*{+^*3~Ox;)qMEvC7H6wmLlr{@o!- zpMvDqv6VXD5TWLCenY8y+#WFtS~xxI>5*+7KELN^*A-Zdsv&d})N2GVOt+w?-;BeZqXx zm{@*;YoFc;E|pU}D(js!ZWHj{q_~Wbc?-Nfsq$QKb$MqsC;BoCa4nBX;C~g;6$g|n zQDqbCk8XF`Ue7Y-32Yg)_BG!ETj0&T1iwl(Lv-D~Ay&E?T|{nB;(F6Nw=P8J zth4&XQ*(r0Uc|dn{54_t^t}PjS=X zo2Pv!$AV0+FbnJ;Kww z3$Kpoz=5It6Ynd1HqorWv;iEiTjm2YVz7k;(UPL>26ct@$uB^;m4dqj1%x0W1eS6Z z`L-H;E`;TAJkK1;sI>R2D&z<2DOb2%zKV-0M)q6*(SK-3la^M05rFKfq2|%4bU$lZ zmVI&Z$cFW2|3-~^u~T2o@5{t`t|O~x-=J)Kv+}IY``f!RgZ#hmTz~1Csy}oqf{x7f zmsw2wR{}@1RMYu2$@#*4EbZudHJ^Tp3kU~}2Ge9cceSAs*4?X)OeoLqP>XCujR(IG zrh`=7O!0aqf&N3g_oMy~ZOX&@KeR+YNSUy6Y!p4OPSZv$#F6H>6!)^RI#Crb-Z;AN zQ7_D23of{LP&66xF8{K@YB;ixFc%iZdvLCEL#^gZDpK}XAFA~+$y8;NB5*L6Cr@{| zkyU}`32e#5MnZnBc7)bI%wD4MMp$>?h56@rFE$B+Tkq99ah;SM_RqX`5_lEXks_v7FFqA8u=N8MP<|=Jk&Ce^*gcEIDvoZVYo-0A&JkS(KIEQVX+9Lr z^=dCxrkwSActjisy6}wybr4f+A?=7RS}MBh)M3-m6&%rrEB_%ly8BshD2JDc--%Xo zHHWiTMABc?%9x@LH2({;+Z{dbxE8Rm=KB{?q-PWR5p88nfB;^iLowA;bW}mN-=IpkC^}ad zjW2$!qv)^W|IFl>u1p*L#R?M4j+AXA=p`<61l5^2Y{fy!O3!tuynYlAt@mZw%Ay8U z2YV;4fZlz+!JHI-_hF{&Zk&6=LzuJNLfAvcD#Ea-)qJ42t782@f8NVzH zN=_TAnl>4kw2T+T=iKR{8Gh+8=~BZ+BHZo?uroo}uW zbaJxGH4<=H^-6f9kcTwZsg}#3ueb&;GU z|6@pY=RFfWk8+dp+mOYX;bfQ0k-v*dL)+kfQjXNnTlBDZH@yYKD6{-=5bKY0-@z2UupoLyv!cwVzCjY^!{i5$E(+(yZK$ zcTyx-TWx4R;H^5+(aMq7j!gdf9<) zQl#6fg{F&JphsFc1WBYS9 z$Ow=(s3hw&yH_mj`q-B?BPp3#W;t6iA-AHHQmF*(%l-KL_)0T*Sy?%Q!hLfusrv_e zVQdP&UbEF$G)Y_UggMrHWOhdMMWU->ZX?mt2~GE5;tVJ`lEwL$zrbG*)vpvnBAjFy zOV;mQWld*r%M{+#qbeyUr)u<@ee85K+{{Ty9GQOdEo^+Ly=>uQ*1kvNLeejtY5sd^ zEfrVXkep+N8%rwZQ)OdRzc&)SEy&z-;UEmD8MRw}Jfq2KgLQ82wD?BX1JJx)ZWKYi zSo+*`cd@wEBx%VnE^!S%LJ^=`kpcFUq}P!|l#oF7h>Ag+twUG54l8gsFJG?bR)wA8 z?P|a1FayzXOL6Br0Zon+<`nu+$MJ@)saI0}oTph1szz%OE9csF|D5mdW4<(hHLoQM znVl19bm#}@Y`;H9Iesq^sk7;aem{=UH)Ied7A?q%Q1Iu3e_=rs}-4GZ0<*IBlJ48I|(){S0opnj6` z+t-G$K?g?-QR6i#*dT%5eQkvAqjsz55Y88`-IgZ=eVY6&KF3?~kwAQ(A3TBhcP9(s zZlB9hXn7C<%b-?a`1svpM$fSASAw0^bIq>=$OnU<+3x4hDv z?F59cr*9qnffISFW?W2l`wxdf*OwUXKjg=o4}Do~y}c9Si0>q9^%9bq(R>n;S!JB7 z4HKexj>l$E5U(F4xZhK;*BuP@b}9=+2NCyo?wV+;^b%QRcz5nv1B#GUX^G4c*OU<( zZa?F_lJXbF3Of)EGSSFXhhQ)7l&y}Wu3Dw>4YTOGTmScq5dj613h8*p&VE6e2NfWhGtK0hDTT?dl8hh$;l8C_;0A{k z-d4@`=G#=X~A1cLXnzfcJAX}3l6 zs1Z$?88txr(wclpe+LQ366}Pg3Y|)1+l*v>Z4|kspi%-xbDC&(HS$`CQEWu}`VJOv zwPQtn)8HQgBX4%fZ5Y}aq9ZCGgTHs@3IPcW;>09wLdWkM9&AX)e|lv{D+i#ZQdAR? zd$Y8__#Ky*_>y@b1_%Ksc%)LEFkW3KQhw!qvXKhm+fx`d&8f8U-y4oSXWNZU6?Oo$4@x3I z=jIK;qMB+HdKTJ7&|_Ut|Jtq}3wie4!0a&484!LBD4tm4|fIjH^p1-8k4fEFW}uTx+|nPv1bf z7|2Se#lG15+ouN7Gd%T^0r@bvc=R#b=J`wwvQ)9oW61)jI(-SzLCO>D<#BK3`^_PA+shoobm}wW7N@U z$8ye7i~n%%$)*Lp+lD2VK3}{@{lEXdtbTWoXyLP8c6yA6MEI67Q_x=C=wkVc>7dwYmZ($9XW zvF$~%@dOUqkokn7mzP@e2SuLoXRvhO$JFvSTnBXK^^8`#Wv7fmW$1}9jX#- zeo-awAeq32q?O|1a)q1OgE8;g8ZUJ! z!$~7VAcLfY-{g4&Eh}JXf%ph0OTK2*KO6@xe7RET9swIX4c`=%yuXSHCr(oX66~bt z-G1sged-Zc@9+sm(LGHpW3SOZpzN_A+N_#{s_2|iq{Ls)o=-}Z(Gd-WOB?s8qV+Lh zQ1hwFqP0mt84cc#?K0C_Nh;@rMI48P)07RYVG+DT5GfAGjbC(H z3UXmEvjX@J_)dN}c7kymk&8mv2x~d#H#${DN_Dt=galHToyHl%kk1FZEK!smi@Q-R zjWNfa^c!w?8IcpM3p#D7ib<&*%nqb|q*NGbjJ8dREszS5>;0z@#=D}FrZQ9}4E$X* zL+IMJ{63CD4S6_x6jE%0ghN*L6)!f+j1ozkNNgIH6n2f+2OtoZ2Yv>u9zHC2!V$;n z&+%KkgNlSiqxfJNq!d~#m5=NvHcVVj?6=WWe4HY{kjn#vmh+A4uaUgnB;<=Tl#_Q3 zqew}CfF0a7pjWUZsvg~jyJbvONyGlakQ7(RQc2StArdVYxHEH^C_=5BoW<^+Uus#U zzVkk9z=zh?OoWsRU9=HbP++DYPZoE75F%bii@&WwgcHef`14ysbs4Met= zO|;M@Gy2QbhOuyp1+pZ^3b)3HBEm^tM(1)87>VYqFJD;whlW+}c}62S#9pc|OHj+R zfr;SPQxk+z5LvQV%&ffC?jnfSOv5t{kM`O}TZk!s=H#lG5P{~GZ?Tv$xp7L-sYLq! zF?8PlRKIT=f1lx;gM(uq`y6Cs&ur(I$H++OP&l@vQj(E43&-9?U)dF<%t|GWk(H1n z8nUv<-h96PfcuB{<8j~j`@XL0^?E(;dGSS?Pm!S+i?nml=n>K8*~fQv-rE1cW>6A2 zzip-s{QGfdWu5L5@0Xq>y$GUA^5|0SmW%yguhVYMfQArP-^p}0+H#5gLtU#j{@kA> zc4ZVC`ib$}>?Tk#-p(TLqayJ{AYU*M`@4Qn`h9(J_nn(ux9*y^n_-uJz?biMi8C9E z9{<_Q|959#aa+`aeMRR{NhE%=5px;ibhVB!4kd$ou|ag!$|7*~x+h0Xz>9jI7KkdTT8j`K!qDaJ|4!*X`@H-bh{O`44aq zPq+bUYw3_;AFGBnxq?O1^wZB(bF&i;?Z;S&X3j~IfdsqeE=;{$i2EZi=DRP(bQOYA zRlGRcToViH)p5BqZhnp1DnIymgzwIs8`p!IAIv-AE9Yd|Uj{d}YUb$%NLJF^Y zQMc|@BkvRh%Z_ZsP7j=q9(cZS#ghs@P+fjtxLbRA`qzn-ZRC&%a<^R2H*_)hLpOFL zUiH|}^vGJcx;7A9nwtA_E>ZZo|4>w~5(Kqw_rz*&Y4}Rxz+Rlfh z>;KEFwBxes)aexoq24qxNa)mQpLoPNaBOOBSD63HshEpz&Mtl@GN!-ujM+rKQ|hh8 zr+nl2mOVEMCpvZR$wH^{Ekd4(PUN4gwv%tsd1}*l`vfp93$L8q%7^p?+sQ2(C*+D( z3o()*V!2hb`dhqN`SacXn}zT{!h$#$=KQ&eOLrU^Tj3qN9Ax3g29E30yA$20B7=!Q z5Q+G=y+eNiOq&Lw^YBmwBkw*0gf10XV9LS!oFpWU3g;^-D4Jtw%Ovtbt>HQS=TqSG zvj@9v=iP(UgxbG-=V$HCPyYu9o(_@J>hzgCebSta?a8m` z&d>XlKiYl#O@_079i;F--MR<5!8c~l?EZS&pWi$lf;k4Mr%azL6dx~SJxfV7&J-z> zGVbUbw>d7iuX=EJ>I-oDt~Nc%CM3$*)o_IVR|RtHI3|1hf8xg9L}!^2?XROSQKl?+ z@=f)QD9~LUc$yT)nHz|xrxwQdlRLqdho!wSEcV-l%eg|&3lC&8Ry2;XjK?gG80f=< zAM!05rnMW7N}(OcvzQIW+TtPY;xKkq2(5y2qcIkQ z={;HFZrxg?oDXM$WcPBp3M~R8WLG)*OZBC=%)E0wJ_l`e9^cYCN%dw*?8Y3Qm<7g- z<9CgxOO1EYG1D<>5B~$OTF1e(U9UuyIfk0Z-93$9&>wv%4zZcI-MK%wiE;Z64?5hY3c&2uG* zD&t%e5+C^x{D44hZ?KFP;ucNfq>6y-dmbZCz6pd#2yK~%ETW4d2D&cNO_e+T>glp1^Y`2>Yif!jQli7Hh(ih zEhZF&kFCA>F}L56fU_-Sgs0|OoJ!|EM|9W2q4b>Xf2t6(2-bbf-fYFetFeAl(Q&AU zdy640>vX81*xT3xiR1{}>>J|gi#6+0Iz?x>7g=xvey7iloXn7s=F3oV6$Hrf<3*4H z_cR`*dx6A;0D3gejh<;a^zKrEKC)weLaA}g-w}tgp#DxCrlToRksVi_aY%4@6e9G8 z^Mv9<&zA?v?OB#MJIsBP&{@<0SQ;%e;E%6eEwId=_~qjUX=>qnwU$y?4})mx9ZAT4 z`3QEo1^*HJ&m-hJi$j`^jEv6A6q9wwzSoy15hbNC%icAiC*b!F^}Zc!sTQQV1uD_?{2yX%$<= z^mdDxo|3xK`YkcqtT~yR7u^2Va6HaOx$Kz4qsTaD;}8LjH<`&KVica;V`5nUfEG!N zXB2@uqz{6e?Lx{0+VyG#2Ug4pJh>x-QptUF;IQQPl9S%Hs?e}(=hhh+*1Iy>s@Bp% zbr{MXJso(hUfea(iZ42cKp)Q3v9$S&m4`amFhIa(J`q;&f#(p?^Q8G@njbj|>1y@q z-o_zP2n(2$DoW9Ver5wUe5wm=ix4gmkHSXoO9R3pad-ZixN3y45l4#>7FcG@Pmb4M zqT(vlfWL7ndnFL%q{6o?1iL7fpErWxMZmIO%7J68W zSSZG6E5=72cbp}ocXcYAjaIt_=&sYyC!yD^Qg6`=i!&D-uf2 zCD!q(!UHi|5ay@$FcI-R#chhT% zrj%o+kq)hqiYmK!8Y9#!UU@5&7%20~imz6RJqrDV|DRg`Enrgkne$_`8D=Nm*hL`}s79vv6^uIPvVBnMR zcVodaurhv3t!c4e&5-=evtnaG(G;gPO0(X-QLkW9#j?XTRsqLLGEeI!uR$FF*!c~^NwRWyzTexV}LC7sA^eNEoggZDos>`)$U6gy&gi$709QLH2Sm`)#tL zoW?Yt7*Gq_nx|QL=6R4tggqse^5tCk&&Z$&vCl4cITr3))4y-EU!Zi9F zTaJ;mjOP9iM*G-inMsCpU#1#7-*asbAjj&kvF843M;( z%{%uxwIfdQx3OUn^6pJZ_TK^j%A{=BwMq(uZ2em5e5)w4$f5u+=(xNV8yG4Ukt~+& zeeOb5$zGr5$3*nN*`x5)Nqv~~d+bSxR&ACmY{Z2*N@@rIX@}}xLj)#$8yhH*HHmrj zUe$ysyT$o;c<4S?FaDxNxanzJjvE0b{ZP~$Rl~um&($7NjaC8glIKo>r}3gBB#$a0 z*le?FlW*J@CVk>M&{2!7<+Avv!^1-iG$yX3yc&upe20xuy-2pNmMy6qXm9rS4C!>y zLKOmQorj3xc_9;ZRTo&b$4!-Ii`0k@#m_NAnvZAYr&Wu?a(=8Q{UldMyezvPJRo&r zYa7E@F%E(u2FHTb&KqGS;f3n@Rg$N4pQ<;eQ$Q| zOhFoWjy%K54sGOuiifWtdA}Z};(E5zhJyGd&g`gW(f#U2u}ON*DN9J^|S8#ri^U>?^wE5L=H$tdy0`g1EhDI z@{e3c5GuKn;VBakZpJl9{?$0LNNCLg!Zg;b0m?LdT8Z@>%Cpav&a9DN$M`BPY9coD zz?Tws_C5jf9c}(ETetFfaT~^6s;t=sChiQ?DOK+>DOTxMa&vp)!R#>W59i-1W$=DG z`iy;;#3Ggnx~;8!b)hYnon+$;CxJ>I?5l2q1Qp=_xSB%|vP?oKO*y@uT14tN7zK0R%oEx1;%VSHmD!}d z^dy9E!`E$#901A>6XhF5gCg{P1r(va_%`e8JEa3b*s_|u%)mIL#9P5Z!8l9<2+QTM z5zr)q5OJ}VF-iJl9MY*Wo^T=Gtym{GHOV8njIFWNPy+Xk8}>8R^XuFR4a{MBec6%k zig+$EJ*YLHRZ&Oh`*#h;gdpB3o-HyW)~B&+{x6aYe#*x2mu&ugVh?d%vg6bim$aq> zqIyiq?5wMu<^6jfyhMXTX}@)*~EXtA}G>SnJT9_Wf81f_gsZB16BO_1@5!e0#tiC$`=)0a9ET}#UJ+P)?Fuc zIikXfvC)uF=(j-qtO3rnf`1l+VhbPY?f!8+UKm-(HYjND6f z^w5ZtBrY3Os6y$z-hOK_iR9xuWTsx`W@GrP`ho2`^M$9^CQ~TA?T}JK&vB0lE+J}U z)*4&@f2+XuCzXRv`8|(cnzz(`{o(!$(t4Zi zJogX*E&a=`{Sh8NiM4ywncNWw92A~+;Q9E7ddqLYDJTYIhXe%WFgVBfnnQ*i>}iw< z;sOaCWiKtTSyf)@9dD-7@Z$bPS5$5=H|*RUrxrCaZ60#9aym~sX_&Goj&lx0JY?%u z9$qa`Kl}3Huc!MNO(dfq6DwR60#wlv9B8z;B&A+N#j3nmypdJruSfuon^Hh%%Hww~4|_mYcYoz%WdvW+oqAh|=_G zyG_vRajX3a$)!CLFGkugXg>obr3&|Zgqxs~(PV%>qU~WiFgiln5FK0(SDCS3h`yrc z=TQk9*;iZ0VD2>Xu3A3C{plpO{bET}kF4MQfy)%cYmD~!<5{%zpzyaM!=@Tvx%0VInuh;ghY4wLW!Yn>F5(2uI5OktufT4q>u!q8k=iq-o|sz{4~Ifgs%V$l*-^&GkSo64tZ6F80ai>k@+ zeOomf&$XKu0z9d4ry8+;1|1Ic@^xvy0NpiJg=_;fd6%Mw7ru(L(V(#`?&O-g6QoY$ zbk!(8X5*kZUgw~97!foVti9W=dYVQ^Ni(cd7=c`hZxzi=XSXr^AvY7-Y8>)<`g5eP z!;myPr2U@Ekz&MAq-|_DJQ{l*5A>Z)HN-qIouizH*RjkIoV9>#v$zy8Lo&(hFy;#w z@{Cd;b#HW$75wa)i`uM)wi`D23x8x`H1?g1^k+kc^oF*?(M$x57_9MA_Z-(@{NB zPi+8Yen@U9J_oHu+_~~jINKF4^toGQND>4fsesq}rMyn)T0u|FX&_euV zTP#UF0R6R0WSsRz{3m6z)8x{kn#<_crcB2>OXG6w+Z7Pw8 zK7~`fxQyqaOPD0HbCDj;=~ThsZ&}HxVK$$dokg~YC-DwVo&vABI7qKO759#c+gb06 zNSSiNwf9U3JVF-eYt||KblIU-(L7F&f{5!w7n3)t}RW+tnY&OgnqHN%5PY zZLV;PKDhFShHdIcQ&#kXr=^J9i?2s5*GXnGT%r*SikLLRQ5ddkkbr-w@)S+6*3%AR*DMd-JC8_)-11lnRYb6bS!^S`>2h$jPM~m zkxNRn`mHb;aD#Gy@I_~~@qpsyms<3TiGcv8;8VSC76L*aKxIjLlzvsS+tFC4)n3ui z=4Z|LuCU^2Rqm|b_+Io;9~JuQBd4yJzVuh`Q?i zQG(HT;GXFvi7r)vEURC($TweA9`%F0_rb5RTgsNgUy;^MJ?}`ofqrzVF(_^Scv0+iYDE4VFVp2;K6lvK*wHmvd zP-#SvU;w((8joIbj~Vyxs&%}%g& zQ-JjBhFF1mKfEETjS3AmebY(}CaIkNrT%rO;+FVY%cw()A?z|qnrJSLOY{6Iy65cMv!lEB$*m?xC`t!nxvY5- zImJTQ`-n4Ye4Bca2&j*l(9~FaWIGx^M48d}H0t^r!8ReeB%{v~OQXx-L zlD(d_3Pq*rVKRS~H8_C#a}mK7r^LnH-mEK*I%Yl|V+!7A$l(OgzR<{G2Q?{=E>hRu zLZRz*+2*ppf_XsP@I30W4cxGp z%qq<( z3Ckqm(6vqnHZn{hihssk+{*y;h^hM@B6o-s>pjq4WCB@0z+Gct_Zq(lH?ynDP}#jh zN_{)Ut{@A6iKPWUmtPVOVb8*z`hpl%C)>iG4+I5AnBP#3)Qw=2V(@OBCR8YxD9C&k-QV61Yp9}k~ zPp;(E*cCsMlgU{sc8;mJDAIIu7}eXO)@{=%Q4NJ~AswsIu&M=$6t(r^;gSRZAZ$EM ztHkrEIXv`nBQVa3?8jedwalYC#3arS#k7P#yMf_27X!QaY?sz1yk(sESkETiIn!o;SpgXeQl2N5O_w?g|o15FIVKR<46==2d; zH$FiM=Fk3RU&w5$TnNkEANn$^B>ZM)XljPc<~;N*d=^RZc8Kbn@e1&YFMFPD!}Q>G zBX19kn)R$5Fp(#Irvqv`GjMXqC?2GVs1USsesDJq8eC>6p>7B_l@HwMEVX@vORU5B zccOmDfbNmVJ_Xly#WPynb!$8>$YqFU<6pP3bqQUIFO!1BquyT=<~U0t|9V}q+?57{ za+m?)vAelA7qPRD^;p#uSqx}vs~Cz3`aW=s4BioTM1Y<@4B11r@o&v75;be zJ-r_%_d}e7ots!rXyzmIb@SBQ?sb*~0N1zU-@EQJ)OoMkk+%vdP>_L&YOmGMNH`reBcj{k~UNQkB z-BxwUZ$6n(u4@^!f|7sD_ccpmS<1cCm#&3Xzra*KZ{#S>Hux=vG z9>(pza#zE^VX%wWYlL!1mbiOg1rOIiETzHxNYHR3pL;HJGhH!RC072MDL`$Kj56nb zf1pw^J)}+P8s>TYWc`2<_(j_Ch7RTji$^w#-Rv(DZ>eYhB7AWEi+oxcntaF2BvyvN zV%i|d%>W)CCQNh_hyH;+lQ0q zG2=*hHB-!4iIAvp6yHARgQP|L6f0tlEspRGt;%D632O4FaPmjl5j!AsYdY&L>JJ^f z>Kx9Xkk6@yb^Zbf0V$kp66)nz7p1zh`AKYJyx%#QLEcOOeI^f?-OqaHM)RdfC8igo zUtpD(4nZXhLAne*iyS$DYLgI=lh=Q3x&HcEXACTz*7==?keqRp_eV){L9n2Sl0DK_!btK}`w zCvCnSiR;r$$#m{&BSp@3G&_%Xv*f(UjG`LAeeQINa(GN;4{+^AZz~IpbIl{12%w1X zezz+x1Q+*Mn^xO5WCpqArsbwYqO(EX2oK-?sxVvdf*YIuMq{=F5X(26mVRZUgbOUKUKPWXok2Mp0OAq!TDUc`tvH^hSaqAv6A7xJQ|X#Q=}f zuj4ig1hKz&H)^?dS_%J)0`%CGg_||2KF=AIO^m?_;AXFG{g&x8Y$QlJnhZ? zq74$bpT}6Y7<7m;zmz?d2AgMhW!^u0tjV!)R&$N6eo>q$eEa@ss{r1DqXq^+dj3}E zEt~ZRGS^pUo*vM3la?TE=0JjZ}u+(w5_{5=&5)+I2Ax=BO08@R1zm%CLdUvuB=|V zkCLYSVT|%hM_M!A6;QZp;*^f!Hk&9%VfpyhHK2A?bia| zjPJLs)C69g`44aveR%3jdi_7OTSD>R$4H1TGX8q)8b_Mryierfk-s3cSdpg%b&Cm- z3{$E?%b!1m^M@u(h?|_RfQ*S{D+JAmJz#8F;)w0l?8M-E`sM27!||nLPZU~3E4NdQ zLdS`s;I{ea;*#8B42uBfSBB~M<*Nb_wUM+n7{c>H^&t%fV~wM{nG|60=R+|0r)^{xIN1!^lLJh%4E*O3F8<%9%ZEa9<)JWO~Dup zT%${OV-os3GQpFHcM)A&gmf)MYSV6lQ4OTFhZPi1(};4!MOVFD&&{9in_Z^2gABsr zZwTdiD<6``%3S4tG%zG;r$+;Z)e{cr@BIh(EtrYvG2Ju%2}D@`e0F|#g;asP(7Ev{cii#$O@ zNcivD)_|fO*Q=TW)ya#KTe)Y{!|~jK+@Oh~g^P4i-1fWwfJ(e0D3Pst=%i}VYKe_D zist#r8rdee4tahoHM09)xgxtqIQh*xe1^{ntbUfSb@`P)v{)L0SZFa2^4g(jT2*_T zfjsuHPrD&8u0rjQM#8LT45EAwdH8&}{4?2||aQ>7kpeVADCH zCrQP$xTA%?ro<3uySMQtlN4}RO?1;?=NWU}R5KaxOz~DvJ_me~#v61AG#eCjqrlb# zFr|G%I1a107PEqx3;Gfj_knX>nPnkz!-TTfKK2B_lh zjK71OwR6}g)|F6Cpk2J@>4K@g`A0GgL*|(}3Ae66Eqz;rJfx&@4SA7KAgcTZ62q$w zvyq)qP(%?b=t|ke+{sU-u?O@2I8Mjj$k-%n=%+RGvfbt-CHvstF)&3>a@>zs6t5+S zYzqB5OmuLC?T1a%`QLv9_xGozTz9fm3_DKVLRZldibQKOF0=1Hc)#`mr>bCM2iXs* zjwQw~RS?!?_06Ta>BUe0VmE;}J%FVxoQZml2{>~;aVFTVi;Z;zRQuTlx9vs;YVR<^#jOnQ)Ul>Lr$enO_u4L;N^L(Vi zABW33uPYXtsu>YUwjci2=^m{AdVoBBoBN%bAMVBwAb1KCv2W54TwN5K8i5mFP=DQ% z5s_I1E=*u^fG->EmngtJYKw9QO=DNweJ35?Cy&%7NIPwYTHZM+}FYJDP3U7K)TeG zH*175rCqomTDi9}qqa+txc@Eu3zw9JULC8M1K4vCoh&2$AgWZAc3n-c`esNQ6JyS)NPTU z>D^hOB;sGEdm>J9VbvW>MwOZKJ1JIjufz*$4v9`TVI+WeLpKsfm;1radxy zyU?j8_V-E^saC9No?o9^KXCrcVBnp9B$Q78V)@Ms-%=lY|2$v4r}IjjQ<=bRKTTm+ zSWH~I$xDgyINk2`89?p)sk+6fTxn&2YL|8YA)Bk7sLIIKhjAHtGNfC@O6RIvG9k)7 zxAO|1eO_;W?j75^h{m*;dBS_`tmWs{wpee-z4+ZWTK5HEO-hUoWLR z&%2C)Y5h2`*(9 zN{>dgwQw&=u8sQW4xo4UQUDlFMvU&U3Q9e@d7mX>JLQ$IOLE0_yZSc?=8>s#7`Nh+f#s%$ms7vl2pTE z%0fc=pF!)H@%vv_bCZ`uAltkaR(!^Sy&XJ%;c6ZgKV3YWFt|G2XCwM(v#Y{CGUo~$ z;?j+u5DJdG)rj+So^-HoxXnoWT}Cjw>zgI}O211?DUPkp83?HI+ne4vD4@z(E0u~= zS`}?SmGtsn80vR5D*0<-0u=x)R?LCLz=Nza~-p?_}DKCDP<=sKk!b#z#$f_2_{jtrB(JQZCSff63LcfG7}B%1;b&BnJJ`zm-kx7{0iltq`JMFykoFSRgof{Qh$)bg{~(DctE#= zJ%+TQS40I?c6zO_eBwbfsyxlpFgxz*zUqNrgx$2Oo$X4kB=tH&lFj#+kH|Zg<;h8a z>__QaLYmTwV9cuO)uDbF!lg2gtbH^(CRgL?;;DR@FB{L%li;p3PmD6bhZxy9#r7_iv|=0AYj|WBe#FB#HO6w z=&0--;1XJRSs_(p^%Tw%vSV1}iIdSS7S18^-Zt_QGJs&b8sAcixWU@cXp-Gy;9uCx z1=RdyP2~2gxcjNG%PS4a@FZlYMS5Qq2;5co6}^zhL0W?5( z={;K?G4<0;hb6)+5`x|!NPrnzdI?_tKDg_*wbz+B0@x5{HeDmRBbfKXp4%W|M8C}y zfRY@8P;2dN<+$67M+Eh`XGUo@yEU)t4t8@@owfnl04*N3bau0JA}|o9Ux{?$C)%uVNT;DA3eTrla#|!|= zj^v=oV(B|{4$>b!fBl_X54FgoG^!HBRz3Wh-W-QUuT&=MZ44_X2jz!HkioC-SIRkd z#ysJ~obp3_5Z{u2c@8%;$wVh)8BG~y4xD|}TwqLLg4FNd8}5wpWKjss;G4lQz9J71ZF>9>$EJb+kRm5k`?Z;1cfsvL z$!@CtJlOf>n)dh2c!1nep+ds6g{ofq5F#WKnMZ!>zEarxh*UmQ#G<2i&qSl$IakNU zi~GZGc=Lh8aNgvNTs;;04pd!iW$ZG{$i(XB5e+5BDrd-*?GP(0y&U%b4No(gk zoM99Wt&aut$j1vZf4a=SD7-*yrcRj0GgTz=((sC*E!sNpT3@Y1KoeCk51xc=Yk&b4t zClr?s?19{F5T%I< z&A1nckWtFiV2rZzYVlGo>A?<5*pUJsOiE!QYuR6s!dzSYXj5F}Avane%T1zJTCG+E zvZE#`?-r~+r0|4A6XP?A6e~0cS!q zwNixIGJH~3*sq6adrtWV0)te{qnBaa)!@SQi+GX zmy;G!g<;5Yu{-Sm*={;a#T233hV_st@XFT)()+tSrLUCRC{>BxwVji+Gapea*kCD6 z*PG1wLqwRV8#V3Gtwsgyu^;Ra^bsjA`6IUu+r(fpWqU2_mWS4+tAc6 zp?mnR@ILZbGSM>TDwD!0PaH=-g;w3{M{zrbi*~J&%HbRG(aIY%Ryt>~x_$x>Dacj^ zL##T!^wih0BZ$|0#W^#Z9L{1MK2)iJNySNO)z&a2JN}1RpH03)+2&#`(uZOwzC-X{ zj%pb_)E{4XOs3ewHeR4Acd0W-(j61KQU8vmr~u5#BAjsfi+lK&m2wuc(Jt;e^t(X! zi@Vx6wa`<~ERzr78759W%nt>s)JlvT(LSEa1NDs|erSABBkH`F^7enxF`tBZ$I7Gq zX#+ZlDo2%c(`>+Wtv{JZwOym))S1l3zd?HzI=y}(3=CbDyJK~`bAhY3Rdm3XUDoZ< z;bc9XN|64ae~9<9ML_<_8Ksq2S>J5c!gHyQvVL(jKppDln2D-F3s_Ywr{&u}!%)^7 zf1iEGs1GRpQ4T|u6d`oidt@0AoY3teHSmHf+jpAoVB0rr5U&~soPEl_!V)#ZBn~cliY!!C`1aLVlzK@PR{l-U;Lz@j4cZan$k7_t`UYu zR}b;GHF>|rYs>y_c&sSSdJx8FeSg1UzeNIr_GTG}{&KM_ZoAx}eu+?AXC$Fpi6Fh& zS0Tp7p_JTTbQphuNoNS3aFE`@uX*3jS%9XQp5~kybK9YD)&NSP@>YB-B-t=dsQ;6G zu_0Aem2xbiu53uk13oGJ=9JNP>RAhq%jQ^wU@|YBbpQ~)6!|IWhvPj))S#Et06{^D zVxsPs{x*9a(|A8s`MbqSG|%qhe_Xc-I75Wto@zJoR8tJWXazrK+;lJtO69*F9!^kt~(e zmB-WZ`Cuncxc)9-g>Etzf8CcL9XKvg?saXaQk8@=SxoXR0&%~%5fYCT8r|L7(26eV zXdFP|!Ere$?{a15Y{Y}*$KKZUh73#Z-H9M)%9OOzdZ!nk&yW_@Bsr7+=l#D)1di!L zlv}iXsG2JMqq}D1`%_ji#TSj;nZGSw|I@*2{U-s}=@-#;EGsg~g_SW)y!DWSR$r)jeOYnLHVvJ!7>xb)JPlsY!B4&s&<1MmC0RngSyi$>xp;IZkJ{hX!BX`#WmOzIeulsIr8PQ8;wLVPAk^1waEG8y}+a(n&2P!g!uTPR>!V0Ne zGtl++Mz8uyHF3CQm{;rQsGPiJ*VRC>NW&`MU(>}i>af?M5vrf5PWIk)IEA4+aklw$ z{%xhL;wzdKy<(4fEF@nOQ+M6FNZkeCOA>ODmuo~-V0Q;a6zMW0S9WIuyn{q*-|lL# z!a_QB+`quWU$Y#UkgNv&yi!R=@#nP%z&oUVkkWX!fIx}d(|W()%xan_n32%K-cgNC z@^TJ9i%hwu-i-5b5FYq*dm^{aCfuT_X?a2*4zD0PhX=DOiY8pj1mvGj-N?f$MaynW zoog|S8Oc{KA|4e)wL2zH4z63wGxRdiblnd0KZL&w7kw^Zyvlu4&(%;&1$B3tqLG5T0>btE~B(;Es z4*%QzAsX>y1Nnt}FTs|_%;ly2P1MLWq))R1(faq)&$82Aii(>3hIgm)RlZ z&^aSo+a#()fW^9GXVne?Vsk(i#!sv~Ci#uz{GfSEmDAJHd(aRfVjmBx%1+(Hx*nYRn;-X zR%K6Qk<;aYMKs0RsnQHp*ML5)O%JCo1?P7htYt%4SY@BEc&7H=u6rl$*LR%W$?X7q z)elMa4COr!zS_L*C<1gXkjOB4`Ep}Dt8nZ>BxQ@`GK@Ezc#|s@3D&-{^dFFuEuc_i ziUGiv>~?50jcJV?M2x7hmk~Ia)xF@Cwxa(Va)Bn-YJ~goS3beL{WypugL}lx=x}O) z5fL`#oAn}p62_{$GjH&veGhqLmZ8yVU|L+mhd;oK{X zjLh@+tKM%^)zcxig54|G2{2W=&5=bmcDcYH{k8UI=QtWK+jI`b=zDp&$shcKCFcvxUT)a#QbhkQj8`ZH;0pGpKa|^mf|TxW=ks}+j>O&d44)rE3JkGAba|Je=+Av% zhaN2mWyE)k;jWP%2VqbN+QeFR2(>l2h99Yl5syQgsLA}~Ia9a9kj%uXiw@Z*aQ5Pb zeJ{1>{nG^@npppJc>We3y{x}A%Jj2-b0VOQzJF9)-}Aw1cfj2Tk@@6>;}3-Jm#f&t zVV*w3?fzbt{661LcX^l~uyl!()!H2_oe#HW!($6cWIKKFFHI5v?x&v_HxUNfQ1g_+ zqWghJsR~MUElYMXV#xKhUFS$PPQB_Gl8S1hb`C=RVknO1T^paLO0xNgxPHDeN(GV6 zvrkOMr+Nz#r`b&4RqbaG>63{q*aO=if7XX@Koq35uL0Z^tTDIXPT0Rd5XaL`&ANp; zkp6ss6!`@>-OBNWq1y`h*V91~Sfv8;XF)Q<3^emyZisM_s+|9ULwNOmJ%{nM90&?m zt=4O7_4Rqh@R52>q<}aXro{AXH1a{DAvRC13?cGvBN721@{?(9cYXlZEq-tBFMn*n z@{x8J(keNt)V8#Vyh$XOSI)Ji1XkJcv~={4HXCD(ARu2^mk0~aZ-G(>-;Fc(ut;)T zbUGRJ$nqJ$^ZHEKu<(uP{$F}L=__p)ckeT`Riw7G3eHvP{C2$lMMB)bjuI3fY8V~k z*lD%@@MV{6sHHHp4n1L7_>65)wAYB#S=oQ6(b*7chD)AzfA;PytHAZDa#LC2Np;!4 zXzQHD+spPhC_!TGV5Y~5`_F7|9G@TKc9&>+*Oawyu>^ix@7$Ow0q{>TmQe}R?34)g$1^r2mzA~imklLX>6C-*VNk1t`uka)L+{(pwf!x8HLkK>;k z?hc1DJNwMYI-+cMXNS5(U!yoHL}to%&f)ACl5$5@DI-l}W``tXlVp{>)$ixO_}feY@yBXJ=K_-5=16NFd93-tJDK4w&B8s!vp)x#)B)%xrV5xW__xoK z&^`|g<5|R|pf7x24{gqrE|bm5&Q#Q^QSH?|ZUPB|uYZ#{cI)^jeH+g-_dP)|=|4D9 zk-uYu3oofS3VDkP5og{A)Bg;WmN3y4YW1m;#r5M;`iSCpg~voiCfNXGQVe?Cf0hV~ z{jD$j-`h;d|&3oAv*3gFLr zEejGQ5RS_ZqJC0jH5zNk2X{(eKu$QmPyWeNMLU~`OWT%cAG&GQCjFtyv*>oFCjV4G zx1gy`TyP%0CiW^I&G>9a&egJC3m~I`K!~st_%p>Y#C)E(A5{)k-WR@XJyChFR%En~ z8*EU&nQr6#W`csII*Bx11UI=LBrJOngBD(V)wX(`-`(-iaEa|Dw$n&jgXJ{ ze!+JksRyR~Y_#I|4;?d3xd6cn;k7_9GtZ|vZz8%bPO~(did6kUK_buZpD0DY#ff4k zA1colvu3b&$-_&_3f}T@5%(XqE@E@0cH1CzKb;G(*K#%a%EFWQhz$t7MJ15}k!@ zPV~AOb^!CRv!s;Jjv=$#%nwF6bXttMU+CM)HJx>+PJmvV=h;;`F%kKhQS7SZnPuzy zxD@fzW3bpph9&BcO~A`3D;aJU%*5Sj=;S5HcUt*9H8A+nUVUxpOD_ki;{O`Y> z{0}&L!SD!&%Ki9Qx1`3b%7oQX=4olFuJM*D9enx8mlF!OvuTt4xH%#uPq*#LV(`uc zaq)Jgs#&mHa*;Dv8}kSg&5 z$6vfWv4&rs6;A$8Gez{mXfF3eE!v@0u+(OqIrnddbgywJeo}Mgo|eTDo2twxJ(;;$ zJ7wmAM^%4LW#HAXGMtj^bvRX=nZ5!fA67oK`1t=213+N^b_Sp!jxzDm{&H{O?@Zy-m(L0KyD8QEC5(!z=XNtR$R45s@Lql%P2xZtYm10bkJLeg0-N5k&V}Mi3WNL4jPe7ieKT-9K(CPtZQaC7J z4=tvwrpC_czpSzn;*oT?a%xo&#ze%~G{CO#vTc~HFcB@~g{Z>S)gjxGs0}Mt7A7%e z1C{E*Lc;m~18Q1oR=h1jldnvQI3MOJ*W9v&o7W7Z&0JNpz`>vTNGJ`Nvu{x*xa(}> zqiEb$^w$$gFr&&w{BvILXrE1@7<%pF&Mom!zLW`QX**_C6U-`M9IkG`7npU&MuWK6L{#Z(LCaY|6cod^$4#!rLsIDkH0EbL-;h zi=pi41r@_uVtF6~4nI9*$m0;%ALobZ1v}&``m7hT{YrzHZf%TkVUV>qHscJz4#05k zjr#Y+skR2{w`NU*8l|Az1@DvZ_q_9&%Iv=%OX5`3nCnB4Yto{It*gbq{aVzN0$49V z=+ANt5MsiHzY2F-Fjvi7b6z*iTOlAgmxyB=%b+)#@_CL!S&;tIZ8TlNuncHZG zz;99QL^~FPEt#-w@;1%?{pISNYVlIK_|T8x?!7hIe?*$$0;+|-oIE^AQcrdmmi2I)J0(-s}7 zYvunNQBy){?sR|ep&Gr~29l$nx1ykLo|Io13XtDYWcGC_ZdQt6ErmV@MPMj@a<*1t zlQf&-23g|)OO$qfsr<)opcGvMK;CT(lVwUSB19 zE_|FK%L|`CwGxHvK+aoT4 z8?jGbs&Ui9etgd{suUxwG$FaP&j0+c;Jxt7`xuexiwc0BzKxdDkC&V3V_RZ($(Q4I zP~XktU>icr5UdMx=;`)Q_P4hWE!h(xn?T}%3qBZ#6fiDbUl80qFvmNETcRF*k^EHV z#To|eb zFLL@tCekGqt&L}qp6F-Fxqn1~ft-iPQq;Slc)NY-EcV)9r_WtYCf_pM0xM83`RZBW z!=>#s_6?ts^KqXxs$G1<PU1UW?Z~VS$(tOHK zm!l#qo526Hp*%A`0)>KTHVh;Z(tvKfhazk#_|;H#w0AUg}omBKw1;5~CutT$;)n4=|G zP}62uIOWwi^^(H9mHz=UlDR)|t<_`%jiv3&!?V@ZHtduCPFl3B()ivpHSC0Hov%+4 z|6qb?`4mug5%hzWDA_Hs9o#s}xB^D?`SRVlr`DPnOHh-Dk7@OwBJTB9v1Z2i+Fjs$ zyua=2ypW%Tkxzq(v4OMf6xJekP%Lvap|TN_ftiRxFm08)#K1U{St6~@2|hWdkL7cU zdES~NhHtp-LAxdK+=OpXOJQ0XuPUDYcEnMD_kFm2J10n=F?QUhn~ZDmbYT9`7a<1n zv~S3|+vgb`$dbIJ7L!&1xG>YC^V?mZA4P{tC4ZV3Rw4e)9S0E-I7b5w@Z0x}Q~ zdio$6VvOFdjyr!_)XS94>vQsxP#xDWD}X5hgV%%mRC(;97|O;WdG!KNcMT;fw9Amz z9Qlmrf%w#5u```F&-C{HfV+KaX89uZ;g{YmlPi+En0J3(lcY7=iuEP(Ws9qumwDtJ z^%ZWIbiZ978ibs;jzY2bO`|rfrjBqBla0Jz_I;&?JIAR)5}fB1|2cXNe+ubns6wq{ zs5<`xOiVs}dk_PGVi>t$B9=@V%dDUouW6_~l?8+fvCQ#xD7`3q5^ zi@|_qk;@VG;c%vB4OgzboYes=j>vDg)^+?iNB-y?rs+&bFUM7%BIa@7ur=bU>8#V@$6J{RZ_i9@pp=zCz@LLHGER#`)?>47PBT&fcCiQxGipo4PnUD9c_HT|O z>YahP4htKJeCE^Mgh|DVGrkJW$a{dO-2N6l?^8_?IdtkM3ZyV30Z20S8_?9_W?j2@ zH;nrQP?W4+|IFM*5CJxB0j7sk3_!5|dVa+1jxCe(>^n&2S@K^vUigAsQMGE^Mq4_S z19Lx{gsBfvTGYU@yjcdQclH$hTo{}qNj{4#_j#zbQ3iod-_a|&bAA{UW%y3Rb3<|F z@k)%QcbCZ6RtmHPp)H;vck_0>>lNBPChKI>=yXBAWr$YEJJx0 zYp)k2)WI(Xhrg-*0vNnL4Q_z=2GS-+i5C(%rx$ZoiPGc#{?Shr;&OiWc=>Be&pk)- z8=iW$25k$|8XHPNxC>BJW2W1ppEMms{e}KE16@x=>I#w#s#M$vL3ek2@NXw;e2Ui*k@Ttr8 zy_e$O@0;(Om5Xm8`3n?LyZ8oYsFggEf$%h=TwE`l)Pl?ksr94DdWeD!cjIA<*$o8RsJfo4@w`~af?b1$!VLCL6 zuI-{1D4T$^<FIl56qXOe9Y4NnsQE(^R6#Rbn>|3e=ktFlci_s?(p6Frtx z^)s8ZZ$oB<_C~`3S)?r`!aVtOlBL7E6d%2lx6cDuPpNdU7XDn<&ro5%7#qeHE6y;c zd#@>s;^mA{oBFw%gAse#R*HR%tP8E(tOmC7jP7>JRz;UH673B+HRR_viLp2nCLi!> zt2^DPZCh>fip38o3+HyU7%>gou8BMEb+-F&+=Fx|%=jp&8nN6g>On2%zAEyklV(+m zAYtXoM5Klpw3?q^`%hA<#njB`!mB2sl*?2OZ;^I>_Rf-UoCUvGigW6}oeSb@kPXFK zWtcaRP3SMxOjYJKNw+s=8d$CWO#)V9BUaa82PXqTQ2ej>`$9>6w~eE!yC^ZN1trhp zaJ=($Vn`C)WWLZxEL_P|N{ya+yp=4V+_;F=s1#la>%b49g>@OZ1SXy6(6?0P8eLOS zqruGS^Y?z)+=ej=c;Eggp79dvaeC>1pFgF0RJlHW+K+2+8&AGMg&#oPx3`SkI;F&G za7y|t?-&ohp2P_tAWOQ8Es-y~XV8f4{I3SO>4nN6_ed%i<0AuS1jBQyhCnZTXI4bm zbZ5U$j_RnBW1c~DMC-()kC`)7>vt$EA}iRU)kyds4>#(U&2F_tnL4|&8I*@$p1fdR zRj-1Vb7W*xPPz$?DS=zeI}r!l87Gm13O})!u5kn-mT zbsS2;+w+dVztFJqMPdH~GCsl{!&64nmky)iYLF;>w$nmvQT zVS{tyOpSIRe(uYpb0p-sZSE=uM+V36ot2_%8s(!HQdr_ooD8`2SRLr>QIG@kWk)+T zQxVG!UGAUxoxbLu0tGcpjRj3V`-XiEr>k>h* z5@)X|;CsFuO?|w??VblfQRtwXzZUySK+gb7N0wRiY^%if32r&c)fkY$kGbs|DhF1U zifrPM@&~vtz?nEEfSk)mZ=^_0ySo@B-<0@p`!js=9GD7VvK({E06YYw>c#rj1YGGu z8Th5j|E<)K+kmwqOV+8V1=`^)7D&?e=KMXxmfgx)9Tuvu4nhKB*_u{00iWaJS7{&7 z#wF^ZMjvhy>+lheo!YoGrL+7u4k$po$9CM&J*Qu1=phfj>7I69$Y1E9GKy=}_GjvZ zoIg>zG6Be~XFppb3N}>Qu^U;QlZ96`tRQYNeh7@n%al&0dHL%09oJe`exQ}K-XWJ?;LVgh zJGa4g$7cu&ay65ZNNz#LRH`__%Yt)#@DYa=5_{Lo*zLC28os3!PBSs2e3dI%@7-&9 zpuq^gNty+f3k3#d6Iw|NS?g?FlkROR;xQq&87QJ9f5y2rCmt-}64%67SO;@IZlwJH zH6*^C;nk+U#;32G2UwF0tZ#@leZu-W%~755O5d}EBv2lL?B|hm?#q{sldqEIY7-VA z1f1*h(&V6MMBD|c-L~@UXjbS|=udu-J#7BH=oYfBDzjcvsyp2P(Q^K?l{&yqsdD`b&J$C0(p!*40a!jcsF+EIEvizC<^o>54m?gI{nd2lBkUMwB=GWMFqD zILCjtY0w1D%-~h{e8=M3I{*#31gZ=mJ5((ObqH{1jtP z(K8>#6eKgqLftKrVSn)fWQ*rktd?go%7p)#SkkTaI|4V8rO2?!dvys?yn@SRwYh-Zc9f|T(+jqQBl0$ey0d+bCR?f$ z4U?fwz$XPQGIK62eRI=a?C=Z0A<>f1-;airV~mcKPsF%Glb&N54HS1M0lmKjls_t> zt+EBWwWa30NxDV{xQL|giByT|AL&lrrIeaj0Ggg>sAK$N?~FgSkfrki2qmjm!X&;> zbMGm2KpW7g0&cY0*x}lYAGGYoD$l}U(eUKsKxiM+Qzw_Iw_I;ACJ5dyyZ-~sn=t|! zoRd$(5P{G$UOjQH2+k*n-+j_H6;heZ`_YoHjNi(Wmn<%#a8D6x!3;_N@s{KK56wZ{ ziD#WT+IhNS&|HHWGb?^`w7X=`-0e}$u0*~wWiCRn#2C^9$sYt4BUX0_H~X)RuL4Qk z+&#@N=L+vn@?lv13l@%$PEava()u5u!{8&z7(ebAH82&|>Wq%*@eKX2A7>B0esW8! z?|q=!7&5e%KWD>rX;!vy&f?v`iC#x@Q9y9)sPi(dCqAFgfop>tF6e+d_6wRXrLWZk{|z_A^23UiN~-f~>`%p4TeBy!ZtWvKhl?Z2DC z5(EZ?!Fx@=&*_b0_Fl)K6kAQaj_;pav+#<4?(yBsG+BXf0|R1iBppHg7;6t}rC$LjecC&J)6UVTFJAFFC%(@X>SGbSY;eG z+u!?3)WBgB1~>8F8XOwPunouml$m}xLSd*l7EZ_;K&5lS+sbV3UNsPkM%LdXb=o9+ z?}~m^b$xclRNP*|y=(3lYltgbg?D*9=2C=XCO0ZH;V-%FRLVZiUf{0$rYjs?nt|ip zP`~$8i^=q?qgAVPQ~YE79!M|7M0Sk-hK_PzG=wj8?GImd4Nhdl6jE`tCwazNEd7oFys=UE(szNeUi9Z0oVBY9-7BYZx)KQGpZ6xp0;* zjE+^M^1~;Yj`Gv=h=fHf^Opwh?!v1LMP!eu(*4%bq1yc7+t-chtYh!zuZgc&xJ9L_ zDHwYByGb+&o0xjA@n-FFCL`+kX0T*e$(Lhur&9s|(wZZ$Pli~X9ajOzHj@oNL17$O ztUPf^lO_@&qmdctSfTfUt6?umM2KELo0~|>(L>yoNrrH?)iiMtt%8;z`J_t}={nJ_ zw{UsSUvrp;5Td2z8T$wpi;p)tKo`X$22gJnfLoQYiQ_9=Oy?I>pP+8RZM^<5$Y@&~ zggN=HZ==fyBf^Of*TRjRk{9t`k+MQ-B3=Gq6a>3cQemXmBf!h28PU(o5E<7`lXW{} zW+b^p{y0x9kEl;She~G>Q=e#@yVCoeu&tK=KIXIR9Jl;B7gGDYybp-&;vu~Aw1-?O z8Cf2Psx|9!*H~N-An4!Kk?Bz? z^641nah9%^9*d5MotYaPi{c1E{yX+FS6n%0?Lfee9%=*%DBGWz{cI!|bQ{dP=?;&f zd@$8uc^r77&DvrKf4M*`(LfS%m$;d970Qu>JO4B_aWRw+y^c*Qkck{aX}I3O%h2Gs zMJ_KntI8m#!o(m?klHity#}oYBg$q{Z&>76p-7qVXV4NeFgM#8+L-hd+FV-a>2J37 z`uP$0$gyb{t1n+rdRmt+OKq3ZKIibk!7j-?VHSvFh|FyjRzKT|DXN+)x%P|=tO!T|D?SqEzZ519zYIhW?|Fj4#fazdB z6DZZ<{c$WxCRM*CEn|23#viUrmZwV-q$82Rrz}1kHgm~qa4CD!0*)-}R5>NBW)@5T z%qzn~wojGxK%foPp*}RulWX2OH-zoK|2E}h9f@?u!dzv{V-g#vEC_;Oe?|UFXyY$^ z(JYN4ohWUxMs_kMDqpysw@WY6)tntMnIna6V2E9?4GtmgA2meg@7itVKmHo1`I7up zq*&yMqfH}vRa5_e)08+l<*_&=0tlxLj`a+;LD+Aj*fdY8BNn&)cs8y@X0 z9D*M+I5u+Vs#K{OOFIMYMO?r9;F!ZX9LM_`;Tk#8UJwBc-*G3>60c;uF`SWE6TLE^yGB0H2$lX5W1qL{Hv+BHOCMpB|Y3G zMNT!mOvtrnG5&k9`?aG_XYUUkQkn;=qPke?vy^@bg7ki@KoUnKLdiOyZ!ED%2-7a& z%iR>U@B8NzX9gzmmhda;o~2&vyVxGoH)f~sxaB*p;@+c)96w|qiF180JRj2r zXq!324M^)c`+r!9gLwKYwF!NVj0dFKN)qe{E1kp0D@Asw+Qd-ps08w(T8Pcmk_h(u z?cccDtPQh#JOFJvhK3Ql?N*k8An~ZQRj^g^RUs}fbD#OkxA#9lqV5uC@FMQUQ0!}X z?nh)Z2D@>0%{^xtu|3(WmMP$^>*A{LuKF58#v3WqWh$teL{uU^DpFN`nr8=HF{!8! zGXp9Eg$4KdQ~T&!@P^i>F|_?Tn3iVpuRrKc$(1O-gal`Kf%@IANIW!#T}HAP1D5=w zhghjq<;z0Q%K^yjZq1VOmvkBD$y-fY81ZF;I)dt~d5N>~c*b1+gYLfbsOjLp1fEwW z5KV5VX-bwxer$^TcMhM>mad0N>_k5k6$G2y$Lh$ZLC%Fdp<%-G{*1(HI7(oa@ms2( z4W6CGa&!{NBjyt)wG)ymVXTkt-krjwHXHDd6;>_ybN(fAKK+#qVT9S6Qu z^&5e3YMF6xcNjRUTYYpL<-nEbVL5_0`i+18=+%d&)Lke9)Fs9yY<{8SVD!sas|@Zl z=`LsW+iab?WHyxml`voS5K0fX!9l=nX2G*^ur-l0FFU}yHy_9QK<1jyLF^nmM-7*0raYAxADK;4yfC0Y%+Vwc)3uCIFR_s~8M?su4D zg18O|exoc)5(i!W%^oxj44$j*f;q_i<_1j~kA6JQDw*nayr!>HC-$eHnbBh3!~W0m z3AZc}yDZQbe4nFiBTRI2NvDej(|4$LS16PW)r``+Mj8FZc8`bDZF>^!-@Cthauad? z610Qb(p^w;iH%h#&(_&)WagTKqi+SCio7)Q^$$vHLauDZHBswB!+ZT43lW=F`wxYh z&)h;?QT}-1_Ao~j^)KpSXuH4t=M@nOLgt}dR@b9OvO?reK;bFxNdlVpm2?*atJQ`H zn2m?UhXmmla5;5DN56UX#Pe0l&xD0)s#A_8SKB=7AtMqpYi87`!4 zdD!hzF8ftA$IqHjnIFYYFgBgTF?r^x@z=wUsB{(>U&*0S^P}3fYoIhM6={C-vIDmf zLP7&R`RxLs3$moFN3b1>(5VJx3izi^iB&(nE5tEp+n@fy0LgaV1w#Fi&@bSJD31oK z8Azs*XIG**M8jiPS>E4h8kWQ0FO3^tu5JgGYy_OqggvH*z{TPHYvB}=xSKW$UUM}y9?UFj^Jrtq5a{|u z-nVG$bez3W&qx7HW@c#fez<4+osj9wW->FL>k?&OYycS7bdV!k}+% zG)Yf6fR9}`_N&zm<}m}Isn|M*^T*q#&VcUhX26!n`X%Ve%dB!q*mv>EA-9EGp8Z9-#-frc<-gq6h+~Rv1F1fY zZW@MW*#x)zCy4R_n}diJxR3C$hiN8&O@do$btWdeD;rn`CP9Wbv0r1LVW!2(_F7R3 z!OgX>L_OVOv$Yx)NB9o}^)qe<%Szrc_<514PG_!_LpMq$cfa<9v9M(qI8RX zD}s*x>7u=@Y9!$3ak^#D6La+vh`FunFqD5Iu{@z;XlcJf0EQ6JnK@c8}+mzgV4cw_sBTf_Z64K(GxC`J}K zX)~uoBBL@cQ>r6=L(ybR;{SlLMUd1a%r9Uj*ns!7Go{aIh$Q~!>yN|S%G$hPVJFl) zWv^v_VhYv=+YfIcU@|xgJ@oCK6zLJWVX066T@6#xq}=O9iKHB$oDqVL_(EAb1h_8Z zxE#YrR4rMcni=X0t}7!pnZiEo(GFXG1c^@Z=b?{HyjJ@++DDisq9L%hE)v{;r!6){ye1q-0QbPY^M@9?jqHtlFdWKD>YG%^HqFqte>E;OX&w{%A_&shMnEqG~~O6 zozo+=&ufuiZaD(q(#pYriLls7GS`{tZw{sd3n*NVD)IQqAFS&jLW88!Ve6MMUc~r( zvW_aUbdiel2wb|nF>EE6@6mYpXO;$zu}uAVew>R8)n|I`=@3VELS=H)B6~S!KGyyX zZlwcrukv<9EgkDPnV5XZ~K6LW1Ln11hZ2^)%+s_XO`3Mq(T z2M5*+9^)b6_?u~dQyr3DGRH1v0_N#?Qj8m>D$UyL6L{nkCB&IlOCiV-W4dw0=JL*+ zH}|HUuD3@cd{)5UA)_BFyvTy zKrUQIEI7M<84>dk*CaZ(VLAReyyL-pO1H+ZK0NvQ_rGSm51b)J4Vzb?WP#vw+kI>+ zWkrm)wpA&=Er}M)pc|jL#~2G?fn2uG5wDGwPkCPcJ8A6?1{-OY=((R(5v;f+11yVE zUm)rYI`ZEuQ!(}wO?9d*n|H9aO&{{Hk790bqY$V9yn)_1&_#8zfg!oyHz|Dbuh2gt z(D6xm3seC@8L!(eb$HS7l1i=?W}Y zXv@bRY~Hhu#?6nOFsTl4nrA4iJq>HKY4W7l>eH~HR>ApL>dZ}Abints{dZi?93zfY zcE1A=gT}c=!Zdb+HKUf#jwnS+&oBJ)`KKf3$Ak|t!+3M3w>ak}*jn2+TNK3{{Yd-i z{bgS2jreN=aw5Z+KF-gyycb)-w{5B|yJyEPJl%bi3? z6M%ca4lvcwHqr8YHH(m?;2s|BCtA=Mfw+`gH#S(6;OmH|o$aDoQ>_>ERcONrJYQ** zL1|2{T6lQ0MP671cYyx$dK~!s0U=wt1Lc20 zI2*JJxaq1G2vpRg(Ed_{p_r z`kiy zgDtPX54AaFgKD9!o<9I3{ggF$!`15EcPE63S#Wq0YK>f>Z?QKb592hZ{3}K!2Z(D2 z-)&v3-9AlvS{=3Sa?i2tnah2XB|KeTUn3=W;Y;dPU4bH~?iEfQ^JYN;_6C6&M(Dz2 zwdoGdi%U`gRM+y;^R0>r=!qH@gA7Rl&biIK5l-`jHzBFtKsOIsm=c7-+ttd&?r0lu zaB5Cg(NQhkZgoO$T-#-A$u)NW7%8rKLSI*Odm&oLL5iwvRSc*J`;Y>D#R%BnZb#5j z(UO1pCCizv6^X_{!9eQyBFz&@yAtmuO_r~GnAlftl?ZYXF5B0%!6S3yKc7ZAYZffg z>6>DD%Zqe{2`=TOMYy;L;Ma1h_eCF|*t7%)4Wft;MJQ1jheN1l-*Qr+9cK@Z&#F%0 z%=ray_|&N|qN&N<{{gA5Bty{#O;dkcq=fEl?vcINHmk;(LpXx8e3sY3b{>pHMQ`f* z?J9|A`F}F_{`(g)YXtHB$Tj*7^KJy58SL|RgrzK$)&3~^-HUK;n}+&`TL>@o2(l~R z2Vy#Jq_!C^A9$^^$&zZWD@_R68*S&-mP*U2#N)2{*|3K(`>@8MZ z7AmJC3LfA!?bfqe@i&E8YA_jdoYyR7HgjzXlrEgWw7O%INY&KW-oC~Eg+w9?k5*;d z5dA;pyS=wki}U*n73|}HpE*m4xy14+Oxsfkw`?*F{rWj5>Y7KG6mH3f&-wAKvgy23 zY_RnvqDUj(`q-TF^=H<&bolt2&Hv5?w&>Bsf~KjIN3|e;&m?azqE2_CpY>kFdlBen zXzg94t_;vw@&9^NGN@q%5)aDYmd+fZ$1ULR(8nwMY-F9QwDtb2cTgAdBOiV(u?#_~ zxvVcZylsrjL)&OL8}Y-o<~b44rOiVETx`^y)Gz9_7^nd3Gb+8TRO#`HyOeP(7?vqe zuj8~Z(x|^E73^l2CVb8xVv_x{_5<#&M`d~9JR->Of`!2=XSs!LYh%|xY{6|zz)iar z7FgkEzvrpJ6P$nT?PKFXh(y8O36x=)IeU@8vHmyuyi1S3|A5jDtr}$&5~W+q%J;L+ zBUu<{M9pG$nS7#u%%D=7ZC#IVG!qm0Rm>(^Ea}(jl0q;)ShV|7BywEJ^wS@c{{c>% zfENhhAZ%_!ED&<8A{{F$8pEaz-Z-4BBqNgO3>od^&~Im^ka1wKQZd>s(%am9PW9p1 z(jSnn&nf~q-bZ@G)As@9C8y%z>${@w%+H};@D&uI3?32EF)RaVJ%D8anWaFOM=dDv zr^p{DN%$A4PHzEIF04TSMiH6I{~1U5F(cgS)=k8XLfJhn;!GsnoNKyAFJo3_KRg() z`Rk@~`TmRh`$>NopE!I)%Xvxdo`T2Nv8T6gh)AW`c-+;Mfcy?9Z5Q-9;ch{}A`$LU z@ROTK28H?vNfG)qM+=QaUpZmK$v+JyThJczHesDXe}-yJ77J z#Dj-@+je2lqLl5DnBVQ^_*#6n)aJ1T4@i$=eY4Q@SE$Va>gtQoG zi9t9I>)Y&gb(b(DCt~P0HpO>CJn!dN{xU?C-~4wB`8kVP1GQvYnpJsZW}XxQ;`g^w zFZ@o#@_rWK{*)o9!eIzeY8J=DF*W|hGzS?`shdLsNA$Ml)(X)(*XxL~Okz4_H~PNC zL$?Spq2zny>Jg$KYYi*i`O-JrpzMkeP$hv1od|N7O~#78LFCE{gz2D^&wTfHW(BRc z{_XRG4xYW1I4HvLUY}pZ$iQ`cbc!GeX5$mM5p-Za)T+Z*&BH7vhSpRWuwh9DH!xj% zN4_bG8^%0cJ43!NRi`}XNnK!XBt6SCsgNEPZhTT5U@1~3_In@Zi_o#iT?HypchE>u z*wIE;t8%72W^%PRf6&)y7hy%F`RQH7H!>>UC59D4RaiS!;<2o_QVWo8p3C$28k1H9 zr^;8y&_7w9tJXu$J%dv_B=T}PG%?#E87sn8F(Ugv2_d**=lnv1I^H8s$3DP?`WW&H z#Xd2HmrA@1L$)&wjdL^S(!M9oV^F=8?5Otx8oRr(g_3vtpR*tmtZXp&kZUzec?x#l zIA$vFKJaqTN9Ao^nEc%%CB_~ZKX8ol7BKvRz_Qk@YGd$<@wMLAkC=leeNOTzl#fk*HY@Loxoh4cA6+f( zrb6rHrjhuHq@bB0!0UMvb6pIZroN0uQ{vx(vI|=(7uTE~%A8e;@WYfYokSur^7Cgs=?AFiQ+nTK1itsg5ti+KA3Cg-6Qs4&1N$Ze;LAdR z1efagr#QFl>E^;{n=6aJr}vMqUAka+SFX4(A(nR6sAaVzOrG+M`4*e$MD!-*4(jdM zpYuEhlz=JNr%i|fSZW(!qI5w9w?3UsMi^Fae%Sx{qB(*3U3Q36-Fin~r|9|RNqxZi z+68iJ>y;feOY5a(tbp`(gf`X7pN-JVs{dbV`tTP+TQMz{As`=c82C6wrUQ=_Mi+YF z1<}Jsc@cx&F&{t-*&L&KVX2I(tmYY_8Gn#!YmlFVdiqRw6NA}->}s8)CsSisho0*} zdiRS$;%_o{2)O0sZudrmGmJxFCr)r92)}U_%{}CDsT7+jq(dY}Ggab(t1$lQ&0a(c z<-Gp6^rQSdS+2^K$4}T_myTj4R21fdB|?z5xBv zCeE`w{1rlFVUY-N9rG$Ggi_XJI2_&f*bSRn(_RVP*v0U;{~XMc#zh{}^8 zKtex>S$d~Cd%NEGI!|vOB&wDkU~{NKT<;{yO|MsjH{>gw`?&g^Q)MZb z8OQP@d63g@qF;mke{fV07d0K2plV@~BI#dTA z*tCd8e^Gu_f5e{;fMPleD-C*-+}MwwUXRe00P~`1COqs58AUt) z+*d)H=?DBxvaz*#Cg$JSER^=nBC7<^t$dtYJzo`5Rk0~oe#H1d#Yyokk@WT+Z0bsG zpYk|WHg+q9l>mU#+Y?%aZq zf)uuy3OgGv;J|VOg2Y6ya5Ae~;q#Z=8Gb|??%B1{k2ql{yiUXW<5@~iAzeL0h!I)=C-BW-Ud1?=Jrfwk*`-=Bb0`A_ zHA|3Cq~B0nn#OzmjX0pV%SpvTDr-eX65IpVl}4iK81^?)k*{3OJ5vs_C8}nd{cr2X z9mTcMG!deKj&^b0LiLLXg}g06IsK0;=@}Rx<)XUbIEw)X<+1({a`PHbz-^F*2qNc( zu?3Y&u=q}H*;Pm_`@PP*Q3(%ygpGN2waM>wfkYn_r;O}WiCDA6s&;9jhI8F6j!QrF z6H^-iL7)BNw+!Qy|6RhvCEg|l5f`-YAE0^*f&^)&CwS?89Zb8J5KNARKEn3O*;iaX zUuRE+wu7m0c}xMfyzyi(rVz{(;r^?L8GOx$hZCd_f(Na+zvWY8SwG;$`ld&5mDF;LfuGgzJ%ya4=kE-jNdH&mg$Tz>`QJRy=TX*g z+hQey*sE7G06FLO1Sv8?eu(r(E#W>!Cg2jp6;vbICupKJB%sxB+BE2wvrhPE9rp}y zIor1VS}|mY=XZP2$87ACfv3uCw*(yQdix)>4PI207wOzm89WPp;9F?oQY{i4F)yt0 zNR#-l6*X}8``9tR2e@nW528E|f&z4$m661gUv)gRPhbBr+dbNg-T|-n#)IV%Rq`xM z&+JG1Gj?$iYsI8pySo(d-p85djRs=$@aOkORHg@^YA0#@JRUkx;wC%GJX-ggOpF-M zR;OA`r96&~a{?LrxDBz!YN}eS(^v4g&PQSwU+;CLHC&&b92Mk%cl-1@$NsyXUf%{g z{)Ud&WsDd+-CJs~nJ<)j_CoufV>R2)xAWZFyf_)Wu^z*!J5v3B+!#~mp5L_1$yDE}PtX?x?&5Eo0jpy%05~B0Cjf-bulmJl-J2Cb zQ#H7|=Kf*12-*8g_m_WyNtY>jxVvBMU2D>xPyG`9PORS?# z|2iSZ+Zn`NJw}Vys-7|2M+S3N+{_Sg1SC86$W2bxS(QT8;sTn{Lf7TUN$;3N==CCz zG`vnwZ0m;9p8T@Tvfa}&F_@r`C>Y)UUd~;+v!d(4<`PV-7aeT)3L2RJ?d=?95Bb?> zR=JYlgo0h2dTt%`a2CFM;DF}R`D4sT@EeXNa<-!t6YUafcNG6ryWXJo$mQ<^YWjPu z7XC5qe}I9*0@&x(Q{3^7;6(Xw$;QscrJh<_Y}n}Jr(c&4Uv+8XeTZG4WuYpM7+uu* zX5L3eqB%1Pn<}m!j*qc*ebBb8Iw_a`3cARQ*rMZrsT)P?lbzt@yVFd-X8yNwRq$b0 z9u`?4qhZ!012ZE-vhvSMa#!JZ5g9^|=!>eM`sMNhyG2wNO}IpXUkg~87;Tb?8!*VY z-yrOFTlw6JN3UchjPc;E`S_kVMD5cG74D^mWx~8NP|hxT_9XX{?PHszwT9GItGF-l zt^}*e`sH1A7gGM<$R$vJ9mccpa(VmGSxbXprmxshmsxdbMgI+_2cROK*Z%cL(3%s9 zspnj*(O@J-1u`uD_vQQi?<~XZ_kWFZKMIXo;1`F{UgW$M=;P|I)RzjR2X8MTgjP1`<~j^*xKi+mo`;?))?9~?S#I(IgON2O{IE}l+Wv(mreKwjq;Kwv z_Mc(1N?YjSOrnQoTOs;6J={PS#kvvrBY*Tz4hsk+jk9ogJsCZD?JUq#DLYr#_Sa>s zO9`tx8>D-eNOq0cQnyC2=tW(N7}tB?=aHK`Nf3Ghzw!>+)9qe$3+aJ1-Yu-GyN>6eHJ)51m+A08MM{$@DGiJfeEz*s@u2H5UK6|$} z-xaXKnKos_!4%APfVZ}c8~oS! z7OQM$+rrK+T!#BPE>^SfYDbUOgF(SQ7orNr9d|elkS>yXW7-~c(_VyBS1abF+Jabf zK&)-K=BAnvl6&Fe!qE-|*Oe)7WO3Q#$-mTdui6rCwahU?+Asc!On!lli}%VQvR`WK z_vGuK zW1}?BO?JVV_NS-#TwvSnu_B$btfvJ0v2&+lKjANS)Puj{&=R~Py`@-(qRuQjdM`l*iCt1dTakFLel z)NT4F^35B;&@52uJ`q}l5T&213@=K3p7%D(qefMeQ)y$QOEF2fSn5ex=Mx1b#lVlF zmRGnmvTu>@DXV3wl_j(o-1V^Swvk9U6_KEU-xH8zk#*hbJ|FFyt}~CUtLr#1Snsjx z8TCikkYr`u576}B{+IC%{tadid&g}K(g>-s(H@A;%&rx?K zq-7j>FKoT?Tuj9G<0&yxp+Sz<4cC$Hmt&WXaS}7Xyl%i1K2c$Qp9k52i<)j_)u)DD z(Y;Ho7W3shLySZ|)igSBXvKY2{d?ikl6)I~sq!LP&fn z6&El$=*Y+;H9rW$KHIsWV}>a<Gja=4n}{gJz2md0l8?DPId~4&MUr|6YUIhM zh`Vu?b1jtq!BfD&oiAZCyjyr41P>c&C%F_{=7_YoWWaHd`|A$T`(`GapmjH7WNy7O zoCRaI=pO1k1$#1ptcnt(+{S;R~X+k zR?Ow?lyv*yz%Ubj_T8(%HFDa+k~iT6~u9H`RsHLC0CR^ zaOFYpc)vDQxc8RNellY^8@k)>i3GgMF6b&fZd-9!7~`NmjbCKVrm(0gSiVNX zIl}2)cIa2ZI1a+S%(C{EH4<|#&6el7AH!u!(7X>H=JLo)Kdf9gkd1=Xy)jpXrYJ)a ze6XXCiulspPJzpn57`#lz#hl0;4{9Fi}Q^{RF>%T;rc2tQJBc{)mLh{5mxZ?%Vbzqa+qIj-X- z{atxPrxJUtZ($MblhKnzT}M{wu$04$WT>iq+KC7O<8p8e`-?4@{clKf{DO znQ}2CAt%0}6%2{rZu4$kl0-U+AaY8LcW9wfTR+z8!EKp+>)&+Fxb*s4b9^GN zuTxPIB`IKgMG=o#Z*_$?Y)Rk2T^!f&bAWarX%W5~4=MtTIcf=`I~a^71{?A#TW2TQK^t z*j5Ns{y$)Zf_%`Mp-FSg$SewlbM-MVuE+hd{dOIoqPVuIN(X$6OC-}RLT)zk_d*M& z-im|m_%~;HJ!& z7zoZ>-=)3TjgATsXcTnSI+QU%mcCw!P}e9x2G(fTkjpnV{Q9Ut8IO z{{fNX-v0x7Qso-VT1jJy7RifKKKzZQl3!F~On8CU7dPUDPd4=FxR8Xs%P5HTf(fP2n4Fl;W!Ekj; zh<|gl4KY4WVH#LjdNlq4aK7Exb#`&_&_r7N)H4kWnlM|QsK3cq0fb`@*2Wr-O|03J z#Yo^^wwXhmx(|p!_RApdF{5m{P?Y{&7k;_=61JuAv)=ocRS6UO*CMiiBnDi2w;nCR zJc^VJhfy)`psbtN5i)eYVVfI>9{gJ%0y&ycD{y$n20w8p8yjP>*u;5_h+aWUO+$b| z*5?4bGpWz$@x;Ou8}Q&IrGkr9ULP;#O+g*y#Xf&c!d`Jj)hJ2Ar7}}0=&TXzztRgq z@M+wphT&|-^+yw&wh>ey1O4SS*y8>@;<2bM-!aj*V`X8aU8J`jyrBH}3n*57jtiy{ zv&59YXI$|j_t^{1MvBw)>95t=b25Dh^T6@O2u2Db{^Qi(((T;w7hB?c=L8W}>%WoP z{q2i~X4->anY_VcMCdy<*6C0{`&=zcUVLDbY>{P+sz!Gio758XQ0cgKF4o1x*Ee57 zs3O?^(ED-Ni`d5Z$={k6#OTrwy8rRL8yHMJ_~#K%@XFh#Iom6nfak0T5BT0p$6I-A zO5i(JL)3;Y&7(}}?a@IO|I&_+KY#00ZfM0X=$^~mqUu|41qC-Z8LP~$O7~hm#nOBG z&XEzYGR4r*YING8;QV%a$vZc*@~gK_vAyR|S;FTc>201SJqHP*+|oz_G0c<9HF4H> zXd5d{t0Mh1EJvDIZoG)y@8>@ydfCIa<9cuexB#+C)A^v)U25)s`uZF<$PlX$L#*&e zz9i!YK0};=!`4}LP74; z;f>!kfSUXY>Np=p#@L1Y>2fhB$>x6^J)Msg-WQ>s8dW& zlC9Z`@JfNKi@_gLQC2o8|Gd79vg9uJ?@{VBCHY+q0=JQ;3dY0`_T{ye zGjS{T`50*J#%-fp#tV26@5`H!yZ1uq^0O^oDYm=SQzhrU)n9KXS;W)5^+!jrmLc!e zoX<2TCm3R60_AR*ULX%dWptEE$YV3GztCe8gVeVL3F1L$;0<5-a1cH-kvcMV;WpU0 zNH{3(rWm%f4YVRQmMpt?QS7RYIIhajVvE|h4?s;b>08?_Y^2-neY7o3~9F@T4 zcvQ!>tr#2$v0WYWp#_L&N6e*_-V0K`aE~%ZI7vli#k1AQN7MM!#&n7mI|FbAiKVMN zSn1({{^sHdJp^eHD|O1G_WrFB(UL%EI@->=cFanl-G~ue1c3PjP3`Kwr0V3U2hOy8 zaHfL4^ImO1K?VIN%%h%Cv^e^sFWV$ksR`WDAw`2+HPq?gzaNsybtB{jq#v3xVoZv5 zYKh1e9WhQ?om*9r95q6k86D&ow$8_KSw?~WFuIR!BJzffKwtScp2IAQw3|^!vZl z=Q>qCb!{1r`MjS^@rEO75@WZl^sOi zNGzvBqIhY)+iFFzfebW#?4gd7W(QH~`w4rZG-n$uBBNZ7+->#)-1ws{yu5n@*M8M` z(8T+LITUhgDoXiT%cfR?v{OsXE!#>4kre1LGY8@t(%+&8Edx@=DHPx@4$WtUk>IzW1-^y;L4_Hx46+Kwswu!#{t!9GEPzQK01p!GTAh}F~_|7(a90eDJg6cBDxlal|DV9n_=No%rg+K0K`9P^q z2SsNSnbch=JKB|tc$Pqo;slP&mvK$6-}>y45Zj0qUl1i{jHC7OY89ZL zHftCQs&?hYXcp0p<5@+Bo22Ju0(QGz)n8W3FY37A3m zG>EBjN%s73U2};d0m}0KYp$mGXw=f`Pv03Z$y4&;A5#h6q4vLFF~xES@YL=A2OsmA z3j@??PTYW8;jsxK|17>wVKCElHvSMAj=S7Za`v;Q`% z&_sSfh%;qby8n#QgQioHK+XtCJt)jz>8ec=&{KF-_S-~))A?VP2?Aj09iF(JCE);b z>+3P?u&a?7bCq}url6fD}nOs3p$BR$I3(72?&EHWH=@7e{h_`3{&Ga1{qg8?avE28mFm1b1UP?QKvG*k zDWFBX6OO!m*x2n>awO{XD%vawMc_vFh}2Sr6@pEXanigjk5F@quSx5F2<;^l2PxGN zE}aLrfO#9=w60Mp`AvGXk;wO){t8nvO_{M z{>9s*&87=dee%$TzY)j9`J(!TBvThot1dO&t34z$la2z9tR$^!VfmBKIXldB1j8O} z$51R~>%RTwfh>wITSzZq`XMz|d}$|t^h~JZ!_1X=KOsa+KisuxRf7V@s87h-@X*9s z+<#v_)Z<>T_QbdD&HQ)L$tDifO{weAz6(dhmEHu?St<}{e7IYLf zV04+R6YLS=WLKeqGb!4(I|*r{da*=Dg;^1IT?c8B;5L9NZ$6Y`Qm-K|JS=ej1~UQO zRaa>1c$b)@Vo2lP7UupnqR+!gflm>|ZfByAvF(kQ&RtJPTqayQLYZk32ZjG>D$WX( zR>b9)KGLP+6D(f1$o=NX{{d1e0-D|Y2Fh->gOE_ggNV|$Y^N9a`;e90JqD@{i%PGm z-0-~9y&6M025vL@B*!H6w;ekNS#rb5o5&?PecBP{2U)(zeeGMA2;4Z=U1k=tawA9+ zU}`P=hO}R8_>*U8M2$^M0OrU5hWpYBmh(#q z0IvT5UMZXtn;Md)tt6E4N$URYcZ_uLc2~P{CcTuv3gm8>AkdRdj5gN~9SiD2gn&CO zvmKlT49VHj?gw9EJA;RZ7-xE=qD15hE^Z&jo71@w@UTj+J|My;?Z|Hp!81vqS93F; zuPmpt(cLclhdJGmdVh&VX`4^R35m*@`fmLoLYejJgn9n&(1GqH*F5HagmGZPYPQX5 z(;15w&t0!4y|1}Mu(~S>8<|Vq0^>zkt0ithA(4AXgqE88dlT%<-@MOKfi|snj(M&( zQ8+0UVFH**@DMe?)F#>&-Y5FX}Io#4Cj%>;FzC5FH(E&vO9&>P3gMkyHglWs0?&IHIO4I5y9C z;wJj7yFbp?{)n=*?s5rW-It*rh42(xXQc=%^tE##TATl_T@k)ttXvu1E=Ifq@8a?zl{;D(Cjlpdi}4LmpEngNm9mpZ;(zT5-HTa}D>89`zS!C8AhXL9???Mmo^kZtjZ^X=oQ*w6L#5jw z#00}b(eaQV$)94EoA3NNS~OVQ<7m>2vipb!1(fSwt45+@anvDYiK{Hp%VK412HtZs zFWAK86X9b(HspNa$@nDL-#HhS>Lcp1>zH@Ht>~A0&^+zk@ZR@_C1AtnYO07m@G(fn z96|)dtw_w`c82jNNOFph;eplTDoFRp$yjucKIB~BPY+)uE0a!+^j2eAwivwTpJ`hV zjF$s@syBZ&=+Y{Hh7hLUHtEf*8<1D|y|{Rle)K5q;lE;a5rJ0?0v8^eQZ-kYVz#hj zJ&PBi&*r)aGWmBcZ=s!ZPrTbN`Fw&6GJ*>RBwgXi^ar0ouC?OO-i5jQ@Dg*SboM#Z z<$uO&oW}wdKh9I7 zVaA-#5)y01M9{XeC&QH`+K*JoUk$QFNTBx2{yiy?KzIIj4Byzl^ietn&40G`K>n}U z5^MBR1B?%azJC)e|q^td= z43YlE*g*D6DWwiaXlWdDXP}?Cyhqo(mS%7 z(I@@MMbkbwb1sO3b4x(S$qnaOlNDIj^cnfzh;~P0imQIPy&1rHg*l|2w&%-VaU%8W zyF$@<%w`ds=5bO|l3+axed((4qsx=tIdODYhv*s}(N#5!#hEth+z9S*lHrN0nmbRZQUX#e571x$5{3tPOi zmtNwl@+=ZcfkV1osklbPiLFLvWZ)k`toe0W{XZsK zBORE3G6vd5gG!_%+k}0pTzViUJAth6e4!2@q0<*nkotUQ4PtlkuUTo9S_5fD{l9JM zi5kJQ8Gk;FYB>aDIea&@EqFM<{~YJl0}{kVb3NHiAnk;|x&PeJ-=K5p_qKXD_arnJ zlvVfT)8K?5={fEPQcTrGcoma^hCyo^mb5pLKb{(7eyC;7#h-7_JQf`_FyeZVU9{wd zHn=_IseFGcn3ZbY8D;ET=YI;EZ+?%;b>FaJQ(Qj9bTNE&f>Xbe$6@X(ulkmF279&+ z)cjLy976_?m8tu5U#pzWePxptVp6s z_6{f&LuhsnYq&^_9Us`0G{?*g-#G_5@kl#+zG(w3t+#Y#tKZ3*9T35?}aqtWDPM zGVX$?L=0VERkpb$i{8Lj{8-{YmY*IFb9q}y0Nn7S^F63kCVqB4_AF_}%XZjazuv&?khvHunz8Gysg&qnXW^P!FT&O1DA^L&~m-}cW+ z3&x)s0@H7BRk*x(Y#mv4#`g^uzXp$4%{8Mpf+dJf?#vc-O0p<{`@J(>41|B1`(l6` zZeDI__>6Yl$lTfIbJg_;r{V=!=g7U8nrZ5;z)+!-gT)1el$hZwupxz7iWR?#SNOPJ z;z3wpkg*{xl;P5c5r+v-j%9~Ulp}C<6K!IQ{V~UbFDNC#5)Ke_u7^3XDsg9=3kD3w zU5(3g+z3x(sz%rR314$LGF;e+Sohn#r&%2I*Q|JjBoUn$xtKox2A?H^;$?ra)DHt3 zLwo>Lkgs7GH*cwW{P(2>(Bm|scjj%0Mdo&x0V|}-*y*Q3*?wXzc%4||dG=r&Z|VH1<}j~ODu6S$5-;c{oC-hD z+l7q&&Ra2n%;*<$R=;pZit5fdNNvZ<{vH!Bu%9J?B1?>np;F8g%v8X;@0?y7B-O0M zzCZ+T9Ep60E}A@}y44X1+xb`0jubC%%ADGz+q#(%M9hu&4_rcB){SB9CQrTA@N*Wh zPyQTqIfQ^#?Uhsgyccub&!4^$)gj4nDofm#WM|rj@ua_lb_wGz54(34V`+{LKjDFq z?S?cw7KQqJ5ll`73P@J3159Hga&>ZNAUw~$*qrCcee3WCKer~H(ZL4=Ddj%-`WcMV zc~Je4@u4l=8!RTH;Bn#pV!tgZ!H+qBj9=FpyZ~i6CNfdFbl(H5n9_1A{PgTCoG2J! zPV;I}!b_Ka{m6&Faw(6@d_Q5;A-bU_aA)*7t)BQ;OLE34)AtNE!~WXWUwn0w3gW1B zQ0o~I9bkW-6xZwm3&l~-As(Lnf8}Fda_;M3?NFFF279;51VhkxDO!S9Icg)Xx8FdZ z1}PS8asFsN4r4!ErqG7YGCtFf@+tY&`?a|)k_fO0jz6X9=90!xr?-E-MBGUvUbFJ3 za1I7kHZM#AQ0)r}g$75{5bU;u6VIPrr z8M?Y>X?q!KbxM64eWLH5u38I%)#B>zyeN#xHzVS$IHcWNcYMrt1gV#Jm7+8mYZqu0 z3D$GXbMv-m>_b#hruv|8CiDc-7I!(S)gQmerK&6ZM#Jz$g2lt(`+(xcN~ z>MAk}8?|VADsdn1Sc%N})$V{i0}s3P_ZFc^1u9pgYS0}iHIHssQT1;U;Bi3jN?*)| zZBm+y*fDIkd%0i>k^9OQi4({)f${$mvqvfsNA{H(1q*WTSGCWX?WlK;>-WE?p*}f7 zf{ix8()TOc;JAg)b{bQESk}&aiAagp6s&r{oQO4lLFAX4=0Z{$T)J(0q^cQ9d83{1 z%ePj4$^?W*di_GP#1#BQ{?O?}_^?65)ZcCid-y_OU)EDoF9qktTO@ws)}+?2+~eBK zn_O7*u~5GiD56Vl;#9Bbx8QOh(QG|r7Ew741txQTVp9i>Cqa(Yd;fCPrhaK z&&AWJxBx>h%U1=J+hgPeF_Y#u$CmEsrWW1tHSzhrb;tZn<`vQJr4eWl&F^(Yq&;ms zEbbKm{)EA&hFqss`2M!-e8d-OynU?;lO*uIq9@hXQB;VCtDev*Lf0tUPI|r1tvcUz zmgg5n$4;+Op}CvlJ1fHXM*#Q65&TACf9FGH(!f;k4jL`o*LNUbfhx&0K9@+E@Hk#) zO1^i99gB;-0{V_z7C516stUnfCQ}e_>qfzkjzIFo9-}X_8eGAxtTJ^|(lg!JF!;;; zD_Ca}^k?h0bDi05zZNnQ`b-SZ{CM{|dqd_%i0@mXc{jMLIb^ttAFK7aGXKXHqvsAY9iMGXJb7T`MFYgVm`!c`9UqQlDXU^G7mk8f~ojb2u+SlHu28 zbmnHRBUjsNsc~Sc_!%O1Lr7+=mTlyN?z#`H{x}GK+(R2DiX<_xmIf&(3dvaVa^X0z zNWk5*h?CPUY<;auI~j_D>h_R-7!&iY1O{5iZ}2HFaY9yJ&xWLHOs?EW*w_y)#e-OI z@F9nKxspUff&|n$6@%zf5}GJ=F-9l%?hV{Ij$hkhXViOI(WsX)9&XFMjdLaiMXw6_ zD~`@*aEtqhK7jwc-duQ zdPBMAY&nSc?dX0uz~)5EJ&;+reQs8HnzHlf7X#A`18u^|quXM6d=AFWv6ffLjSX>} zE55J4Lcemp0fk1Fmra?NGfZJr#z6;GPu!?*k=!HXOEXrSZ1v|(RCOECw&Fc*A>VWI z&%?wai%0MM29|q12(*~&Gur+;A+asxAm6pO6gCRN*Zr2L{cIWeC(W)pG>i&WsK55Z z#xiZ9wU?YFIwg}igR;q&`9v}9Ff`|6)0#fP-MWDPjsj?$ToU!UI?tj?cf&bc@<24` zF|c6i9r^3sLT~9p+nX#Sce{~e$gDdp)q@p3la~`cYIvm@cNn4!f5$%<#{>f>VC3%s zgCPhKGO+&3f}I0WFMPIz$yIw3coRDm(kNSKQ-A)w@&F;p>IyF*)MF1s`LvGxJ%U9H4`dkjg!x0H^BLPb=eS}f7oI&X9Lr&eXK_ubnkU$ zfAZ+6r(peMui-@#9&%BQ@91qbos6yOX(h`WA6vtMwpa)Q(x|@Oci1tmv~@g8iSn64 z;%}%Wh<=mE={LWw3zglW-ZR^d+80JA6*2>hjeNlnQ?q-)G5=i~g`CR9o)hp3iKNk= zhra)WsyQ*?$jv9%{Y~$b@70nMTy_7Axo8$?ixKC@$xr3XS3J(x5$d;*zc%93s=ZM{ zQV%w^6}woU(opg}-6Q!~xwL{qf1+L0>DMe6Ge9Q;F=%AzISs@UyBrM?X-M!FP2+hW z=Q*~|#VV!jAW7sxoMQ})iZRw-o2r13H*LIluw|F4uBC^5Fd{Pg=^*Z_vc0$Kge~!! zEe8i$R4yKHlFS``=Bl1=EB2ZU7o=M^GEQ*FA$qbK^dR2qHAkU`fxm^B82j%mCJ7+o z{u3{vkQF~tYI3itdx@3ARah83L}v=7_#9ub`f&+6AgHf(>+fmCna20!M#E^hD3>g1 z9C96pB!n)~Ua0cKIx|L`SgEY^}&pI+K)KY7h{sG-pxq-ZR^4q>%20s?s7HrQR4ibwuLw4Pph8a`UxX(aKOp zr9SL-jfuAmbr;28vP`X1Xqz7q(AQFnqBN0jJu4syD!ytJfDk49=5DKBPyKPBQt%b} za!}eYG~uniPQ6x^Li(j7{;>0PrhXQe?}76XG!q#KN^lp(_iIYV<;$wy0 zp#HGVBwODQ&wm5T3sKQFu0HC#ztzFlgf%kVk2kp0Iz=dF1~JwZ{|{84uYRkDTX1(&6dn3bqkcNPFvT@i)wwyFQaP{@=$26#gB4eN!H_ zDBOilEH?_(y`Sk=6_+#wsB=xN$`;B#uq|ZCY`J?Z8gQQ3=`2&A`o+o&=tdO`sz>yh zM^)wP?kU;L{~#SaqM|BcDBi?lUD{hmvPqw;=INzy5P`rJ9H<6#tdl^* z!cRcQf?s8~vRyu9@NZW5guaZ+Qn;%rdu;T}n3(twFr{>J(nrxM4Xstw;eOC#&prmAV}Q*An}n8yq+RU*ETA2dQWlX^ceoq8t({|>saJFi%=v%$T(i_{TGJJ0nPI*<=Y3-4<+2q@22^i z^nNYZpH@AW7!Id8^rEmBCfMysxSb1X9p;ttS|A3Ka1TCUe?TM6^XrqkkeMM=)F5Q)P?DYe$oHrnZ@n6DlEc_AYC2hvrZY^?)z&;Z6utx|i z7~~rm*Z#5!PD$HVfzSvAy~ZdJCLPp5{PPAkEp;n|rqUl38JY9(EU(Ce4o*mPXME1@ zA0Q&{_6patvVBXguZpDxJ zkF5UczMosr_-U*QbW@u@7TVUeqL@)}&b#n-qtoFr_st}i<%gau;_(F{&cBJ{F%-$^ z5T`6EiAHCRlEp4$>8IgvDvt1m^04i=?2{Lc%u@10euL@~XVwP|L}8H-$?~ImXvnar z(>duCMm0x)A{|-nrpYPS3O(DskS$^WMS*)nv$cgIp*3)eYpIr6&e#}SDpZ+n9_~EI zFD#49%+;K`K;Zd!3-_u@Do#qOZ6AI5!<--^JEVQX%as%y0@%-r<+e-NW^X>^*SOoe zxxUGd6$9PSR8p$fLm(lf#JeydC|HD!aQ<(vx6>}PN6Py+1RA%eVlbx^$h z8OGwXh1_hSQ8e-BfNm2wN*mSJn)MW-`w5C_s#$BAoaz zm4(IeGC9FhxZPYpI)Fro<(q4Z4-^u+Y7>mGB?_XNtqlx%(2BMNj1Jj|a`P<|;dApJ zz``GMU3%$aMQ$vm3xm~M&pX98G6SEhJbQw;6O6=g$VL(8HR{rT;_O-S~Fqj$%3$4 zleS-96|f*s{)63}^kI)B_-9Ye8;{R=8<|3nAG3%rvYC;6mmq;y7c07L-0iqL`VG}k zd#(|-4VsshxtG7X5EAxFaCP>+t~Y6jLXni};&zCReqGEBjJBjB5I1qk;9o}e-(iw7 zM41%28Eq7$&GGE|v0j7c`|$u3_jO7Kgw`+{jWun92psbx=dEMM#yDR_m0?0G7T=bd zf043Hf+qRfiT%1q_75JIN9ZJBAD?mwcJ}r6ic?r3OEf8OF{@j~tq>RP2_mZNA}EQ%h}DyROGCv=k^ z2d!S$OP>`o-~4) zSQHbEO&$TLP<_Zp4}tas;%HIw6?99^1jfplQ=p&(F}!K9YU-tL?6l z_O!x*&h@@fmJ~lf57C13v}@?=u!N85ay^eGptS zkn7)-=D67EuC&*I&zXz$mPwXJ%{K6|aRJUBsgdIt5nGFYZ-XOF;DnMl!SrA}a8G1OaAb<7zSvn(@)+mf@v4twNaC#KNQh9n5`tMe{g7 z(56)?+_Odi;}=?k#-=RR1lXa^jw;0s6oRx4)xpZ05?k~&by{Z1`Y6a#^1 zG_3y*ND=&R>LGhpl4*cgKk-6T^w}LhFMr!x<8OP6Q-cf3yUywPX5SNqrVBjnn{htaX*h!YVQTR7kPWq)AwVg8#k`X56p8R-hbK%YkcXfsMW zInG38W6@N$BZ7h8z7|gVCe%f@JgxF?F0lFI>h|G)ekOPR8SxRG4HM(G$`?`!U?Hwm zR5f7#dK7UVFjvjv9Bs85ph1DRG{Y)BK?*RVOI;!l+j)^!>z!j%{DYwxKlLoq_hrPFdUyv%i>(x2=sO9)FD{bu`;zA6oTE}N$pehkIFidha}Rnj?1D zgNHqnx~xQSQV5%F{LE$Y{&@V?n8JDc5z5p3Y>YTL$9LwfUc>wPkTP zd|+aZE0pvaGztr~zsb>ZcZg95ZZ3h62RBYHzI9RNdB2?y0OK~n&BrEzCSXjnmEHAz zD4R=^EgEXnSCF51X}_dR{8*A|uTJuv2+qzL@xzXRsh*&hmJ6>S4tAS|L=6y(w03eNdxF5~pNsBw?k$0RME`w^AWrvIH>X=cd%G_qyqwbb^=|A_67D2)Goc-;;4X$FT8 z(I1I;1BI(kFvx4TR@DY*^Df74))qI3c~We>JK*j@YMbD_m%9y56~2OHRh&cvi5q7kzLgq!R*iB+xqA5f&WhTy3Ui4%}cCvPCEZ(%P>zd1$MRM1wWd$iwjqU81tk(>~Mp{urlQ zauM*mH=j5x;-RNY@@&d~5fU^^6BKYedp;(tt6bv0pGro%Aq-}j!k`T-f2(BpE{|Lt z`JHWu-#bt_v=tqD$B51kjkUiuGK!q0k&R0DnJRR$9be$m;=cfe=os4)$eG}fi8YFE zd`p4m{Qg;8g--)ibUaVW#k0h(#M)tlBuiKU@qjE%WFM5v`I}MM==1ZzcUWg3LL+%Y z@I6jxe;hSLzpumPAjM*0` z@_t%oQ=H)<_IvMVX{sj2gXQm@)%s`;9VcIqRg}IQ1~=q~Gz+9pDd9e5(lh(fQ*x<* z`1Da~TCefRJ@VuWceT;daup;*sf=qh&BwT9cp>8Se>txUVg&u9G_ZALiRan8^phlb#Xg$Mq! z{*Qi|tYM{lW82OZJiK%=)#Zc4pP{R~6rrsbl5%{S9@gXh%2ggV<=TvzVK>{jckY+h zMd6155e%Foge&^^?;l|Pv#Xy+G!dsgc*~E=rKs9W8G)i3s8G4&w30PIJI_57us?Cp zrWlwP;8-H3$mO_v7L*~%tbAJA$OFi`Qga@aSQHhvjm{sWVsgB$!>-Hb@pQ>p6uv5} zRwo4c*PF~@>t8dg!l-jCj|C{T%K-;O7G35GA$aS&oyAv~`vHj*o!#Ce;;5d-Ptb*|9z7r69<-9g1ASpo08>~ z&=RDwU$(C?Wv%v0mC4JCz;o4kWCubzMI7@{72iSFt?I)BZ95hD! zwG7k0Aza}p`Y67VYIRSpUG_#uG2IM2;Mr{5bb9Bhcjpc9uOFmKgXM20J0)6jt|8Df@BjjU3ZgzNP*Y+F`W#S`AYDfnd$ z?!>|wa&oPpWWpY`t4Se_;~{z*N=)au`|2oq)+_S;502aC3AdeT(1>YUa}k_mt=FEs z*ydFc(tbMBD(W_!oH{6RYiV#uuZ+JYl_WhFO2Ec?2{l#>lXF@0c`zpL&nYx zJR&3F4$;zghtQME*gzstOUY_9S^9}zAj2l3r^ERsWO@d>YRQ;)2O z=6Nlr0KB05B_vH=9BhLjJw?s4ANe56#&{rKC2Oag0tT-oSmoS2DzAEz?3bT&LodWb zm~(U-=&nVeU88Cr^Z~tfoe4Aese32fT3PX~51H_?__!4D=vD&YUtYBzKH|+)Ub(w8 znEE|CoFYr5fXEsfgDQYNLW~vrJ?KM1b#0=y+*Rwi@3)u~s7r@PTl@Fma#;nQTTnAp z3v(Vw*3=}?7Jf5sz`XBe?ZpF{SgHHvCm(dmD;thTitI9a;ofyVzmdoFLMx0;ulX2E zj`4k>oUq~qS4r}Z0WXtZzUb@^^0ebJ1N_xCiYCm6(@qQDQT19exri(QHcGR_>FRvHrb{e2KpYJ0O^Y>XshJ4~-oV9Bdf^eWHg*Eap8= zw75NhhmKWuS$bx=rJZ%ugGuUG`7-$t!_3LIgB3;DSC6m#h9eNdAJ&Z6ib+nQ7Z5!M zEa@eq0*+fXxB`fg!1bZigtzhMtcHMn*0ASV~q8h@NeH^Vwa_zc%5YNX>=M5NG3;LLyrSTt!%y?JgHE9VKX39#RTMimC(0Fb_jrmB&D zXh&_f!nCo)6X<8H*W{-Tl*e_?A&G-_+}EP6C4*kj{g>av6haXUJ*2%}}jY4t<`&5SWIfN?%Ne{!}Dne(z4$lyY8|QwHL2vp` z!nxq*gE>r(3DQ&sJFI42*2t1-k}5mTw@?CWJa+=<>O859!_Lh0qJ-j%i3}`{m&v zW6TKv^2#=YJXsG$j(r_MezCHO`fD7ZYe=DoSfVK-voH3s<~vwmyxZR7B>WP>qA zkKSmJ7~QbZD8f)AjZq5HDhP-=M)wF2F-Q^pf|3GCjFJ>72|-#EkPeZ0U;cvUm+N?r z`@XNw=RAwFsla&~5za>-#ER)m8@r8w29E7Nqyg*aKL~Qjz)U9DX3sJ&JTNlxaX*mg zzV!WfGcD*$dWi-TYVyYz1{Zhsh1DwyQ_0MZCG5?r&;Ou?0+1-7wQe)>J>k*e?Ut`BvZ(kW9 zScs9N#XFiC7wDp|Y}7XBaiN){=_(W>8h7>FAuTi}>g#0H*;=J}&9m$yB}a`EjusvF zMrXq>Z0Ubj2#>|NvKzpfzrLe@iyF5@n69NU&N)(FFJiX9M0mp3PbIT{?s5zv)j;n4 zML`e+?a#6_RqgG-d{^Kvxl>#1+3)Ljbod!u8@Lh^%X_{#bJ`r2-U+V9dXwo_bxlXO zo;o+2s0Lsx&DLwI{*i|3ts#3--Hw@G`qr%4XD<`6ypc?BDVo;F@^~zNzPO zJ9@(-im`mWv)AA#}KTWP>kkb^0!Ma!^*9){jO)Z+!zI@~cqNo#%I7>>}I}c*3ipx$q z#>cm?SGM31--c_6cmkvK6wt|nF^;gR@2M5_pD~$PP<#4yTPKfXm&QVlvpg?8-1F9m z>M}BEswqMHAyN$P9qlV|mL0!2!l?{hx>{0@Ek*6#Tryk&<+ zM6oME!7MO_-;G)oY*+}=jEeAPv4?}|$D1P-KRrI?*t(ai=&nvgvb@=3_8AV;7sHmv z(4S~sx6A}^{6C23w|l<|m|Ko~`cGaGTEIQJ4z=L}fXb7mGvTELbitj~pHOInvHWKc zG`#$S-lCVAAzy3jl(Zgqs@RO{MR$ndb&kzwD$=8bGE_bwMn3B%^DZp(etU>&By0HM zlQyP+!e<_)P5Xh?T#$=M%TJ;E_*4kK4vgmtA7xrXs6`O^W)iL$-e(xTd>2VjXL0jR zpF>kwIs?$23xNf@if4#-Vrr4|B=jB72ey5oeggEBZJ~$!l+Nc|>G(~~CJ_s1XG4Gw za&uImCeTCQ7b-X4T~>1tBLL9ZS6Jy-mg30ZsI(s@6y@94Z|!+vgwU7VK(s~8lT(;2 z)WezAwBRmZ!nr^F;@7E7O5zgTQ;Bv7i4r(rn4Iferx zAbknJ`YHCufJMraf)+07<2Zh$e*_@6R71F*th)BzKKR@hjbe3t_L0dho!Nnu*rID= z|1a6hf);(vL4$a;w<0(fyV7MnR^mjML!`RNKkbN#WE23M@}(=iIziOE3hf3_$VY<$ zc-V{cz3oAI8`y0BNEg3X4;hlV*Ik7ZK!HHlVq0{}x+`PR3`nZ%EhRlt*A3!ONyGAG;9eDaJ?sw-Q zEw(IPJ8L`1`likv3Nu2Zz9{qwyw*#2oCXq7|Lo;23P9thLHuUj2p5Pk|4Rb?IsJ?; z8-_fHxMepvkQS0k@bRRWiRcX*u1Y3uz17=p!TtL{e`*5JIDKk`O!YVLg7 za-~SE=$HVy)b201NC|Rd(%6Fv`z6IMG(%#;uR%wl!U_A=#h>`tw??P|^l##4`ins` zypzZ~uwOM*vi-;oyXz1YM8p*3?Vw4)^XU4X?73&HMm0}n6=4tA^fNJ1;t#{L*hNyis>)9iy7)L;@Z;WGlkOy zL86--rQWlgS-u65%STdeY#K3;;E_+Yz}13qhvA4HEgxC&CIb&kd6A~3F{slpx&h+^(`Ycvh=HxpCRvKk6HLb9t9w7s zGO&29hym&7rY3)+5@(dr!Vd5E{A7>t;ZV&a34?!3%sfu-eI7Xbkmml*f7Spl9cIHI zRk@fFeZ)+;rNInax~$oBQ&yrwC3Yr=Boa-u9lwS5%eP8ur}F&AVzp9#1{GWCR}-lN z0&;`1B(IgpBho&$5)n~MbI4Ch`xG?w+5pO^@~47HO8T-q#^GnCMpN&~2)3~c8Bqw} z*$Xc2{mJbxmU-@57j{23H-#hCbrT3^cDv?@GBr?2!CvSWG2UgCdhPZ4AHP_h4>Bl# zfyo%)Oq$My*y^&9(UIgp#Q-Q?Wwv6z^zU#7x3%<2?tC@k5t8i8fw*?T>GL_5tboh@ z^bewwAz{YPN7x$g53zZeo(uY`f1ROL#GB2dJUf3j10t=$GKC6YN2$nqYv>v`1h6Q` z>wx(bZ&EHaRkaE|Q7_DE@SZFrKlM&u)X9npJJ+R#1kina(vLT~aMLNzmAhLGP9#Oc zH5gZ1sLf4K=jQ{OL_TD$kAkLdgKL=#eIK8YnFeH=+_-M(2Rt2;U3upa*Iect2Z&$} zlFNp}haQjpPSv}QAV|Qx2VsA-3EpFaRX&W6^Hr}m^opK;1pcuVd(0U10KYgJ5y>{3M|#o;NBI!QvyRvuOe71_||{GDHYkCWroLs{%j0(Xn92Zj7-1aGn{9VE#xksiRJUsw?!;PPs>& z?FcbLH{<=re*Rg9=~xUzQrY5?^~ozbYYJ=VCR-uZ!*ON165E4t;?bWHoiq+83Vqp8G!l?L5XjF$Y|}2CRqV-C~H9;InLx78}G0Oty+8P{8g?5Fzq)6cu8b z)LUWxUc;>c6cU@4Nvd=tT}{MAdCoV#KT{$A{70MyncSPh*s9@D>|>h!d?`rojdTGH zhDfhXbOY0W3dz z@<+qR-Zx4zSRBQMJg$d8leQr>r%?fKd-2Tb?e(t6AAaFnJO+M=t+Ds%#H-`lo8Zn1 z`M%#(b(40C?<3?H=M$O_5fFg4|Mk?3{BCQ0%eV1iCWX+wj=VzB*uU32*{gthWhb z{{vL#V@(mY%HCEN%>N)_rNtJ!I%-%I z#6FEPe+I|X9TetvrnE6IHb<`ba1JAXc}BA0A8|7PXI|QT5P>fvJ@*G{=+08v-sz`Mo2+w0`cBV@Mqpl`&-}@ZY&16oHnA^=aE#7hnbTOqZFrQAHX)N>IdICcn>_@l`=GAY;9AAC(LT)W`Zq2z1> z0zmkvi4UDz8JA8gzmgZOd<;^^G+t=ZauKoGdv%7&6wGxf|ZOMwJq4> zkulrS?l|SU@OA`K>Ldqsbo6_7Cm%`rE+=DcD4SIR3q;#LBEcihq)RVHBljyJh5ElWr8?Upmg9xy6LMHKzhHWz|>1?Hio6~PI(0@#EAqI;) zEVDt2pfL3_zFMSy9qybxIEa)tg^DwdW}x)gZa%yG@?v3!MFNwt0y>beq`l}_rZOBM z_~HkSu7o7z>CF$jBOFFBCcZlvu?B>PF&*Jb@LTumX`8pd()JDvC9;SSurr%R6<06J zdeFrG>lrA)*(Oi<&xJFwmQE-7r_ZLnw~NPVl(ye`aEODz>rb_97f1GjjRd(~#BP3D ziw8d^3&A3ttHqexgO-pmCdI*#@(3d+?U}$Hp`F$<N>wH(tK&-YKCE6GNh@w8TSG(@cxQJH3J?;$r>Ux}QmVuky9G&FQ z$e=1196jhuTf&U?KIqA?qdz&q$`;VA3r}Xuct|R_Wki`sh7c|psZmud8?7Q6`w569 z{}$G*gE2vR(*LTIMZy+V)+7o1c3v&j)61L?+qsz5f;;uW-=2VuUP)?du%OlD+&jB8 zemrP>y0vKit)6TNg%C^FIu2dH5xAEE+QAq;JDpO5U>c;K=M|GcF>)AH#Z5>iZ3^ZR z1R0X17xqC*SZt9+`;+RBT?na#734L$uZ-%siH*Q7c;Wd&RK}3+|P1iJ|`cw+z3=cs?JEZ;9`zwF%&}Fxq zauzMi)BSFPWX|hZLPST;GDJU!%n9W~pRLDA{n1m1TVPw@vobjyo~maWJJ1p|fy1P0wA*3aw;PcmZR??4&K#wQ5Ai}^%M|MoPA8<&-< zj~6;CE~G`+jPfE!itsn#bzS57o0 z^@3-ZG0yU2<3Oq*cKt{l_(0P9cqb-fVgnP1xo&3qIiC{VGsqLKfg@aXSk%zPL<36o zuK8a?>$bp)2mZ+D9uA{Va@AVG&+1$lwpcNn_OS!v6~vPUwl`@kmp6FJPZX*~byCKH zqKzTH<-#a0-LU=V*G`kIdHaejq@e!;=3BSh z@X8-493|VwWHajNL1yK%YS}%tSAQZkAJQ5{WpQ_c#EhBGEZ&GS!8s?N1SdJ?!EOMO zp#Y+oBOlz&n-|fDnqhB^QX?D!8_*5WSHEWqVDxbpi zG)Cp^bJsU$EKvRyxibgqEU>hLE+dfN&3u6z{O)h&Pby}bI>}>;tZ!}h5r-SeKWQqp z?=0ZOAHG^z6bEMf*3F}}Qx0Njt*>@c`n|d(8sfLIl&+hoZ3>QVFbCJZI(8ew$zjdZ z>W{GexK~>Pmt1_7G=R`9-6TiG1!vZ)*U9M1=)Rn0llP*K8)5FAg5P5tG+E%!YdRyi zo~o5s1kFNiEfMdU=t(&IC%l^_r5F;%6;AXHyD4Y6j$+ zzQGGvRE2miVIfPNL)atnj4W7AvMSX zEC|O!&q`2!`PK6?7|L@mx6LlaaseAnRTXQ^51yPXJVyCnju^A1s-691)jTWX9WUjL z9ZBfL%|i|n4r6%m?gD2QDQO)oV8w!-`eYaLTP9YX5v#%6~9c3Gh?RDK>=WO>PLXHJ6a<^*~+3>^y)r_+(>+dG7J> zRaUH;hFi*vXjiw)4{{3IwX%O4Lk}%`?hBt{A{0&kJ=K6#DZVj2xBe4aeVerFtaLYPj!b%#;?8fOe|Guz} z2m-}RJap}d#RW^pl)4UGx95guFXm1z$S`4yZwBx0oIce7_5<+agTw|wJ~F-1c@qIb zpg4cU-i^0y<6bxsN48$dBaN*v^BaKNkOyqN*(rRikL5oY1f+(5V)(#2!dOYm?tQcc1tRIYZNmDEn9%_pzQ7e4O-`JmMd(u>iW*F55`4JSA{wjQ3 zV$LM0NGH3$1R4R?KJ@bo5sU}@x%}r1!7T=T$LnXjtE%cu09(WL78M@#BY_Qy&G{0y z_?D04(Joak2&+-ky$7DU0$ck2k{_QW%Bt80aUb`>D0lms^m{AQzLtP<+YvesVyP*0 zk@MPq=$vv~$FP~fDFuGePV%j*f#x>gNuO7EGOW zI*0RlrDo0A^R}q~icdB<+_0<6@iUj0hsPz=(&dlmxJ;i_{C7lIglN8otv+07xg|hS zFKxUb9(bUTWuf+#ShG!xF$%|l_hsx<$Ie=f`S)19+Ya%YA+Rz3O4VIe3%$G+TZ@!yh3*RcGiP&3=x>f!sV?O(zIO zbeYKXx3lJm#+xEdafN1bwGVpxFp&QL0dOM8ASO1R=u=G3+ik8=<6^#*^%I3hl_vrl zimy-Gn6*#2{?XhdZ9-4}2e1ab10$HeJ^rHshElOGfzK&g)Osq>;^*2wLXSn+)w?x> zzxdaQ{_&%o$=jIXb|}YK@WbkpS_+Kk08=_hO)~K6_=ob8rMKAJ3R28|7cNpUBuI;F zJehwA80Jn~J;OHUl2}#rt$JxGmDe>l=$#guc`gZt@_3H9+b=fUjWe3z;FCJL7w#Xx zz7(TNR;{RXSZ!t@7yGl-z%DKOTzwzAI8?hIppvfow^{txY{VjF#|5`ldt1Bq z5^x^MSsKJL^A7ixcv0flt*z|LAknSSrra)$$9F;>+==@z)fe!zsB#de9+Qu}QMkef zWZeAmSDMGXybx*&0lSTE;(lu1Ke>0T;(LSS-10t4nO`taiu!{Yl$^p==VLK*&$%eO z?kx|XRvqDb`Po`q3r2oCAotIFalVP)%fMSaxjKh>s;qMY;&=q^VGQtwhEyrr$lfvN%{XVO`QBYxiqPl%bY#$2 zRpZq?5QhTtZNovnibaEobvhh&C4AL8KDNwx(W7Y za#0U9)x2bdn{vu#x}AJfch)})v11(+#91J&YnD=Sq5X&m1DR)|LAS4xLR7Q{)e-8db{z#UxOSbP%Vmr5i&eIBna+gVjtd{77i5XWm z*}c4jl~%j@u{~&I8!Q&zmEbz@)Rm+?B=G#a7hI~_)5vhn`0Um;wJNLT*2I@Ul2*Qo0=KWu1}0W)22xAzrjU5wiTI=O5$bYD9>FG{G)vm`Klg6; zE~Gg#u|A2#C-V;6XvmN7`gJ6t1uY|{j?Al(at$HA{!#Z0<@zd|PT@h1DlfgV9h`$V zb2Pq@q1>j3aVZCRp~Z|U0m$Xn)k+z6Qqt9#D4DQX*>o+~u8y<0lCDPZ9U#rwe(VGv znRaazvdAgnwm*It{q7G-ryt6~N>KPXvDV%#JNKIbZ`dr8U7)5p&GUJ73;Meth=nn` zjq!giQI|Kdo&FQWsFGDJNf=4gD3lR{626H?b;m>;o02LI2tDgkWbdKp}vF% z4(bfJErHO8h^33D-vw1*#oj*gL%K+RHQr@ylA1J{b5_ezAb2aV zrWAT?WfQ&;zVvof+!FeNS*~F4qf6xFOVk@qIuh$9WI9pwm6)mVP6X6W^I$sGI`x^@ z-DFKn@!lYIc#dKBrcM1!?+53XYRn!7qP6f~C@k=vk)jJYGgg`Y2(uub@HJ)-9?W}b zCpJJBitHUi7PW4zzTUoIk^ZT?G?!ru_y zxR5C?TE9md4R$oLEl0j$cfYq>V39=Okh<@Ur#M2B-@7f&C;`M$FG#>a1gDvr78|y=3V$u zee!M8e@VHWLSBVd42Ubp(3jD5yqa|498(zk1cM`dHqO+C-b@t;8w4(S8*Gs#N zBEZ1akR`0Fx%ef={&(3IIy|cd?Fc@oG-%rnrldw*kmTQdUlg9EAhpYZF0`>li2m0G zJEpr*|MhpWBwiYJDpZ1|j#uIyB;O#-_v|sdidYaF7%Ci{7}#A!XYsu8L2ja^C!E{J znUM$*2Le-KIgGq>E>6Vqc(8fz(?f10$TzjIe&!!-q@-pQsc5r~$9b^u77 zx;{s?!@o%Mgu_uK0e)tX)7cg^o8e|%{V9!VI;G;GhqNh*)vgpW?&!j!%P{fj3>Tuf zsBZ4!TyyXR3Hs%J6bIM>@<8u2S-|;8UO!wEdFL@Izv981GrO2Hal=vY?LRB2V=Nd(XsCM5&Z3I|Dwz_w z@Up+<63sC6^*A>69!1137L`Q_Rpgr54I1RW2v5!z-Yk6fFDcb4o%MT6P4gl!$*ePw zOT;;^4W_ZOMjl@i$pV3!$(eT1dSuZp6|i}+G;Zsg4=WjAVoKU%#)uExw2Bq8{mh+4 z3B-t;Kq115VkgH<@6Py&4~u1FH2T-V8E$Wm{T7d6Q7+AYqKrHI;F+3fq_Ta@Z^ zeWk>I>$rqFZwg`*9JaQS@^JJ}%ro}UrN@}g@Hd8P(=HTc8_w+B{rE5&71{9nvDk9s zWEKxc1n_GHxE~!zMCQoCy!f3Hjv?%kH?hW$YZMA$9UlIl6(xsWrio;rbQKLO?}oueSdoxjLg$6*wM_ ziNvPSzxD!K8vmXU2rAs^2w>Am)-0w8*T`?i|IZs`3&-U?;^zHyHA-E z-H1r4bZV%C;M`5xLyB0TOeWknR()HC$PJBkO}prPE`?W@AtG%J9&T6<_90^a%_$By zD1+ckO_yK>9~IQ}QUWy*9A1G5-B^=M>^@T}TY&MR|Nj8w!mT4$Cg{)0h=;$HU6?@L zf2%NZ+~Jw&zWj?E(YMc%2~kN~WsL?JSalZ$4HMr~hB$mYm zMWw5b$2o!^lHC-knebDtXvq1bQ+JrQnTp@IlL{3O%-32S({3>^It9wD%s~!K0iqiJ zQ3J0v&E?0prP+fduZ-0}01nB!?odqSXmzC=Ck{aR}FdcN^!#A36N4IU| z9dCkRhdpnv?Ms7{uYrQJ?xo4*^Q+3fF0kK7wk*&E1#gJ|r}**s-#Uh^9l&EFQOlXs zk_Zb`K+rjl&3JoIdjV%Hb{cJ3{0T1B z-fv-PkOL5~t1Aw!HJ@`cGv~YcC)hdi%6?~c6Us`(ZIWKU6MJKrj^X&6n{%^GBU&PN zybBSE1@UGPu3pG9wDX!mZi1X#WR1vPjFSto0<1YjF*6ftyyZ-Ddg?m*Y^Po6uw?NB zR9It3-RnV|NwjJ4Znj&szb@4vM1^JM5vGq!WWQYCE0)}R-Hc23W!a4xx^)j4g=hsc z5J13$r<-WBEjZ>HxeNz%T2iOe1y8LVRL7OuVKa6`L|KGTvA*wV?fyf)5!&}yW*9_% zdlsG&0K_u^`chiwH2H^FttNwwrKB@91PWeFq+@;x0Q!)1j!w8GHj$3ADcj!UvLMSv z1&H^DYI`!9m8FbFKFDdJ$>kbZB}#yIzUr}B{_tctBDurs8oth(XLCR|0&geG;zQZy zI56PUfnM`c#=Hj{;@aJSZ%;czaHh}+v*UxVyp0+99d1JL&dQXHn>bY zuI!k>(e{)g_`>d=z&FgzsdB29L8&Cfe8qyISrPcfxYyw@S;d)q?tcKKG{Ln^Z`L9` zHL2oX7Cyb|@>vTFp_gTaO^t66xro;mbUjPKmrkkW^&8Rt+F8Vj_eEE#|%#B=U#Y!;f;g$vC2x>UU%()3k^`hDSBf zY3V1RmK1gnAh!VPRW8BTgZ4Fkn>0vLZ`qg7t9gKGr2R@DJE?!BN`6#sw?Sh2! zho#p9e@4cVNrFipXVfB;azBB5wlANaPo4SI9{tg2`}B zcQ^7J__wn#tCg={zNdrF%6e4;{K`Z9fG$fj&w04+vw*jcjM!1?H;p%_xaO!e3Ca8u z-1Q6v-bwYGPJMrAgh8WYLG9*4qOi} z?&nG6|Mi*x`cM#~jHMKFs)&ovi&O=C`CArI<42BT@mLBM{%sLlRw=3)MWve+rjh^b zuFc>;#D3PtPT}{K=Lej~@4O};?`F|$1?+UwAB*Y{+9ggw{QKJ&9($E7%8agXi;Ch5 z4_U^!&^;jvw>Ta5$evx`3Rg#e1Q*3GYoU4ib+1=%2jyq$p~1?X-4=R^ej+T0tj05+ z^T9a|wN1e{McbCaat;6UxNqwBkP~XAz{^Q?DCFWZ|Gk9RdZnZ~-=t!}S*Ax~oC@8_ z=d@dt;yi?Nj813WfKR3D>pjRPFubfGWOFm6rNmP{u6%kC^l%_~UThe0f^{?P8}xX2 zglnGO=3{;#HnrKSt_X!w-?L+QBEa?dGGz6{s&$W%tXedO-Tf0cLRJJ=4vtyr!)b(d zOnYY8IX9fVsu(k~2X6Kd% zROpJCiH`Rg-U;)+-?=93H5>E%@Jh92cAM3u$ve=Kls_RjX`h(+8Qxq}prYn-9_jO3}*x#>h4zXVdkPhb+hA}3!q9l|M9hBjL5!!FY zzmZX+6&Y#mh+OPUyLshr@(!L~`2q4ch$!YQS2j`@cgOw@@kD|lx*&A&Rm0{n6Q}HT z!TN2$j0qMyTrpC#+%Qce}K>Ut!CW{upR>$cYu&Ko6)PyE)5k)AdhL)jW5W^%kH1KKNWv!jq-X z{^sDNtaWj8zWncLhSS} zP!i8#@}6N|lgo1uL->oy1FhD9K;(OzU~KJrF5&t4EZBh5+4r+pjJ*p$G<3WvsPjAD zM|?PF6jfdDzFc)ypy6$@b`Umg8RrFwvB`fz16!hbhe^3Zt@?jztJ}VkGgVUM?Q@=1JS8UoXPzbfAwo($ z(Tg>Sg|IbYGWgX!DTF~^eX0%8-s3ba78V9VQwfv&&XnLmvANvIbMle6cM^8roRK6&O*j8Uj?L+Y zixPzdu!i~d7HHh+RygExdm=~A-4iz&&=0Nbq(McQFD69Dnq6u-+Li@7q-KW(l{e2QWXj*4FOmK#Cq#|t z?^j*-XwE;_!`)cGDwEzj&nX#9^0en_AJt1xWm#b5r+Lgi$EiOCu0x_X1KHp2A~r;u z=ujWe_miA0BQDI+-)gr4Lo@&`7C`dh+1VC{R0Au3I5K!jx=WATT}~nHyeTO0M4h=~ zxJKoU(!v50PlQN#hjiqQWNVsBIMa&cXAT4gbg$uWO5&xL-mXNpJax@!nP zB&Pimj0`lm*G4-a8AJvP@|w_r4SrAy|Gro0^Ytv;x%Qv!I0ex{4}1HvwAnUMM>NRh z-gl(+JE5xw24kLyt#PmN0d)Us#s%8!cjNaJqzNc@FjS2 z1!_*0=Iq&$HUJ~A*dSR?9>^wB&&Ba7J8M)bO6(iE!7x?&y&lco`J3V6MtV)bn8Mtd zTdkbTZW4=Rk!aC;p%-SD{#!cU8SDkN${&vqT-1u7)w1wn1k^Uj#V$gdl*sYzMa&_x z_!?#w|L651TX&g15>6L4t)7%%;9Y|JyxD>V+0_1)gpqeV_Ed?)moZBr5t#6za{^OV z_XJ%Gd^(v{7}ZJ18Y~a$Bl#JPm6ahLH-?T>&28D%6Tb_O*`51?%N=@D(8~zmZ`qto-uPlZueH1^(MXNpg zCL{f1S*y8d2i`|rX^z7V=@bV1&@X-f3Xx!WON5e~LXS;%8NiWq-la6UV%_|Rdxj?- zpS$~{qLX@4(%H>T^h)g#%#{a;(g;|(xTl{ETY0dmJ_zQX{mYimeBq01bHdd{jS?VB z5Ii)u7A zD_lEiriowKZ>R-1i%NC)VplkgOSU#d`8*(nrw$vdIJgo(9?3g;Q)Wg07Ig8GtfXyf zTbH>WDbt~r2#4HZ$t*QbpMpZR*c4tXmP{DFIrOi3$~k;EMP4o9LqDRJA~`pu zFFwc9VV#2%5J81%(;;+h1`=VncnoUrZJoOBqw0!tTxzto#uW@J^C|e?gPSjSJTL&y=;uAf7R#od&ys}?2WK^NqklMqpMI;wfX)68 zk3?O=wI0bwochKd=Oc0aH&B_6?&1w}R(YeJSu8WG-t0%hit&Ha>e~k-QKzCz5syOe zdT+J~LiQOaO=6>H1*kKEC@|{5WJ1nhJbEhuxRY7Ndj-sGv+g%1RC_fY%E#hVuNY9T zWpe5xY(yhsh}zA$a5I7W^Y*Cc(YsZHg3Qcrf=p7&T3ZhnqjS=xi=~kweU=q}%veiC zd%Y}PVB6bNRg*rtztFG*wSvF?1*=r=eQuI;H84zaySQ1`Y`t$d2gI5rSx7y zr(X0s;H+xJy&Mf9l(~V44bJ5)9DJ~Mhcw7*@?yc?PFo9>eDn{k>T(9n^C_f2nK*|M zbP+2|6J5 z13I}kFjp6a47Idiyu%10rS)0RJJ3cVKYLB;k9QbORQUKxB)L_4aX+3d=TdW<;X>Z} zrpo4}Wtpug98U|0&bB&-Hx?($=5ii)o8dcuTP=Kf<~=2I-YR+xSw^8i%D--WP6i`n z+xq6ewn`bRW{hASy?!&p4x!?(ernnQh+NTitO$l>LIiWdZ+O=X%1jAsk=05(%jPh+ zVI~k6r{0_?Zlw>}WP_5dWFh_7Id|GFui**rW^~d{X-+JoV5hjgALt_}r(k zAP{#h-x+;xULYC?n7eBBb()H^eklF?V-;mPxUa+7u#-$>vR6$X-zW$%V;=Clp4*70 zD1rWFtn`ZF4c<<<=0K77XmgLRy`LTYUNt8Jr5iNASPS?g@m71uMERVHZN7$I%qPsR zXyVK;W2VBv0E7=pA_r~P7me`_WqsE;qRB2njB(T$mZ_a9IXbs zv#yS~>$FT*bCV7G;SeU_DUCJwXlqrXathpgZgaTzbIm|3fSfnJOEWT0cxYd1GOqof z_z6D2f9=|L#w2m&QQ4TUI8)4|w`^Avx6EL(UYVpe(3rE}EMJ$E<)Cimz~lJHf;&ET z+W_@y(%#=X(To(_QJUHBuaOYS@V|i~Q~r|M#7Rl7-spF}Qe|uGO1zlx<?UC;V3s3$kaiLX6f+sJ?uRfpdQih`84_x6?aVKX?Fc>BH2c^ z(a9+`SmO)a4@X__&LJZsA(2>WWGlZ2QMAGEHJsZb;gVs)Z=HUompArf;QD9R=$$2j z(UE21&s7l%D!@ZJ$YdPMX2G~bR$(;N4j4l{T3&XTW(dldYfEKzd|^cP0w|J-*V7f{ zZ=Czv2*p}}@8($@U7TE*58rpxYv%v%?Vh!OqU{PZ1@Sk=BW=kI;8Y7}OC48sLhH|; zYz7uZ$b#~Bo=ktv<&n}(wS@+hnX>bJWj&W~?;Cd#X4n;3Y9(od1}I4(CyS-r7uptquH~VkJgX8)t2X~H>(SEv@)zV&qzT&AnF3?2P@3SlGZme)6svSK z5;EL5bw&sk2reJ0VL20vZd0xt6VbEa2bn}tW=wNFD3LBps6t2IR~ZI53J_dbS9V!b zd+(Uw)Sk&OH(OR=MRiudjM)Dc`Ga_NFTA!ldeXfo^jo(+YUSybrh03^=xQSKa~_j+ zC!a8PYo{sE4iCj;HQ9A_(bFSJJxMY8YoANd8|jbWr@HO{>$kt_W_D?5;UV#}pHhdh zy?VJEtl;1Myia%%3&HLFcS$ymrK}>+RtI?e2u4XLXZxCCc%{dPPQ!hrUYMNU`+9=b znT$8YHBAQwFkme!OylU1Aim(qNh_*i*}mkDFMX$S8Pz6(d0y_j|39E`WOa{ftnxpg z?a@*zmw)@wx1#c8sbs^IGPr zPg8~l$Hz2w5wh@*_~ZQ39L67oO&J%2C1hm~Y1J&v<@&YH=bHte)fDgDmVs3A6Qle~ zjP*UKaK^yg{TWQ>6`P$?w;B){;Q-qb0+#r_GO^%vZ9& z-9;hW4hO+^fzdsQ2?+|%!DrbV?>J^i09=&=f0>8A>`YYrEaDV@0#Zm9a%u&=cT6Ka zoY;*d*AxO778+aN+k$l&+mP-L-36rV%qNN4PXtvi?ksiZe24n zL0_0-S#$qg?e%`?W!7`*Xs*9T;Zr!x!Ck_Q{_$^l6Xo4_VK<(ENaXTlDT!cu%*8@Q z=W$r*F#ZqCKr+7rZ-`j+6f#gDs35xBd%$yu2A%hhkC6ZXo)LDa#<~P#?U5B&5w02g zf=~$rTeu*}kevb684?2%XGQ+}AQS>5uqTHdu^PD6qf_6kaR}s4(H<_a1OgwI5Zf8f zarZ1plB)yW(@b8Z);||^01*_?fdo*bMK*o-g^WUP9 zAOQ`9r$3Vp1t|4DMsKD-Ek>UZP0BJFL$IJ=b_X=p!$qJ+7;@FlA5Uiat;*% z9Oh0>_CEjr;iRJmKs$7hzNk$ z8Ymiqp{ruPpaw*cO2Pm?V*zABO$3nK!b>PtTP#2|x;%U|GxHAB-U^8*{fEk!9a`@&5aSTM` z*UlegENm0cSO7@BJdd*mfw5Q5V-Nr$$YaD50ahA32h>1hqoa?=1klJwj&ITlBn)Wr zKTw9wU#zsiH;fxFW*~C*ibfdpLR0`1O*8ihuGM}&u!O(?kc_UeV-brib!`d-jO(@` zBD3AxpbU71BRCYOL`*P&JJH}kAp|&n@TAS(ykd-(>n|qoAdfFRnVdu(X8mD0HujVS zvkd;lY^Qh3#PcBs{Rm)CW7X(Bqh_HK4mbc%eD`B-+nXMRureOcD$T)bx-D{bMej&tn5H z%mdHTJi&HF;E&u`nH-I5V#BZmNU@?3REjtSs7pWuS-ODozgS&aF>rj0D#+*%-F{3a z0kvstYt|r&yUBe}3{ViWeXPS((G*WIxnMU+i4WW%9EF^21pJ61+nTktr!EmnZ{#o5 z1O{X)SN53@y*c{`5DEx^p3Oum!i@q5H+`m18m(R7=LK;(gx9)_>}_}(h|@&HO^3#!o&2+$(J{{S#>P(Kt#Ie?<0WBBufGE~ih z5rP2O9YHng2m(?^bWhx|Re(-^a^eUOOpQ6gf)FVxybKfoLQDUB>BxhcEyD{% zm2(J%;620K!VpXZ>u>D?nN&d|!-i&D6Rxi3yJ^(Y3dOmnC3q0%X&5!-|NK#U-DPGz-6+6F!I0yfs0W?n2K+vcaDtoT@?;};F&%Z44DNVC>VL+PiSG#C@c#h7 z5dQ!&fAaN${{WA|5(ii?IS4@sWNdiBR?&S&8^~Z`ZA%CqLx!Wld_%$jiZ2tdNaid8io+-33V@JN zng!p0m_oot>EogS1QINXEfz`#XW}3>+_cCKfMwuNg1isZOGX;@`iv1|L6A<&2=^2Y z8W=wIf{Be>unXR0O@LL9nD?~lI8HE*p1E2<2*IDFve4zwSKs@m;st61P_c2Dc zphin?n079NU9~ZuS(TNS)6oP&Fg6HezEY?gWNclt*}xIH&8`D=)Z3!Z+6Y+CSeJkm@0}a4+T@mC^_(zoRgYX+IceOin!D1SkXem^5d?08!A7VG-2P zr(z*7NM1FX7zfG#izu-7%KmF&1ONo|XdF8K0L|IA+xWk$`=9+de*XXk!&;{J(84IN zgR1d_%h44I-V!U=G$tQdAV|%1f4LbhjqbtVPK}(_c6aYhE2Tgv_K)^%L5I$j%3>q{Vhwldp1z&>sfHs(p z9$-sA6}|a&h?=7Add{%!gIbb(${9L97peCTWf8fbxJ!5<&QIJZPN)DEKr9~qumL8m zj_oYy0VWMu(UhVCvFRDuZF*6Rnk!yxcMwEGDR z2H*}0&q3BLzmA}kx&9bLIk-$4myBB;5i$=6aXNnCF7Tz{0~!#ZftL0kP-am54SGU> zMRn^2@(mwz4FodCg~qLP!25s+;y<*S_6t5s+no>f%gD}5L)O6 zBB0sDA8?Dth`lf7mbQf(%U4GVLG#{(1&y5>fPS)yIL+<>?;VN)yiQLzPC(vAIf;k| zU@RLLp)i9KZ#`3E790Y^sD%@tR9iiBtHeT3RHexL!5|uzbnG(}hO5E(67>cH(=R}rjMHBF%52#idmuzA0irm5 zV1_|p(Rv621_qi1=sot>0BC_j!w?cdf+wnY=2`%ku1jOe;B-R(fI45^6&CpFN5VWs zAPzeZTj<@YL=4%*- zDo|Swm?#*lmI34V)(sYBkYs}em?&Zp!l2?Z3+!F>r z{3H6=if^>gfT8mOYJf)F=?;Ty@1zTq89nU*g3|C%h6|7uM-W#0o@ms>^lAqZb?0WV zHAP;i77Ktz(9i-1Ji+@AK@8Ku_MDl3*W616iB)0&W$p!LNtlFx1?>e1BbsCG_=I%p z@n3+1A(Nm9*pI|K(1I?nsB4mZ9gi3iTL4jepl0)^HYe&jLETodqya!0a_lDq9+Q9o zfIwNOVLSmW@frl(p3R|T2%3%qejyR{q0{LS1XrBud`lghz10*7fT6AB-S0e9tLp%i z2rx&8f@O$Rt(VD#I_am%ec;=O01&{XdYClRSa6B+81ObdnVO;K0DfL(6eO@3E{{%q zVF0!bZ|*^jl-pP$2qI{J@;A)N0U$wdQ|4Io0xzr!dC1E|yfyCtGB-*Ve_|EMz^4H# zLlw&B=2jLgBh{qD17J^y@f7G_06T#FrZfV}qv}|o3g>(bQ>+g_j^6Uf0E=4&AkrHG zIRsvUFs2Q369mGBTUyiF9*xtLZVABqu-s+D27JK48wvu^*V+b3prKY;c*G!4_dakp zk#VPY04za}{KRW0n%UwZXKi~zNOyk1QbxzpqFx8-GetBof0W`r6Ip>`1B8JJMf?z7 z%mMUIEB1DILNVI{T*w)?;y*Q_`$G4HrUSpy<9V|QI-?fzM~lz9~u$$1+nld zBmiZ!dl3m^ZXN_aV#X&R@KTigCUGB*qFGIa(wbi7b}}@QKDm zZZb46owhm-@|!75tEZXp4$4KMcq07wJ|sTUM*RtmrX zGdiAl7$&mD@UKWrYC$EA1QJ1$oB(40fHJolJW#>}lu_svnAfrGAq1aRAU8lB+(Zfz zRNjyxplmE~{vlWm^9AV&0*|7&dq6P~;J!iZ?LjbLB4iN^zNVni60|>11nVe_g3DY~ zLO?-akl}qjW(87>Z;|5=17`w658?qJX2Y$YzgUg|YQA4_1fSUc z%WkSUxW0;p@QRX&OxQW?%q@&~54Zse0xFM(+%wX8nnD2^33$hp4D}OGIv&OgW zU*xC_M}Cn|7VZ*i)m`~Z1pv2k>k}UH7CD;6gvh0puxD&2x|?8 z-?R}h7M#QDf62a|+5kp@Ej^G>(SW}Z1R%;Zb>HZOp9?!I6Y&U@ zfC_=?ej&6A0nnI03X2JO+yh={4h9TOB;q^_XmtS_kOI$vj=KdFtq+4V8On}eK@Jo0 zZ)jF!)itDsQ!h|y>f%wLL-PBDPyh)C9>2H{dRXYs=h79#iau@mGK@^q>O~D#1)tdx z&I1&@U>M2m+Ytr^3PJ+qUc=!i#MXdkz~Kj&9s2fkfl^9gOUWOR1`r4UEaiTpT1jB` zbc*t%h~<8uO8|Ft{6J}v66JoNN_Hw`I_~QRQdl#F1|uSgEm;!oMeB87c7qc07CZNP z+9sD+SdudY4M-!57P;auZ$}V^1fa%P51(1MIvy|b8E*$yez5{WxHV*macIGAtofgX!Dq=B1+1>4Gysp< z0&q=0G6B>tS){3bzt{~aya!2e38+#L)YvHIOcfB|>R=IOLLI7+}x?Cbtn(&`KzDAIw55at4_XiDWb2C)yA;cs>C92rLlD z4?+~60Is$C!2}H|wSeUiw(I`zSOpr~!|D%|O4mbs8{ozO7BlF7ae&KeL1#Pm6`f`D*_VPp5CRGJri5KA;`cyuT2_4AC*5C?bagDmWvikc$T(M@8Gp8aI){NhG424K}zsFanC$!xBv% zU4G+-0U&5I+lWR2*j5kR1w`7#^!=A~0pZ^laDf6x58_bPN65i|IUqf7gX##2 z@LL1!CyWiPAb?OHn&c)?sA9Cz0u`Wai8fHr8Lv>kFaRL!s_kYA%TNVfvmAy5fI74( zF_W=iK&%u;D5>lsC~Mx*p(uAgmqcM?TeggN$^cmT52!J^z)a}z(iC7?E2-)09Rb*n z9|Y7O2a^K=!eDX}qu@%D2!v};qDf9T7^n+IY=CmSEgi(**$#jjNBFwLS_ z$1rYd*vl8sNJKiCK`5Y*%!(t}j0FgD+u|DnuOpwlKmo8dBd9OhUl2r3H}&Z(b!D>< z3oHfegfhj=PBLPi(YA}m`C?=N2cy35r2spXdHY2e+0k0Q#0(ZL#df$!M$j(I$MaI^ zKHv?27Jg5-NKwzLK=%cl9^GOa6KBqZ7Cph=0tgtlG16$%7sOUc(2K+bF!+f|-KqwO zjA|h!@-~LXvf<(wGgyp+hOlGg%=k>rXZZjoU40?DnFYjj4M>|QVkJ-zMQdR9v?qW~ z6D&bspSjH3ddEIF<`*{-y&)*!zMvA2%7!R4aW}j(Maeh(!2ACI;Nnx4{thT{{KV7v z=3vYh{1MFm0KudG04w{5{{Vo|RMG(Av{^g&!KVre1_cjcH1)rbf&mjY24R{k74l$Y z3@Q3PVqgLR^RJjJEGQhs8t6}yLg|d zrG;+m^dUgF0dyab%8?N$5+$U}?n#y)2VIziOR#ao2=Cv)M<7v5jSh2j6VlJ-2t2Zp z>mmjYitgOT(TJ$#07M;pzeX}Om#OITfKUi@EVQ*#++(1Zb?0CYP$#1uqS}ZL0F*463`$N`=3GoFPZOktBe9Fy%I&M37 zLL^2B70DP#PIY>Nf~^2~h`DB`7%jA&QF~Hy>!IfDs170Nj}gs4@0{Y5^nS zA&qHl=|}DZ<`8@YX^bYikC-r6Ve`kVGVGBAjDP@v;V_{L^{^$@Q5oRE5wB;=VpW%h zxL66W4Cut_9q|TAtOfW&IZ5UwBaQ%9ZXo~}HM}7~WICEZQ9!02W8!2ESMVi)33-e` z^ZYj8$|fAR{w(+q*@066WIgE---%-kQ1*b*nxMkO%&I+J^4_^zU(#0%pLk2Spl)Ca zR!m0SN;*VRzXA*LM&p7%|Jncy0|5X600RI301)l|)8p-eF3LiK_uAX8h(NDJUA&)P z@pM+;i8Z;MrYcKC)H_H1ymM^UmHN@Ix7%rKvergXNk`lJx15xL`E-~d0Zt>%w_+u& z8*gU&*}T`;lJfXSCkhMNj7V1$SZnJhopOftit2(u4l}$F0Nsf6QA2pjaHr zBGD{zf8K1A+L$R>R)1a%=@d+u{p&4W&z1z>>wnqV+y2O4jt#*XeUW4T037e{Y0oyi z)MOaiuCU%-iY4+eaR`>DmQ4QuZryT8Jp&FZxRz83Fy61dRSWp5F||NaOTYfxZ0(|J*V=9OYySZJNjHMDME(#%j60WP3U4WaQ=x_eN{0J)fNN?c zusT|r(*FQ1m(Be8e?Rj3@3P4@()(rpZEU_<2AXT5&Ld3m=5NDE(hM^Fo_{~3L>U$= z^_L)e8t!-aB!ZAY6K15LrojOOS|2Qy;PWo6RzON)$UE%4kD2I-sKXMWP`}x=XyX>o{8kAJi`Z)&g&ElP^ z1-4}8zp*eF4E9x$wx94_) zPQ>~vCPTJH-wq86qKlrFNnw`xnOrOVhgZtELT;>@h9P|2obZ4ujg~5Z3*FK^JuJ+blzyYUr@Bp2x?eHSV&M` z=WqW2?&e*i%e5aMOfrpBqXAP8Y&0i=#aBVB^T^V?xJi+7P8syE`VlPbvVALtO%~MVTzt?>n7AI$1(4q%~^dW7Y*Ghbb(7>djaX8SDxU|oiZPk2- zNW=Ws$SKe{qr?`#>$#H!oSN^eZM7lp)jF`0%7k{_f(7na=JCrKiHgCra4%87nb82T zhu8WxL1yuudNKMo&8t2??crKb5~gAn`+a%^7cPtG7-q-N^5G3<-x*@e2Rz0dm~3QO z9XhYeN5S(;`>Z@YM#GFsH;}SnZl<_!)coy|R@>eHUb-9pY-26@{X=zWccLeMU6_15 zJJjvC!MW4MeX&nOTpH%_T2gA}ag)P$c5Ce-fPJe*J(uJaXXWjyiKd;nZFw~-WaQF- zXTDDrpoi9rZ}%-_srP*=p^#{m=8-Dp))wj7lJv1WYP)C|t0(shy-u!t2ekXu8Yf*G zKyHEarmcxNq!yBi(=p;`7v{{9byH*|655!tv`l;KEi)?ybZ_t$=nZXSIIfpxvtoXld~xZR{D#*y1yE<$$; z)`C{rZ=^4#2=4K_?c!7%$b@$c$j|k z;gWz4tDN0pT+DnrYEFj0Qxw<|S_SF02SPLQ9BVB|V_7~(;d@OtcF?+TT?seF9_oSu ziWw>P)%~X-VAC#yvf=I(zz1x1qb*#(Mwx0y1#vY(_|E{9Y%?Hz8^qpais$@f9WbE; zmx-)UIOTy2w7RGrsOcwJt0s-*3ij%PXP7=PEka^N__n5v*>sWp(X6)o(xbZ_$}`00e`?m|8b~#S_A1+iFS*MN!pZpw;v`47kxrFxlr)m_-|7 zl5tfnfRko_2oA7)VCtPd1xx`XKtMF_!7_%n^)Z0`INw5fI(Z7w59?5>_Tto%T?UpHKvhG+;$uyAXGb;|;vl{a7d?S?9~R z0;+!@oBZTRm7C>B$~2_~1)9z~$(N1RY-q&e=~d*gbf5t=nX59C!`k=07^7)mFJwRY zW!ez3jtCudixh&3u?q)tPAij%veIY$^f<)TfTo^_k@(OH>r8?|%1dFh07Y9=tZw?Q7O>3X{Hb#khKN(>{KLPU%Js}7CA%#>pl4Fc$HWO zQ zZ@tbuv(w?LOt5VmIp*6u-g)sYAo@vjR}C%mjxYyIX2u(X9u}e!(k>D}5==+?gu@y@ z5L-HPh_Dyl5IAXmm<;%=ng0Mnk<{!#;`!N6(>W%{RVOGc8)n8r%=Z0G+F(g&E|S{P zk~dhi02}ijE3!i_pB5=Q_PD!jSFn3*8y-o`5Ea6FwrL;((Brn?Cl`w%3pEUNNr;rVvC*Ojwv%k*64jVy$|c5Gx5g^42& zlb~9)YK=2{WfP2_@dc{>g%h}R)f1-rLSjhS3RGUr&^4piyItaN2cLD&q4@bz6gD3E zDQ@1O0z;d85zMI(ILNfvSO8k5k@enLRqo7>e*CPomeD_L5T>3LF0w_E4-7HyVnB36 z#w{+l{ebh=oxLYb7lK1(VVc=&QUDys>dth?+Z@$A==l0*!q2GI^Hew>@f4mgMA=%< zej~;*^alqSX=%(l5J9j;lNq**!NWvL0Kk{8L|WbaQnNX;rAIDj4vO?X6h%Fkh8UHj z@WIaZ{R@WZx#0j;5l$0V&<)ZlOja;U{30?1*$rF>+;lb{!<5vdj3cUr6=d+Ek#h*;8FK63;`uD zl?kWuOuZQbfxtE^X2E6X5cI(scOWpwXm=y#9l!*HxIrnt>Hel!s7vc8kdcSA%6D#? zA$0P*{IQyMQ7^h$h<+*U$hEhR2cn<5;a1Pn`LTL;!xO`Byk4gM0G=f_{{T!v97Rqs zIJKm9)lSoZGnIypYFuP}IKh?f`2^gOR@Eit`_c1(XgKv*Y5F%i+x(@>(low+Nykcq ze4~w&CulNq7p^4%6_Hk5lsM|5SJEAhFaH|pqm%l`nGJfKxCVep}BOv_b2;?^II zNjlw5%LZTK^k^-+csR=IfwPyus7+fynN?|kJ&cl%Aa@K|38kTD{{SdkbgPU9aML%& zZIAr*NXnF_d}vWo*extCrw4B=YdMy#gJG|$x}k5+R}*Opd`~#}k@93kALcI!KqkRS z+&T|oko$+3nRXdM%4uzAvFmCAVb!EA%eJn`aN_$a5ulJPeA`iCiDDz*t6nhgT~Ke| zT@*=waaLiFx$%Huo+@4&up`Iw{{Zg$e!+EF;t>622oEGo{zF0cJOEywihIH$m>A>+ zfg)VxWAI~64^nAf!P34+YR7^esUsb%-_>N48v?Q(fayRKLcufcGZa%4=)*qr#m7UF zNi$^^3=mUfwN~HcFy`&BQZ=LJi4CKpdYxs$9G*OP#V}m`2CI3-ds%-3E+gMS`y^Fh z4;EtOd5qEbC3-=1iGPU%qe#0ygoz-Gtn|ETJb9Vxbin05`I^~=c1SRppo6br+^)Q4 zkE+)09f~_?p;~nw^ev@-@%vswhzOnBN4^@*rK`_ur;5oPAFJYqX1Yz|_NcD8SZO%g zw-SUe=7OR+j+JE^#At2v_N;^zU>j^r(v&f zOgr)RqrCCf)@q%3Pab+>Rb0A8Ka6O9Vopcy*1vSvnU%aFsoR*Q$(+ZA)7@14J<{xS z*u)D-DAw|Qp)(i>uR%j~ArY3!dP|uC)=~MTre>=L2CDU(alh!1-5#-uv48Mtw#BLATMvQiFP58*+FANjy zKiLAwC!NAmFjpNq78hJ92C=Er{p`qM!)!5yJe0leb`Ly9_;V}W3{UHU)Uf_5vhz|Y zYC)Wy)+D9p14b(zn8ZzQXtZjOU**0A6koc0^tAzb9&f8#oh{vu33A#CZt>m);5V4H z8KitM^1xHL&T$$hN*LDFig6Ga^)9ZrwJR7f-IqoQx3*`FyBkoFO3s*Nxpo8NS-&0H zN-q#JNT;~wO-Z{{_hW-T3@}z(PMA#um%r`W@zcTvx%y2$n9l3%O&8pe1knhrW@GH1+rMwF!^-5~ITgRS-Oe=Vka~#o$ zSMs}{zSf;E3O%$HwZ!PeP(~Y%hafdHv;h}Yih-0v2r{Y4+i_bDQ<}@@50z=X2h9Ec zX#~Q9ZC%FqZ@U-79J-t9ceDweZe&`Bx5|a}u%N#h0U*gYCKnmm>vj1RUs_a5{{Z+Z zU?3y+WV~qZ$QD7Rv@P#!j(79%(YMjvx@6j1Lfxcw;pl-psUQVE{8A95$B`Q5ENjU? zE&-1KtS4aFY4Dcie7ijPHV^w00@Fw+(3{nfuL^~Vm@|DSCfGXu)}v;G-z!NK{{R-E zocBFRROB$4Fm`9G0FJFey0Q5wE-J15@fDwC1POXkOKvae|H8muO+vB&{4CD z9X){g3bot90pot5_LT03-q3Bs?Vv=f8`^z*snVWX><*@7{WEOFc=!TSvVx$|RDz;9 z5o?ulQd!g>_RSsCTCop~nvia8Xl3#Zd^z-mE|28~v*&9(J%3gbj!}lZ$ z>C4?x^f3Dx%|V42w%gI|Uaa8Q`DfC$UTqU1O3()+PUGZG)V!ZszS&XcdPgN(td0+k zxpp>?&dau90%VMMuJ_VK@kU#Y?8IYAr#Qx86N*?h0lh4uiwu{!cQ(7&z4D_N3So;< z-Hn{aT`gswyXLyz;42@LY{5*)+1k_ej_Jn_^pdc84jUqpWu6S{0JA65H z)l)z^13;+;#TsUEA}n3shJnrBLrjG!n%=tvNHM=7<3 zUf=$BX*buiaB|v0FGGGov&ddkc^0}*=o^#L;{DBI?W#Q_ijQ+_<7QbqHqgC3@!Ui*y+5+F6m z5eNu)A6vqrR4is>-rMh=Eq0UX?T*ckTFUbQ9`4|}IDnH~53)nyQ!sl1qskiweERFM zr)XY!jpW)__%9*fIPmT+=KTKv^EYqr{!0b2i*L%kS+TJKS8yb@$099p=IfepWwO4Q z)x)%xfX6)d%?f?pCxT@(C}R?ZIBlu+*wz04Z@seHY?9wSjF0Ry9PDK=3NT^iz_b7v zmz<76pZ@4y?w+n5TgUykX!=dJ3>Tv~EQ39Uzwvb~+Igg!%O&?oVXx1u{{TMsC+cF` zG&aw@N@?!4g}ftZ2)6U^7>^t83sLP?}#PV7q-JO+3=?wAWc}*J=L%x)jA> zgl@#v+*g3Vd)pET9j?%k3jnoY-+dBBEQ{E>gceN({A=~am9E~~+0vhO*XcaBeLl8% zLV9_YWbFR{!!VDp*7oIEWd)TWZbEP84;V_S+I8L+z+s^5)~BRQ8;9^C_V#Y8WgqqN zB%ax3kt<@7^D5oWNRW?xkzdf>(!I-eFRw9SY`yh)sGm$Bm%fl}dI_PGc>Xv1@3j16 zIWtwAD`%fuY%6;#mx&#E>2u*aXFb>(?jA*U1`Cgs5BuKG=f5{=SihC4D=GXx)du;p zZ*F+%jY$@z%h_eJOD*?*>UWe-L6BK-{S6Ffvvz*8IRwUyk9mo5)qYMlVE+L3*#hSE zTe)q%i$-T}Z=1IBS^+XzOcKvlNi5%Kboj?si))M}15J~77^Dqgz2a@eHuUKedIU4k zJb8Uy_x@)e?H@b4{gu7Cu*(IMQcbXuE6pzd0Q<;DW)32;KUP8 z@I!`BIG^x;qy5}CFnBOHzv!_lTv+g5`hUrH4kd9Ja^=C`ki@a@8)S%b{NZNI)VGnq1ol0u+pqd@fu#?E_IW{>^{LMBzx64LDQ&G~sgN z{+YBKw6wHq4j8yDTqzSS6yjc6Ee9aAlI^{{ZmZxpLys3vgUs7cM{i_b-L>mP?r9 zd@r1{oDy8W@Lf+hvfuny#`tDiFaH1_kN#IKU;4R!q%F&Hn)Mutp#0mo5(mRIVW(^{@W`#fq^10OA%!tzr#K%a#8C))rt9dOy>|{{X@N z09t?iMgIVSm;V65$4xJBH2(lA{{YTGmncKm4L{5O0EO_l@xCklZ{j3z61_n;ZG%}d z%u7~YUq~gRfG<`JsDc4kFkE|GA?1Ue6FR}nC63J~Vog+d9Za-Fg_4MF2w24` zS{G`-i)i+c+C4(y%$<4HgK5L19if;m=xxz28mcCMAhxkNg`IDt8r3=xnpE%zwMZt6 z>Ogdvm%&~Ul64;;WW|d*(W_X}rYbN41lkVJO+2A+MpmLAjtd6SfmA_bqaH+1)+3zj zO3OH}LgHG-T6LxIxGrLgQoQL-gtsWQiE-%yRI1@}=6E0DGM*>>Rinxg%4N6ecbWCF zj+u_zq{t=`;=?rNFfu_a_bcHQ6xSBL-qAbR^uhg#!=V9%Kxl^#Nn%R$B_CzlTu>%#4E;;Y@C%4vK!J@8r*NxO7^%2HMyeZ z%n8bV2KO7uEG{5KW#F|J(IF#}`zatmO&BQyLay@grfpPTrd^{d86gTsBk`G|9nefm zjYGjGE@~X&WHi5vlrgP*5TtHfRSLMBN{1Qh5EnU?Y|^$>#;BlFG~*8P42@HBvh=yj#3`#xrw1^F_gFg{TzV$swYkR4kgEQnFE*s<`4n zgEPy{B~{FHGJqOjv~Sv1Vh~4o)v6C#9dv~+L!Si*Br4y;8k;&rMv7kW&oG${bbINSaghQ%Dk#y)Zp{}&tW;DvxCxuR|S8!)GRS09Hv*Q{Mihx zRPQO}K>87-sY0_S1w|Sf%4CXp#WW$aB_z}MlG9`A9X?+)!L2nRp($Sg&KFr_IYN&g znMECA>!kW&-eQiaetJxb%TKJu`NRrt47?nnwNXTZvhfHuGvT8gLzDQKl$vJ%NtPDs z8}|Ev4LwF`KW(GI9`ithAs$e{5Y3mN6+=ospkB}U7a*FPzlm)zUt74A&E`?47t%U8 zGZN2?O9aevl~_W9#m0Q9CmHmpO-$Ap+Q9J#;=4?fv)q{{m!!}|(Ht&0glZtvczoVPVv@e%rGz*%uMEfl?l#p0bseL zjE-QYhZ&*6KtO`fV=Kxkvs8ex3n@t%>PiI57#jp6Bke3#>-vLb!Ab@OB~+*}{{Va6 zgtgw}Szo_Y#4-Ivegt$9=w)q9L<*BdzxD&K?QVOYxUEY}Qscpe28Z{Rr$d##RbxZKfxw#YM z)UfT>PbeB)nRx}oi2)7mq<*SRrXlj6X2ZQg1*#)Xtw z0RjTht^Ankoaz%>24?&e`?FbM)$c5QQ{0tS=h_HEwH!DVFlijr))6RX%86p3x>{_( z3OPlK3XehID^U5lhcblb%&oMhXjp3m&VSgONY0$ufErr{Hyq6*$(Du#L_$rkBrP3# zOSUryrXz28M&VRSG3dypM+K@KA7CGeX+tDhD5rf^f*!J#KQ(|zi{AOV9U?y z<%L?EqGR&Fo+5^$DJt0K4Q4TL#bN0yf3b*GxIM$Cm-1FyOJ|{o3^%U)4j3(?>mjv`^DO_bB;x|xe z5gKE|Q=Fsqv?l0lDht!=yg@oK*tca>u-Z0D;K6As%9u=8u8?P% znCq3qT0_B$my94zOi3G$+&PoM$J9tr`BA^nf3ibXx2xXG%)=Ww?SsJ@I^29%MPg_OxQPp2 zrXEv4XQOb4a)OF;iVZ{+9HE8O1@(xfu3Il2oZVs;bl_^?nNSUxQ5!zt9M}B{hHsZ? zwAYoK6&ewOB2}j>MlegFU$Fea7n~*Vrl}AW03<*}OtQ+$u6#%1?lMc-4kF79V4!(- zB}-iW^A>vEF$g=x1lLR%Nl6(>k1*(v)H%!Ia)P%=L_uP4MvA4vAQw7i`@f}m7Tp@n zS)nfzWBfG#0Hu&}jquPq@~6;<1_u4#xNE|fq$vaEO$h2KBSlQjbAXX|JK8Y@bohSe za5g2oMRGkToGj>SSbi)}<1vH)V!!MMP)4%TKXDSg;^I={t`AlT22TF~WX%53riBEK z6~0o=z_9YS+*fkH7XTO<$SQu!vyz)+VH-y7+EMmlCtb_g{o@T140A77znRa4OW?^* zVg5^Tre1djrgZeFy`pBzfolbD3n2vwt46+1QXNTAuIFd8QnU6;JOko3qe#H=s0KGl zLYqRQ33AvCYq>6MDeT6G^@Lg)=Djbp3sA1pH<45IJ42LLNRk>RRzMkSi4LC(rCZIy z4UI&*e&u*DOkYW2Lu46&m~&7Ru(^qHAXPSok=V^dMb4+RHad2JL3+SKYbjFrPJT0Z zg8-ZWn)%IGXITxU-T*ofh>o(pbZ~`{iLB`rNY~;4R$xVN@F0jL9CZHxq>1VE2?$s3 zpGX5@^7#|mMTF6E;%1islB?w4sZNkPQ~f*3<8(cff}o*ODJxegkBv3%R&Xbo`DUr5 z4ajN@Yq#9NzVE3>cRrz3ni*?Syfe&$7}Ru#H%I0>MA*;gv}hy+4<(-x;cu91^@u&e z8uiKrqBFWpLx_lae8F!k{pm9-aO)2&Zd0mLey3)1aJ}IJLhqL`Z{SqawQs7HeX z#LUon!w6+{pq}#bx38LyOO!}h2NWz50a2++7s@?&K3P#aKQPidni0>@?j!=YJI=m+ zv5lp7h8mmmO%b`Mm#GG9x(6xoQaTD0|)JQ$cYh~4$KiOl#A&uZO zz5G8=OSt(zlX4$rw>chrzc3=8xGkubzqf=c4WvJAe5LEd;wD5Ll*{R)9kF*2GiD;l z<-9Voj^{J6GeL`&#Z`XqIzg0G2(az!U`D%lf=bbTSZt5i3R{|yurxC%RYh$}WOa?3 zk=|mCk?VO_#Wc7xxkH*474oGX69Im4JmLqR#1i+S7FEYdzqv3Jjd0wNp}V`9)P}Ec2>R zch(wSFUt(q2J_Z9O4InlvNY4$9i3I}3u|`xEM{g-7o8Z1c^~5u=IaH50sB3|sr!X_HQF&G;AFygy5iD?83)o#8e`SHnoc zu~Z#w-dJz@SVDm;E#l_E`k7K3{@^yi1j&{E04HA)GLS&V!tM1fsS?r!-g8xXOM=Dr z))aI>nK`92Ei39jF2B!s)#_D^Es&HNOs4@&Mcop?i=1{+1f_HMmq$Wm(q;3tnx}b? ziIs$9ZahS5_`n#ImiO}oiWqPOn2o%TscAVr(%05JjgP1s%}IPbfhvrwI|xIZlMlJHV5b{{XOB)n74N zLkL-L2U(gEM1Q`r=@v5RO2Mmp)Wif;_~ca(tEA zBzJ}SjE)bnPQR`~P~QILMeMTlYlE~Hw{5)aeOZ`w#B_;sawFyA zH3f`G(?myjA`41iJNuOOkKN+Q(3&%NRu%YrL`#Z$J*975tIp;ZRs1EEpaL16kDM0f zf&@sQ9e(0If0AH_qTS{N&6Im~xQra4y%>fjf3P%PSZcAM=EVe3S8@i2JHi@sLSsf- z`2Iy_N*`bOEo(!5-^p;@z9{V%5ON`?adjLPQD#&&U>*^foTGtjgCQK8$AkcgqwNJ1 z4P6sYRyPRbN9nePoFzsbujPi5hSpBBe|xJ}X0Xu)O;jJ#52a-Q3Sy^lE2j#B_- zxWI)9%~XiZ&Q_wa0E|F$zy14ea|2n=4H1BkJ{YbdkMyzdh!NcF9UACPm`_@J!$6uu zveY#!#KEbI65FR~TBh>%fm-eRm}58bQ`iXB&7!&T91`Af=IG4|jrB6BQcfPSf%{lI zzF&r;?B96k@nfQJHF><%Vt~Mev9)|dh?*YMC#)f1Wjzu0BSo>7Y~7cOl)2}W4HC%O zQx6F72F*I%2#3UFN-lt;$x*muR$DVTpS6VC=(E;~zhm$4s{>Xi23y zb@h*!ovOcl!(i(F07wR&UEye{zBnYR7HyYAqv7o-fyxgc&3xsCFKUe5Ype)Btg(y- zR&22Z7Oc1{XjM_BE)saNu$B4!d4>ijZcT%RQw|ly&19RG-J$$ zk+nKXU_tA^Li{!Tp)Z^oUM>b89Q}90JCphh7Y2qQ}vSOM2gyZgBNiyc^Tc;D~0bz9nH9bLJ63Z5<{n z%&2%gB+{rxO`^| z`i}e2WTG)J9Zmrpl9H4p32f|{+Yj^V1vUPF=`Fl+>CtRK+s}#V%lPfW3~aBVFKm94OKaQ3FJ{h_TAQe$P3K z*<21&N@ST~d^CT(C_JX)m-boUp3!Na#Fv16F`CS%rVTG9L38~J7$lA$t}f-UQmQ_2197z@^)A8;W(I6Wtlk7y-% zJ3mki)9lTLu5I-1C`Jgp=8es{s^Ng(CanI%2CjXk;f(9s9{&I`k>6iZusKFav}*JI zzzNDBU_53Bq0`KNe%@ByO87Vnap46Gh3K=WCwJ}vn%mk|tI`pTQQe~gO*o4PRN7$w z0BFTzuY{cVM|f+FpK^@TVpt(=AeI6pab<&lv-{u0#&&^;xeu)b=I6)UbFr7hE=xBU zjzk!c){e|JBfJ?*HHb|15s|RMzgVxrhLR3087iZcPKH}7WuKq32C0`zblV#72dE@c9sOc8`mkyabBzs>vNX|oyaGII|Kd+F^a zvtLv4&Ri6s&Uh02srY3m+x&^h%Xsv!n5K8P-a1mP<FzgycjXAvdYh+3+(Ic#9Tp#Co%_@Go#sK9t z>wgzHtUi?4@i1;13W)0pOJpz*lBzu)P==me$eC2CwPg>zK}Hp)ul8k5P`|xpI^XP0 zX6x|<(fbjUyyhmzRvA-HaZDpM=emURN)Xp)v`RK#h+0CTtO~+uBF)R80OV_xdd%E3 zx1e?L6hz58pz&u06_(xyVbe8w+oK24$%Y z7?i}!u_mG>N{2~^-Cvjl^p!JoTvUkWkz2sx`tYCLkhK-2q~7qFf%S0L^?%f-@E`DX!^kg=*6jJL4FK$m%%QS zI6YsOxL)E_8qah237r?}GEM}0c7?e!q`-j_5Z+M$xKbyfzT~0fOc-6EAe2vu zPWkeUzS=z^d{{%gzP_P&_wOlVthjH)S_yniA9;bhuiC!ju{0+0MArK~qgd*Ehtv?6 zZXR|=N!G{rtffPBP;Q7RlUaaxMzwQ-qCc+S8Rz!Pp;+$BwtPLHt8?tiwLobDqBN-m zE`emsqbV1Yi^fO_jL&44z6?j}m0vE`DTg`@uO*x~lIB_KCvD%B~J!q$Z#BV5DkzLmJV2!V&1x zu)(Rc(wQZOniEv6Xty&gG}sm#+vU8!9IwL>NbACviAzEkq*msnK>+~sexl7m2+htq zesBGZO>qp_MfQKOAs%ncxaAY)!<4N{CTD#mF}G}R34>j}VI3>a%KlGov58a+%{<|% z^81!1;R2u_<~7m2vpmy2=W2|%Sn{&FLxIv`8^vUFdod}Xd<^Pvzy+E9{Y+SwRZ|sn zS%y+H)WSgE{ZZs;XT;@3FJ;wu+#~e&AOboNNViFAQF%BlO%}L>mrU2M{g(mV_?t{) zKN=NoU#0B>l>4AC;Md0z*m)k+6b;xJGP*%f16T`qqcrr5683=krJI^9)0805t0NP_ zSh>VXiaP5A5AW)TY({89>Og!LkHl1G6I{h#FNo{IUM7?nO>DjhlyKcYNPct&4)aUG z6mRV#Em)JlfFGYjv{{Y5ha$;n1g-jRmnbiyPaV^tH9Iz@) zDYiWEVrg(!ff9V!^ydBBiEOAO`cqzI;7byGugeW(pu+R}W9l~etKwAa*?wSlmRU8U zXpaoqT^MYrwMJ0pjr-O0P;C}BC5v#Tsq z`)Svv{OP#G9Hxxk20xlZfW7J@Fb2 zk9&y&ce@lRKV}8o6|7C6!-HjKoF|$hUT|C_qm;dLCG390(o~3UGNYh}$~g&QYP}+? zDlE{R;%Qvbyv4&bpRv|0!IogAoKiC`Np1K;r|BtW@An3`If=lFG0MaewuMn*EM+>t>Gw>Qu#r( z@7_Fi9DehSuY?nY5U5J=U#fvGV!&nF)1(h?deX|*ka8X=dAo;jK z-up7P@|1jNfPnq1DFzDM)TgMHT}bO}?)jDX>&_yjX&RbQTwG01_F+AlNOt?VVC&uu zO>36ph}Efx#fJJ!96@%{^(Iw`+d^u>`JZtU(FOs9y^On_nJYH){rmYW2OM9C86l74 zsc6S(OuD&HG(Wr>z(yJ*Qrm%{5I`Xoev>F~e=+kzLTi;SrfD5`Fr!2n8}q+^-}ypd zN{+-?kkN@RGNte;RHZ?k3*b8S_9&q@zwU}?JTyXdDA>WpIppT%#6N6 zfT-NbhKIzUn=v9Da|)*3qX;0X_2wh{oMCK!)(brGJb*uY$|<$`OB;I1_;}{LYr5PK zUoQ+lU1fuD%dDmD-|`SU)9wENVl)|7*}l@$STAujZYmWrW)Z4YgrMBcSLOloCX^#S z`>#=#cD8%KpGk}vj=#!*Vf~0Bbd~~OVYbwNFh1Gu85-NXcmvlZ)7d^JTOFdBB=#yI z`M|g+DpOgUIE2{sa8v05S_j#2mSC ze^d-`Y8_!gaz#s-92Kee17+VZjc#O1QW;fYR6;a%l!3|8H4CE09)c3)pc|kk(T^8c zz(6e@+kWp63z~t#YjAaroEfM69CGCsSP53GddGNY?1T}@XhuIjDXfn?BV}A#W5v=C zSAXQPhC$wDWxTkWnz8yYqP_z*BFCxWiH6};h99r8Sd1(#JBLkO+?Gh4rYX5oJBPT9TEX#+q^4!zcRx$sLC}{_wPv59U``3@0_7%cD`&P zkSg+ynaUJ8z-}%&O&RXv4yAlbzm4Nt)flHEf!gtxs9+_^0H&cRg;sKu_^~`hBE`y- zj8~+-j&K(_!r&k3tzY=|QKEW4#me7!?`vgs$b3d289@>aJkXd%4mmV~4!j0rbw11s z`u-#KpAok3nkEh^@Zz?ILzJW{3LY$|X9ySrC*SsU0rdyn75N_VG`?+kTv||+oF$ye zI>w4^evp)P_JF|&<$f_7ChG8{`3ZdW{>?{(xZa@%qi)5r-(6O40Xai+`>fNDbJ^V5*~uWhKQ2cc;ETG23j#vT4juQVXYF6*{OguVu!5 z2fm7eXz)^9Ed>3fv=~{+Jo?0lGjl*CkK-Im-XvarFAd$BUH#bu5@289bPbsAFn8Wz+not*Vg2!HyBukzYZmELfrQfZ_e)NGRk`p=X z;%x)vGg8@&dcQDcoKO%IpRk6G>*`ue3GavDlkL5AGXDrb*Bc<0CEorBcLg5v!g!`8_Nl*~h1pa9% zx~h98c$%pm>WQj1^Kf#65O;}|ZbJ;jOEQ41n|7C^1Hm{=DX!+KfPuVX zuWN*P@`fSl>DJQ(JR%YEm~|zHDwvsZF@m!#Sh$$7{vsmRt$f5f-`Xu#F%F!*xJX_+ z4`~S+2&V*1h`lM6Ci4PDDj3{CC7IP?0_eeNt^LdQj}tVeZfO~{aAt^qmQ=VoMr?rl zi|rW|a(Rb&rRfVvlbF`or5(kBVl?ZVuaO!3d&EJx?KGK$*eOi>2r2l?WRZFCc!i1% z9<+BOQe_wB5{{E!CH?0K0kZtfSWr1kAA`Y}4;kPB0M1_@_9E2YbMijL_KL8f^Gq2{ z7(EL4lquASp|J=$K;-Jg2;7w7!p>LnLDpvd!hXycRMC|yRl8o&=Rh;){819<3w)$# z-k(SY=|vVPZXg#-skw6!UIq2LzGHBGXi@^ZA>IG_4|Qz z32pEo8GFAmts-*a)YfA3Vk)3qg7Itidg3*XkrLHrtiCpuj!*Thb%Q51 zY)Ap3Kc@*nus@qH<)iw3{hCKfaWaD3{{VM{J}E>_QB^el#YE*4axdi1ymU4!W{ehH zXCcRV*ERP@yFft)GzV0pc~ZK;L>Pom&XUBPJ>m737Bq;5E0+==3yEI|tr8@TfrB)* zE;8*i``!Z7W{f_xP-AD=hTPycl2jgY^K(tXqRK3Sd(Sg5yDf2m6qKNL!&w#4a9J3&Ev z$5bIdeB+}vr>sIJhj>;xhu`u8EE(NiS%ls?^bmeV5FK&x7)P95Rv^3)d9x`L-srta zyYnX4R^DgwPzDTGGoB1XajJZgH=^!EWv#DjVz^8yGj3~%cQj>E_x^t-WaNBH`N)v# zZ>2BK?rc+f_#c>JyO4(1mf3%6EVHy5oTm1pQnHYyUmrv3;vfdU5#DG+ek>13So9!` zbv~hb%>5wO_QXKBtPlz=8cj%?Nq@4 zO`m8(&+RLDb@gOCQGhb(x6QZIUT5;ebXdgiQskOKp$vLUGj)`6DvxgP@M-RSF{pxx zHkZxIJt`>vSn{*H7Ok~_8Vr2GrOr_$xRkFK0)pivBAfhTYcMJCmn*40QJ63kdSkQ- zo?WIZyg-g!m?-!}i^5o3;u+GuViu2HnWe_E4h~Q$yx-hMH&1(y4h_k}b>YLDC0!=5 zD)oL?5`dbSVmEg`lEL%Ov_a_~W?r=|O|dg%6(_-4;G{!r{c`uv_((zLc+XqI>kKG1 z*R$<$fQ;+v48Es0en)D7R?rYZQV1;YhF-2apAbHUuAVgZmKk^(CBG_{ihDh!4Y57m z&_7uF6pE1^X$Ds-TmyEaxNQm)8Xd>tDGtjhXOu}f-%5d_fA;&pX4*R=9e-vhTGa0e z&lm|E`^3Qv>^AKbvzbuQiX6$;%yczw9N&H4sJ!h@Po`UhM@E!gu;Wx`}g+Kd^Dn#UM=Mr;9o33Nbu4AoH2YCXu;e!^AyFL zo>sdu*`{=ZGSzgQMyrzO#qm&}Mz2g-b)a`7=F`T33m}xeg;Ug`##LOCx?|DM&Gz?w&n1Ux$ z4w{dZ#b{?2<&UYbbcilN5d)zpelwL7173kLz*+H8>AMZ?>-W^ELrAfd)ObS+UeI*o zzoYdz$onp#1)=KN0LlP`T_C3nBXtl#%3L|uZ{%n?sQ4yVgkpt@NZ`?5f>={rmYYIovpU zlT(7@!$!dlJWdVrwCgVuoi+-S6L03yE<8bfLcB?h_o(k$2ZKao+w z_MmNi7_LyBIT>vudf#%qXCA>8i{HK*N7Yp=|$ti?^Q?i09)@hEjv7tV=y=8e9{VGr45 z;eZtr8K8$$qRxkDCejoGk7;bEs&ZN=7criu) z00gmDSaZEu;w4&)mvzhBsEE2(wle`s+?1=K0c%-BnQ#oSCwdI54t&TL=zNNR4j%C_>l=2d z@?UA=vb@F`(~CYn*s_w;fNz|?nKeLal~E|!{{UbF*nZ*`c7u&hzH+(KucFUMCD25@ z(3fDPC?L!j=1PQUy81w&?KPTL9r)0saYwB`$(+mnMoPzb{ES%N`4)1iV!&o&rv~7c zSMnqAwAY6tQ!pab{{UhEq}Xspok!|LK)}&1J}{rg&}s6TQ$kc_mAQWLR&|+{kMbah z8%oiMjL|jl>JU)IFc*_)axBoRWsEg6rcs6|7GNWiBZR1fTo*5ei&@}a5BMc$V(*0p zTzcQ!I{h^F`r+^qhxzcDTy7R1Dr2c?Oxq4Kn}qa6vcQVv`Z3ydl#qrSe(eZ>ve{fV z7Qx(T`ehqh-Frj|^Ip#8Ra=)b_KnhwN37mzOhE554onMg>X$EBpfhKt@IzNte8*nd zg&rn**t`M-8q#kusJ1-pjbB_ocPSZCdm~={5t6)Hc7eE%bT87*@k3Ny+$N1{=4Po% z6crGbK#oz9T%hcAuF+VvmqIyMze<|qUOh%o{3F2U^$}?OqzKofr_7bv2AE0_bTOvi zntjF_ZJ12N$Hj`Zmb((tl(Qh^+tzF#nmo7?q2Z9+0+O-i^#op%Cb`Gq(0r>9w3T5B z2T4QVmLyAz0Po%kgRfq&*M&8cM+VfrkBOKy(i*lOB)@|PKOP~wCqGC1DMQYiVO zwuT^rX8!fLI|NSIABkR+}0nE`jk&HD#W2p?j|m>*E&~d+6=r%%Junt;*n*+ zWYK_c=?|bC)3oF`dNmM-O;Ec-_mvULYhukl3{r><+ zfpy@7FV}?8nz$T^q2nrDAT8Se0DHl$&-d@_cz8rbSyNtDlBuqBnF<1rAng-Y!p>7l zKNiQ1Q@!_!FE?qm+w@hQw*|kKnXglGF-fXw*Ej$?;PfwvX`DAI>;y11>xp1H$EYdm zR6xvSSG?Kqi1MN)aTd$oR>z8rLWpB_Ju?8b-`J#RNr}NLolj;}4yL@ZE3v8E?-4Ru z_=c%OBSID493`fuSoE7VKB@x1s=1(cm;?IKAzMGxfpYB`i~6Hrzpy}Ha+X2m6mC0n zN_Lmkc9n02-oJ8$&8Cd0Y@oHL@4Q`oK4ljRHQ4OM7Y&v?76%F-{BY?QncUjqv8nnl>qD`0Y00#F6QIw9~Idelc z&5tR9qqgf7Ia67S5(*L6vuc)0ZDoU`)FAQl@BH{JF~m*gnMc7sS?riDkUwm?Tv!P@4VB{zuhzhK>;~3w-|oFjBQ$ zcz$98_j$irVdoVG>_cT~9Rr-QSO_{L$o~KYhAR*FkW`Hx0Qvh!qHVU1I`1l26X3l( z>J(s@nP%XsObiVfbr5(Oz$w;OM<{t~*(iw3HP1`Gn}TqQAT&Q_pcf;%xka_17>-WU zOVwS@(U*UIsaK8ytiYO2a3gu73OU!K7c)bg2wlU`B}A2#%2Cf;rMD8zA-R4Se`t&p1gkLzFlXFU8@jGafuba`B3S zGE)yL24JXXctB)<5yIv5Z35F(WAPcOsu=RXT_Qxdkk(Q=A5WBmMriGYl-IM_F?g|*PdX(niVq*TJxH6 zpujWU4*hKSm(!sTiaDJbuUGw*(`9^^j9xNGD7yY&E1Vh}%IHUA)-vOtvi-#`+~v|r z(h5?TGpousGr&b^;Pk|-O2^#IN>%{y4_sFS0zfL#yGvCCro`QWm={j$c7)J-5bxtH zjIxfAOI)B1vW$k6A)zU0LmRsIWr$${F>8N^+!+4YjB=U~Qwga#5CXb^p9no^ zTN=eMYj{S|a!?XnBxtdNshK0v;BH_yJ_umH@M?y!(mY8-NIG1q#wuQ#1^R#32`jHl z{z2&Q-Aahe+YQ4+qC`uiL#*5T_x;Fq9QJ}%rd7h~E0!0eNjkCBa(*!k{vUr**mI7N z;}s_;C$H6iy3Uf=_=s~B`2t$Yy7-#YIW#kQOWZYpF6%rp?fj1?tQo7XqXZK`^=0w% z06fpkR2vKnUR|K510q=tsY!aJK;@^md4)2y)`$BQ4*|vOZ%2JPHI#QjEW~BoCJb`rx#LMH>lYW*}`jS+V6c&0h(T2*Y(2 z;kr6-30y^oSo$2R+NNX6UodRlk67(^HC2Q2;RcyyM$-n>a)#tRDV{RFa0)q+-c-Y< z2He6S`Z9*b!O8-K_n-Uk1Ce_C%*_ab{&SKPd)X`5Yw1zAi?1!key2Wf;}c5GL`>W@ zpl@B(n4F(Iuw=LeiU&t65Y)CfTN_UBVfcT8}MO6@sCqVeWPaF{`!Rlvd3woD@)E49UZBK zG%4*dqZY3)ubHL&xvdyeK5X6vRVpS^6B+h`s{MjjFyH`dJ#JH>czlIBksCUT^EAhK zL3vHv6C(A1v!z6>;6u|vuPR_$W8phSR-uK41FS3eI}>WedW5I-Z{zz7n@1oZGHV4+ zW>i?IPI0$RUlkK}A@WhORoU%*ph$Fn1OCJLnaWz5!ZoZ#2PeIegLi}L zXe}lHjBO^AwdmAp zGS7Qj*g9YJq^y&DRcoRMlQe+N-dI!{Enx##nAnh>|6h~H- zq|t#iObjayQ5oYfcg_|p1EV(u6yRP@w9iYyX_RD(1(Qy*5FERH#358|A`Vr11?Xo=kiA z#79Og8`JM`2dnOFG@>#<^&QHW&f0uJhRms zAW<7W25bTvvuI5_UkX9Y&x43SXsy3<>lSOgd3_rP#4q8z4SK){-U;xQs#d(ak>F@M zhqSReaG2`0if$c;kHjEf)@F1xU%NJ;N5*5b;zLEu#Ho6iGKhPS&}OHMs*9Ca(I{Dj zp($K@8$`X#Fo4|e$ifcu zGvq~3)u_R9h&<5PU*r1&Q!e~)pAwfgdml_F-zh+vN}WUz9RB;2J!Ma2`-Gz5_*gVx z0A+7b)C?wz1PF|c@9qPAR~-XNhGeR1UccE&%wfL>UNI3hUHwW#C32srXvO1m z;wZB2cQw~<2x?U{p&|in(ewR)V%;GGb;o#^G1Hc!DhCRsnz_RD1Ws39sH=D-((0bl zsHumuRRq_a<%QNO-VlYiguvH0YI#EI&LJ8ftJa}twu#G1D`*cWnqeG9rxMkJPct(C zyZZnRg@miYmoR~Vnl&B(!7OR6}M_B~_7NY~=zbt?6FV zMRC}euL(-THH>4x92S4ayp<1x5!6bQ71#6LSUMjNaNb61BC44z3V!7YC1a#FV!w8P z23waIoExZO-b}0FL73$HKnV>!ck9!_8Th|3l&onc&adFWNAajI+n0`KVh;=_iZd_p|fA%tYLxZD_e+wvnD zIjg>QZ!b&XGV0zo>ZjxR1ZHx<@rU4h!l4vnf(+Yv%_&M4OEd6RDm7wco>6l!U(NP{ zu-5)&Euvl>BIe`%lAZ>51p)}nP8%{o!jllZ%e*hCLniD-I+4&eRdj}zg|Att zIc#muf8MvNq!>!X!Chuz_I4mUW%g^l&~@&pXqXF!QfN!h5=UwnUQw(lpoK04cPT79 z0#Oc($;~cl34Fq(Laf(6K2THXG-Aucj8XF9X&5=L@sM?vNdC+QR(AEc8;$hyey6vO zUjn{NS(_!3BUzSslhdFDwm*8xmGdK@n6womyF#7}A$Y(_SMQfU)#(Y5tY(bDHwDwV z5Ik!7Bss^`Sd_fxP7Z@u1ctJ#VZsLetGox3-I*%VHX4E-GLp=*;EjCAY95~CY#wrm zbeR|R!Eahd0$JS`+^-rK=Y}R4r$eXxizh>fByAaPzJH-^p^8(Itsy zn5@gp(3%dUG^>;~;IU;1;zxAMr6|iK(4L&kh~kM%WqZpXMW_v}nx7BaM+;u;r&wLRqq%3<}Z?gD(ybuG7^b8^PsVSa2Y%23gCq0WVeqR~EEEKN5?YK8ihwPf z4|U3x`oFO`=Kdh-Gh}=F5HJ+P8s_lklmnaqEso@*+!yl= zxMwlsM{NyyQBtRbA6b$8`!PX~5xAIBr7H(tzJI;q0dUvPZ(oErOID_=H7G#ld|%wf zZEwWQy18@p*=(90*8xks|*9XZr}g`aNQRvHh;ngLy?748gih39>MS z;Fs$`k;*-NRwhE*JIxE>>d8V;HsnDL%oserWi9&u0N=R6xxy?ZgAhBN%7hIcu=;QT^%%E@0mzGk0$%d8Cm*c8n=p9%dseaGd7)J zqm~3yEWw$3*&Gp^d#T!cX_1@GaKwnmRAL(H*ScQ!A5VC&grp^;Csa60jZ56j0x=v- zVK*qUW#{L#w*{Yn*d(Wv)^>$v-}X>TD`3`y%Gwbb*O#0Cb!G-eXh0?fO+Meq?>K

t0xB&y4P^wI!oqo(2CeOrLq5#XA zaS)$8%mC%W6w+J}w_8JM{{WxA5CpKsdcA*CpmZi@fHLLB{5tSFaJgCPLUon#{DF@| zU_*Fq?tI2Hay}uo7A5_1nGPIbr5&VMct>60wp+<8r8Le|6S{{UrVb@u-Nv8!l40POrq9~dx>$k#cg6=)}+5FIb$24&hQj!e0ZmoF{7BLV8Y>N>$LVrci5 zLtpRqCZOpmk_M&*(=I+?(=NV9*S-G$ZZ!PkX*4Cm@`D*0`|k;ZQ~4@3J0uU6EJDyE zM>tI;Ad0qh{y^q(m&^t2brSS_AMAHbk67MG5|lP(D8*v!DCukP>lHE0pS*U8;FXM8 zTI(sS)%iZ*9O?8s%hJscBBOyYLXjQDsfJM%b&ug9j-RR`Mm1{@tGpE^JJ-{+A;lKq zpb$_a6-KxF_q??V(p(^YBEo0>3q%nHBBf>_0ff18JGqbvHiP6oV_aze0111ZN5pB5 z0b=Pj6J#(&Dgf3WLIkOCo@leuC08npMQ~AiLbJTj^nN9amOlNiR96r{72o-Zy4*c# zHC9o6K{7{_SxbP@WYUN;jRdxq%xnfT@I<;UE8eZIQH+RImYpp5VV>>^PNW2mL_)c} zp_;UZ1YEZ%106H)u-0*(mF@dkVJ={?3Sru{S&HNbUX4aUzh=9~YHr`3v;_f6MtB6xkPYt?Fg$isBAV$v|Q$9p4f+VP-D*$f#ABvb11@k+P1jz`27z%mOl-AW?RM9*A^eeWB*(BzoTn#8mx z%Yd>tcBxM5ma*t_fECU8U`N1!BT)HBX=7JAR5T8Ii=T+YZRPgF){Y8|x)D@})*0k` z%~z**7S|NAbp+{}5JxM|}Q{qzup_B!vix68f z6&7Bxdf54tMD*n~>tAYSN`@=j`6^>yI%1{1DP38lRY*IHp=D8XFcU|VM|q^cah)HPN;9N+=qZ!e6@`odQQhwShWJs35}YFDcO*~pX!?PY9i z+SF+&OXUjY&N0{b{k!&1;WpvHir*8b2E0=Abis5+1$33yXdRhH^)u)nFkdhq5r$FQ zD+QUhVzU$XDDDQ_BBWz#og=|33!2p(co5|oj%oOnTju@c=NJpkgQU`qpRBNK9kT+w zne8ca56Bbdc=`RBkl8e#npFAVs1km}AcJSL9wo-9tA7hA&8ut7zk*!5tKwWeQS5Sr zKZM0t-j*$vhupd`r?V~@GW@aGbcZ>3fmO5jFI$T}L?jIu#$+foc+Gwd#O<=d{Q4TPYnBA#ba(o2ASnI`uQRQ;;G$k_3 z^Z;M$f*{qgpoFa|627tg5d%s!V6`0}RcV$Jx_f3>;Bau0PBgpWaB$7zE+n)^NF+6~ zSeAd1wXQ1t2u1mu?GY|daAs054o;Bf4I{%S5}_>9dqC#QtQ^_$&q|gc@H9`Pb@;O| z-X^oRZ|p5zuge`Kgf=iDHG7o^O`qfxX+8?Dekw9e90F0^4}3OZ(c?3})=N#=QO$$a z$Q5g?y1yj%lsoj8E_L$_9zhUepu^maM|om~Adtm9;l2ZYm2+*uOu!?c%j!yg0CziF z<~8?D@RIq*f4Ig45p`)W33ST5MyN`&mV2>@O}ori^)to}!TBXoL!s3EK@~9q{?Z~- zAJ!L{f=LUa8+XIz4otdj*FU>Pc&?I^xK$E`no2LnbE7Q{Dbc*780k3@mOi zo{-j57bt`S^{E~6u`&!)E(BwZybn|6YmqYXpu~VOxy5DYDsZykBa^-U^M*FXnDUD1 zS62&h)9i+GpDTdC!1jKj1gx#SJ3v;s5T}{}yu}cgq`St6XykmvjLPm%vJ`OyFGzHG zk&5{dP|Vz73>j2KiE^d#{ZQ4=VI1j4{Ihv#_!vU)%Q=$hjoy!Vr3P9(Y4a=t$oPt* zE+&hl*EURVm27gFq_=JerB8RJpSsNa39O7VsX9eEtaqIvRC8a)pi zJ}_H=CsdYP*a}T9wRA*WWKIQf*DE1#0q(Sp@7Ffl7o zA$dpR=#^!&@h>K=--{BXtxU&^c&M_PrHR6=&!tQ1(hP(aC*q*7f^Ws$WoD)Dvo@w8Y>?HG~{#8O~Ub&Dc9rav}*m>&~JnWcpb7xFVb9+G3*B9U>7oCOAZ&NtJ7vl6l9v zd4lkn_l!XDeL@lOrB5^0Na;nrv@c&318Jb2H4sq(0ul;`ST^3Cu|i>g82ObB%kD8; zxB1L%U{{s`!ZL1XN0c_~O#EZ{F-BmUM6}+#tp36czu4WZr=4(N)%>YLdBI3WT1x5v z0Bn>S)~lK^RNU<}=9tZxj+5@|_X$C#cvcKuL0%CAF}yOj0cCB#;MI(v{b>hs{$agzModx_KC4gJG9CLC76Ubi%2woEK=;8+9~5pP z@$V3ma$*wG60=sCd3GbUs>INmA}*ukjY+qrr)bb~k88MLOy9!H0mzI4#$BlfejE!< z32M`?#7JsiX>6NES~qY~yDDMHOw%t9C^22)oe&5?!xLXq_BwZ$E18d8ad1P3rz*?v z{6dWiqxY2Fje1^vqsFwy?`Q(9TmjZr?gCSTQm5=gv($^2)t+$T$iZaG#+CebgtRK- zY((A03LHGoNU`8zMS?cXB47A_!<1HfkrmLDC2QL~r30(_LgdCGmzY!Nguq3TVhpKh z(bp+O)pI%Ka8=2|=Fe{dD-B%!CS|wz`tK5_oAU!O-wp|&AIH1~zm*5eaC+ap#}1}o znL^kb;iPn98Krlp)J;6Uk?A4{*k8E>Xh+~w0lAlp6_;`;I=_3$I7LSJKw7LJP0oC3 zT57F*DEVe!grw;xWzxoDHTU-z(8Y#Da`tLtP-sC2%NiVD(a=S@(;T* z4iDYuiPRzlGb0TLyF+*&^1Yj|kZNMbPgvJK&c{CdK zi6f`oOV)3&))B~m$xXbi`_2hQ1bWj86LbNK}wYXMy`euex@RL#msk(waJDzrA{zu02%eqpP6!e-(2%w0QOe85#d zR6rcyFQj&m2=4;hK@h-TggZ+4hQQLj@!C?5!5@&P`WDh6^r$}mT zg+An6EQL4ACqLbRPm%Ek7Y!i*xFC#<&(yp+jrd>kFpOgTzN1p|gGdV~Hg6qpnjz>) ziPiy2FLz>GB9($h63%d7!oQ3_Tml1S%37x-^5x%dv6^--(Uc59!lwO(4YpDD&uRD` zvCp8#osi#wED5#5Fy1V9RSfc%6Lt!g71OLX>ls!NhGcY%SN5&O1KpKicG~i-} z8~waH-(QIRNDi%R^_cium!_U^YH~emFaSA|1UW{y{?-&0?=vNUYR21|Jo5nzpQtoA zVKBa`drHSl`aj4Ux>fL<;Y{oG4Tv?w3qYc9GZiKpA{dMSmoG_Ri#?yYVlqX#F$CLL zLAL$DbO6&pEWgVL@`r?nm#kv0>liixKUdU3?nlIU%@Tx)@YO5d`w4~Oy)W)kz;WD| z7enGLQrKnqM1-TqgcMa?eIilSFEnJB$nV1kZKA^0Ms+eafmXi=~Hv4rx zX_dDwZ_i0h!NSXxh{4bu(-T@-+<|UH6JjES*x?OkoG_5DD9@fP;Ypc;lvs@}6x$|p z=a{^c8n#S@%Kp#X-+`SFj1jN!pXSdHhJ(xMQuXBR7B}bP_)AA3Ydi_JgeJ(W!$^2R zp#f=RkgToc-wIj?RZ0*v`9{)+L#Jpd_|_`vh-)#Qdkj^t!}l3#0!?eO&G-g1KsI~suY#*~ynOM$G#C%mha))9F79b7M z7r&pbV({qd%&Zt|n|~7>{XQ$>XpaP$nWKj!9a27@Q!je4Uv&O`z`8p`L>Y?T3W67i zn1$=yiue)snJ9=OHb4eOzOsVp4nZ?(HAz%!tfBPE4fBnjL*nG~h)~vE{{X*bTNJv= zpkUTIHqWzP5XE`Fl;+jm5CIj+$a3(hH&BCWZQfKJVWmgb#Sph^a8fW%;o%5Jj1eNV zAgH!iFP${~>kJy4`Tk%!!JO7bI8m!rQC{En$eo6aKH>1VHaR?V1Oas>%ux9HBLj7gjzWsF%jP+^S=j z6v_|R7k#bvd(9(9vLo6+~o?Wz(2Dz_C>q7PW304|>_2NQM)dnv?7%~S zYdsnB{eV=^eh80JJ*EDWwAhu$H{cqO(m4!(Eabs)GJX)%o0fQd45)ON9w}O=vxsv_ zlTx|%{mNJ?K00!X6*c22{wSH^$Nc+~<|Q7I$)2aRKs!HAaDc;+EV#SE^!@N?u~YSd z2>#X@os>WYfL%{$eF*3|%p&8s@bsA`Xuq*7QT_Pzng=#+aB63q0Gf>c^MhGUeV|Ym zuaf=B0`YTF7^)5fpfOR6=4+$bhtc0?vN}Sb+g}L41ykgGLn>xqP2&(hVgV1>mLxVK zmIFbo-J@PR$Cb0aHZj30GQw2K zg>!z_?>HTf{bn5|vnUW*Ow3R4>;6;bky!y_oqEgiasB#XU;kH)_UXe@$vEDrlNlMjcykn(-gHm>*^>b zya~BS0D__ca}YlyW-gxb03&25#1(!>=N^UqY{GOHS31IAN=oXC)`U`d7vv}0y>6KK z9{d}`tV@m6SG~t%lzqd?PS4~c3b6P-p}fRg=0_Q8j;A>ZQ;{_&gLI{dez#ti@eY_( z_Itrrj1MoE)wO?OOGUAT$gN(u_KYpJP3?oAN>(wF6;%$){D|f(`^G`j9lqu1aA(CY zM*wRyB@fmThVs$mpAe!L!{n~;Ygn6j%Q6(qqA|);1OP8aUfxkKM!9(!^wK4>G<=a2 z#ngo#h|}sFzq5GD624H;E{5#W86kmC;K0&(MurgXdKJ!PoqJ26m>MAeSc%k-LK!Z2>I2(AUG z6fntsgZlHyaZUV^=2Z>kGK ztqEn#rRh@`geQb1oW2>ouJO;Dzj;Vewmn_tC=P!!1J}G3`V!-rvJM3s&3uv4{{X}Vny+>R=613M0E}4TKx~%H?jaHTPVp8eiw0x*-bn!Y1K_xV zA?n&78Vl__iI-1Us)!2gk+KR+Yr<5flyeD^96f#qyz2tJ;T}qUzN4!n#`(+Sae)-M zltKMaL!7p5Iq&zD@9z=A9=xn&*+5z)fpbEU1S<}PbvNZ7Qy68`cNGxLNALL&hyFqy zrjNrI%$4-0YAS=SV4#{)K~m354g}R$YL{4DW}>z^M8O^__K~I0QfH-wz^$x*eafUW z38@W;Xo(kTX`k@_0FX~oF#ggqw@o9HP#yI5ofL|kOwIlcC~W%89|>iM8e>G+xc9n4 zEjdL}%$O!s;l`?d38MjTZNF<8_iTH^hewSnj)(FJ?jMPI7(U0mH4)QEmZ~lSD)Nf+ zDjrAFReYFA^pr00k7x_br{H02483KZBw|0Y6dhs(%N9c0TV;(UV@fGc^`&U9p=O z$H^_bQRi%;ca>u;Y4!Yz5#tnxHz zmTNPtqI%!7Cgxo+ONOfpt`{yal?`J(=?UOpF(M9dovZl%KCw*OsOwUS!-l7wG><4V zM@5*44UN`x_5J$bqUi=u)HczVIY&p<5d^=kUQrbeY$k-TPH@rwyPV?P`dnaiZ|VG- z701LmLqgyIo&x7H5-y+1f z^?ir|SSwE$wkEU|#da^$vf2;1aVyDJ+3hQ8+`h!UcnrbP3gC3nGRIu}O6RmDnHCy2 zG3gZcfZG+LR{i1E z{`NUqho_J3(%PE19-+5o?F{0meG!4>Pv^8vOsM>lo69;AR_3J8ngk^nVf&m=uoeQU z8#%-q%4!&Av>{Zcsd_ra3OSbl0KZ}qMSXBsW@Z()1k9#9SWA~OBd;8ce7&OvbS;RO zuY(5h*5bw!+e7P2N#XGg0~1oN^SGeap7lMX+JfN4Y%8r#5HrX_1+53SM3o?uiA94`uBjAFoIDQV&Mi5 zlzPM}m^f<}E~F2W!4ybGp&568zOV&Gbkj(5F|Q5WBf%m#q<_k!>OyAaHb)A_SM~gt z>4`46i&CxOFyM5p#EG;PH7h&7L-a|MK;tcX%qPk8$^%rPEX+s3BUrD-j3w+!J`Z*cBz&fK7}|8B@-eWANY*Da$`QM) zy5ex?5fu>tUK81cdIjUI{{Xj!oDEk29D}q#4KFn21o@X#+FGHOD#bB!;jpa;OZ|7= z9C3##pbE48ouMGu`2Iv{iB=olKQJq0sPj!x6fM4xD!+@@;tB^SXv&l-UE|g!HK|^t z+-lua=(4=H8HkZezQ5ZUbu!Alt!h(bJN3zWRD zuJJXRv#l5*Y8=R)WcF6kKd{k?*$_yXumR^)mw>+A3@$O5|?J8IuHby$0%y3 zw|AX5I;2rgK`lqe`gVEy~QC`t{uZjP@l80j;7U-gmoCV!#vG}A)M8Wl_O z{lX_gI&96jXv>RYG>gS~cDZ#CENU>uZd2mQ`Eg|slR2>Tg4?Vs%rEEcKmhZDTqOJN#@xZlOguH3iEHYlI=U)FsDW(Ksa+T6(J!PK<}Uw7RbFMBY^a z04SFW9;`~0mMYq{#o?#Rah2S^ZeOkyS%WNjh&akeRn>ZB?=5_YYQmQNu?P`lMNMlf zTHuzc5U`I?&)|g?150WaF~5cTfH@M>uvD2<`lGd|usD{pD$<&l%l6PCaeCuw=?wyC zLr8#5mj;?0u56T8qOezDS#6fPtQDjVsB0aIj2mh0kq?R5IU`Bkq6HpRcb6bw@||bG zP_?;4QPyhRq8c~nTljVN=^OMNyeCxOH9!@1ACtV*_eZ@$H(PEr+%Xb~4S8jjz8SbQ z;9XzrM$-T(Nv-EtlQA-)u^|Yoj9rxw*>zodV-P7fKanPuWMyz+uNVC^Lzx)cuhb*D zH1v;+_p$WPU3|lCYPj%*Vq(v5L_P!}&{Giy3jOgH@_xh~lm>J~rh6C_V+x~{e}1`r zT;G`0@W-nHQv?CRroJK&F|Vq3jK}r~if&LhD73kz%numuf6S=<{fTO%$LbxHKeEPiIE7`+egwvAziuqvZ0$6(*N96USAg@6Wzd1mH+J%`R@n@T_g zwg!{0+;#9XN5BX!DvXZlNE21_;SC9?12~6ww{l%92i~JN)XGc8?F`v~&E*@WJHG<4 z6~i%IA~r(YgvZzS?@3rZr9KqMW>Vq81+Q4GQCm!Y@cq9nkyIO+lNq$RqZ0%CI{yHl zd_kep;^m{%_lP+ud;Q8oS%|5{b5FtsI8=$E{{U|cuC$(Q7Ok)M@B0BKA~1kdHF$M- zK@VOQW`5i1H<-bm4d76x?S5fW%D=fn3$tE>5sUT+0%o9&&Gq4f5D11gsGb|*;iWSu zP*doiaIKHh#_WaVCvQMLLDiA%0ucx}X{V0<_&fj!X}p96%or!->+>U~dU zL4-7vS~|a!Z$2!OXeh;wf2cuMj0+BAYbu?p|-c?IQ{Fh9P2Ct&Qf$mM>~y`#!+}w#@FMh{L3$9 zPlhR#!52$F`imT$(k7h{GNVGh3V`AgFyfxlZ_5@jsh{ZqB5jXY0Q$zL)R!{LUU{$k z`hZZaCr+*)vp{|#w{w*$a+zFYRR{JL8ZMUX{`|$7XhJi{FlP8Zk|7gF7iI_FPS9Ae ztTbs3WY!HOffA#W3y7G9Vwk~h&#VB12uZBE+Ne}4YJH=Gm@+`#}y zm_G=Y#$#Aw)w{3ny*=@ws}O>7gN$>)he@man?9rjUfqZ(=YAo$hur+`a|IVNsql=d zXsJ5ELPR9h2?ZUy{r&YltXg5o6^VDjlv^`>AUEgy-}gCN58KV=n;L_h566F~dK%A0 zBp8paz!CdNk`NvPGcA>3w}v+5?n;7n`j=W4q_f8f^A?ugulz)z<=S#e;I?T{34CB7 zxxOl+EnY@^Pq38-D)`{UW`rU&uH+|g{r=D1Ft2%K8(+*U2o|_#sqKlafn>d|zaPkJ zH)rk}@gcjGV^eVF<9LBmm2p~J#~ajPXIN2nio-gRn;{8SkO8Q*@&5pPVf6SIhPb?+ zF#$a9l0u&AKX8XL?q3M*qgBI&d77mxmdKVC_3lh#xG=RbX=u0|2E)_$?F&%CtYKJm zmj^VV5Q~!rLt(A`nU@PbE)Me&8jxWd5L`BX=3*Kz%xG?Y?;K(^f;Q@5NJddaj5s6n z#yyha^kO4neW!t3C=QX>z`--=Oy5aTK?@LoZ&trmc9ztr!BXvTbd_?bsY#O&(m&-> z^&lwnBU`x#t{5a`aqK{;zI|D#24R-aK;Mbp0C*FTfwWyg+H+KU{dR-Xh%$=8Ju&)n z_b^PmGR~j-{EQ(teqokq&v6YFpU>>e>2&Lh`GKW|^Y7XkU$m7ZuwpDcAvEDUc@>|O zxCY&^5N7hA?2oxN$UYQGQY*|cP`W|@!&xPX=PyGrN#g6;@Avj!czerf1{;GLlqvfJ zYP+Do9ZE-&Mpi)XA;Ez zYb^M^<$}LlsJr~j+ppp=`K9=`$=CNuULs%@{4NOU-{T(<&wF4Rfqo)V=Gp^HN>J7+ zhEy9$2Wi_7nsi`Lz^mbV%S&a&2S~Cul%V}l==n@E#W2dnD_5C_+dbt(#z`sWgcJ_H z0ojM6R}Dua>Rr^kQY#uPGSUdn1j7VTv*iVcSUyt<)Aq-Ck=p*|4AYg#&Il=k{!JkRU7$>D$HSLc z!oRRUQ7C3SCX7b7V2)B*=bUoGtjb@bGBvL6)TrsF++q$3OS5zNjjFs54W=T{*oh+s zo?mzSWXN;B?-&fx4n8uHUq3OF4Yl=^wX3gi`iTQSVJt$S@`x_rpy>k3A$|kooXo_? zxv|%QF}+=VKYr1dn1X2)6PMH)KeUyDtYaXEhN{nU7*&=b`}f46?odwBf_`2ixYO>) zvv|wa;~AiyzlhWxa*G#8g{2K;0AmQ2Cf}KrCNmZg)+R<5_q+h^PjXhBA{YgF!w7FF zNwhPo8CNN4881FQd6yj){6i9lb4nndY50igA3DeMv3urV{eI?>Q)G&ZnlRzO3s#x< ziybD@v=MqoNOG)5H%bFk!X!j?TU0GF4J1k;r#jB=Y5^Vw#Qr8cH(98vKV!FUePj{z{eIYkqh5OLIj1Z|Vsc=wiK!|+3y()v zx)srVuEP2t61ozH+C9EP?wU-te2Bvdg)r1wOElb^J?nVQL*yII)_S2R^YV(rstEsqD|BON@>#NMcOu$ zU*GTAv!1^!AOt(xN+XxtAR`c>A~zQUeY`(+l+vQh&ec;2IZPfGk1>OFntjjYbQ!#P zSKJ1MPt*#sRf5wU;@)c}q!MVn>S0}T+9eTtwQl`o#G~^70%)YLfB>3IWMM+ua7Qu0_Xc@{1U;9unC3Gey=_v8iCpp`BU3 z1h^tdwZEJAj|P#jBQKNjm|#C?1-J_!aGYgMFaf9BQgnivH;CN><(jH5gm;L8Xe*-; zc*Zzdpd-TP9$<34wNkQfc=ZGG9IV{`i{i zlPP6@ae06(f$JNC`@g8>XeaMzZqD&Bl?X$1nh`3H3p+B`O(RsMmV8A}Mw_Z3>TO5Z zj-p~=OuNd-Q5lHSDWgc&7%Lp=y7h=xPv)NSMS}}89}#FO6|Ox3BAr-E#y?n>9*4#8Sq_i;2R#msFMo^tAu`eL3 z{6A6X<^KRC@NhzU=7SMyFRom+$Jcl;xqXo3>dV1mrPb-{kKd`-H2Q%9)>0FfY2j~T zCQa|O#0bc54z%m>uXrUm=o3-T&u~5^aLxM0>9cyaG8#g3UiCB-YY4qsr~5*V_^30 zF^(35=^sBGWic%8IIgSYX1Ny)Fv!*QtSPx5RGmA!Z4cB_X^*) z4W#!IHCX&Ztd5r$=Lz0DOzkYgv}hpFH3Y}OV)de=l|vod&J!8lbA(VzKe22x?GDVW zdSN@nw|>C|vI9a8Yl30=vXzV{@(n1`zMw=v>xa4gmnOXfV&&Ef zJP}|Mp@@T(SDm@(7xOpINS7R&@u9tC50X}P7upwpcl(;rsQ@rih?Ul8A;TOD(4VqT z0lUVp)&%m6X3d{4(6@h>MTvp*hy#>vq)BDN0@lS12JlXM!sEk;clUt3ApPkADXWhp zVJ2(*k3uF90T{Oc!ZPweogwoHW(&uUhGh|=&#!1#HK>Yh0aKhIQOv=-AUN2D944&O;f4sWtOB>3$GqTqGR~QabrFRsmbkAn zQEy0+8r;eX8iZWW%ot{jSxh;XQ^5kIaDULINI9aJjx~r4y=K)qz!b*I5i=2CEENcA z5XBraD0PkPRresAu`G$x`z_qCU1h5*hg?#cxby|fuOE4Q3vYk`Lb?))0ddox_H9?; z%z42gm@vR7D6+y67>mb9R@pNP{{UE&%jhi7T{ZszkV-CVQn}~eBFcn)gpR8fD}%Ho z9YDC%gg~`tmoMM6QfO*;MZ`504>U3u4g7YM;L}f7+<8ms@lx4E`C#f9io_sol|p4q zdmeuTx}I{?n2Od9@0nM|p5hz8BDVhkerF=TvgSI(U}aMA)6y}`?yIGG!RSK_$~5Qd zZ6rP#e`4}rUwf8wxOagf*oPu$M*$)v6$Y!bFK;WF!7qb0`a=^dpFL(- zB~TO~3REHmR=(P2r}70;_w8j=5HH4x(OdbBo#v7M0MQn5KBAf$&7jH!;G@CO1Qq$( zE4o&WlPG?u0TWcopCV}-(N}*MPY7nxbY9C1PhrXhgcs~VU(nA7WI~u9R(PRQ_>wl;FLHepV1VBV(qY? zE2)^x)5mu{cLAaYtWFw^9BB7ZymyiN!9z zFg=er3S^G4Trm4WvjKIFiskm|j!)mdUG?yk^S2+rc8GO>qQ)zY0cC%EqoSyE@q0?Z z8{Zvc!eTa%!4{cNT(~UfA+#dHcTzvZfkU)D5}=DpP!mu@<}KF%<+ifJRZMt6?QzLL zWD%{zV-o8h<5VM_EKmJdDm8!RjurkZJRLY1#h5s{%UnYo2K*ZkaR!(b7ie{qVT(N? zw}{PJhAfXsdLy?2Q&DpVWW44sDx#xOfFsHX0S6OS<|ZObGcgK|!+5Hc3=zz{;$Ykz zrLZe9@H0WoM24_kN?k)pF)R$`RvAI5lNQU4V1yP5kZB>7@U_AnJCgtc6fspvUma7W6>zerG+XxYK9`jP^elLZO%}7Ag!oj zTolUB0w3XL{c4Z?)&BskkWEsBm0#kxEpZKC)M?KI%&f{?OU$XF4M4oIt%*}6E$Ifa z4Ux(%RIIUUFl^j&Xf0sT65{ejZ6(ykNWfAIRE?lvfm||NdGH~UB`#hjiQO;P^<>#Xp^ulBPC5OD>U4RbPMW~Eh;Ya9$CV{q#Nr6&=4nZG%&IO`7ZRw(_JIj$18Gik zCfKzERw6Q$X9CPhB@nEk&QqiYXmGd@;LSK1!~8RNya$5_=3J<2E@Gm8fZ?nCYL6HE z4e)=>f9$me_yz|#XZag~rcMJ4yTo>zFnPwHX5$SRkOB=uK%9uVfXdahyJA4%qRYgr z%c5r34b4m`fvjyxgP5y?N;RGk*&NhRLA*EcRzvm)R#WZDU6$4G$U zSuL8d#H`|5GO)QrGf{DfuyZeh#2nl|&in!5>;C|P@L-${6o2Syl^IgFoLN$%#Xt2+ zfm~nmTH%z{HHNaQF>X14sd<3~M8vAJ9O0JWTbPFpXpbj_SrFD49u;veWt3EUOU*>1 z5{Ns;MiWSsLB&c!Q#39(E?TAnJmv&;m3SsJOT@SvjhsZ@CE8OuOtCT`b>I^*UBOdQ zE#N~*Scy=Z{{WYUjyfi?xK|3t8sV5QGb-5dKgO@}M_vp+!Ty+O8GroME}@vYWx*j) zE+Q@}A-4lDDVbr8G>LFP&k>Bwvj=&-Ko+GYA~%Q;!3;~4iBYtIqf=N6a`764jiaylzxKob0Es0^ zl@tE}j_Ml0+Eis;Vi=+d#iCwi=Hg~mN~R_wv@kI!xl}GYIPvw3;D^ zH^WB)FZijv(ZylH^ZpVBCO8N9+x%_8{{UK}{{YK>`VacLoTiCf65*s9{{RU$gMloX zGYGYr67LaW!AS=f9WEQgBw#L41!J_zF~h)yI3~CV+5izX;B(~U~uzXmKEO}Jh-H-@lHxc>nE!~iJ~0RRF50RjaD z0RaI4000000RRypF+mVfVR5m+@PUz`(cvKRKrsK>00;pB0RcY{{{VH+mzi?q!RSlt zM=|L1A^z^Av0i0#4D@E8!76DPQ4jvsgnACEhd}G3TaWu$eO4WHnsi*aija$o)tZ~) z2Scdhxb%0>>x5p01%I*~2mG-J7>WeAE+nW4eHiph{{Zc9=(+^K6l4BJqSU#45(Ht? zi|9qfY)WGn{{T`ceFO+^{T__kUqW016Z~C946tSNVVLw{!VVo?j2z!KMMTXqj$H}V z-&RuyYo)=LFQPF+sKTRN2SHSN7?&8c)sOmI%an-!0AxCwm9C5E^r@fl^|^f#2U~%O zQlT2by}=*xXGRUme}krakb?_#-*51y`VzuiRTxlLrF7IA>2((6su-09BoHZZT*6)? zI)yJNZfiG>M=^@(G>oZIp~S4jn(KAdq&kr333VOI=&z~keMSQM{8f*?qSDG%}xu?{e#f5(+>R#pJ%D*-5%DBQTp zd8J!%US=J|xqq~JU7Y>nj$)Q9 zYAE98dDbJqyu~?;$u{mU%zM+uo;>j-4aK&@yF6**N=19hoWPvVG{c$amUQFHr0Heo zt%4oO@Rb>QE0<3aJqnjn7(}o2j*Bi=MRce|rMj1wmX#`0sZbVLURqjORH;&>F|@9V ziPXvG3tT< zmY0_3xpL*pmo8kna>qr>mo8kna^?R3h5rB-E?+_DxU%}(xqVBQ1QPP`=`GQ2ARPmt zS^=mArL|GM;>9qeuw$ipXf|MDt$4oENX4+~E-be~-3(e>xI_NDAd8n#>ItO3@(N2O z#g{H$LX#5u5X%1m2Uq_9fc3d>WB&lg<@I_G{$c+B!C(0f9WXlYrT+knkNyUcxoDV- z7W$>IfAG|MgC3_>{{Vsi0ECZI{{WK9m;V5*{{X}=w-BP{4Rs^_g8u;UG?gC2SeAwx z{{RR6F&>oztNz3MU;h9wB0!pidf)#57peaMss8}PLu7znZvOz((rr?X<#|F)-{AiM z#Bj5S%IYn#m7s6HxH%1@bW&V6gW#F>5G<6zDJfoH+JQ$CFx|e;&SeCn5jY1%?9>si zfKBaY3!)mjpv$M;G8~YuW=fXb2#uLUqhr1z5>YlLMeCu}9RfpR5RMJSl+t856~uR} zAJ6<4Z-5d|u^--)Y3sqLi`k#F)P}!A-u&k|V<)KY~7Okv6+0{&{? zp{(D$T3-yodK+EOUl0y$c30bh|1nJ~7E@xk7n;n53h(*hfGww;7 z(amNxhlI8GdoVSze(|&!qw&AIE`$sTksKh|UUPNzERM~LKZpgUS@DG+=haL!FSJ~7 z3uDYo8OsT0vHZ?Oo|%N>k7$9@2l8g3JYz3WT6@a`_^H}ke-f6RzpH_FY#^?skEW}{ zBRpP{Ik({v3h^o~S=nKP7Y+p?FbITe#1u)}pX_`wPguoIu^TAd0#r)#22{gvO=O%i z#DL~dRk zU8R0`C6h3yUWtfC6D%OSBC~h225fy}$F!qi48e&_t;g*WwQ|zAxsGXzsXxrxgNaD8 zI+Gk)CUNfkMS(TiUWpop{{YUX#w{i6c=V~C^M9w&B?sV$KCj%njD%*WNP9o1@!@+^ zt4;p^CDi6`i$5@CZ-Am{6rME{_6%&-9nq2{lbB~KQ>0ORRp;BFxK%( zz{eL%`dTz6RQk?VxAUIW5Xn$MD&Yc}$LWd_z5Om~UJvXti*9@e5SH+XnrxYv zn?@(Y+H-;Gmm$dXnc`hnA3lVDKD=Pphw2!7u+qE7xh*}h(z%M7z^IYFkY#I7J)iCk z;3E?|K%1!0lp11fmzGc~N$WAV+4yB6u};sl%s`_6Dwr1r5^Sfux{on3CI)I_ih+t# zH4$UOES@LigUK=#-T=HKu*X3-nhl~mGh2oPi4})=z z__@H8UCvm_ui2Q`@%voPtQ!NE!JjS|Fyi=$0z8;iho7Vhqd(Z~#{EfCYF^dYNv8wQvtVcBlXzAen0F($$CP^$NV0ikhFZ_Gw^B|+vYAYO(oR{tU5}M zIFs&Ba7;o748BhDI8|krVcqndv~l+dZtx?oJ?Ss?m?0&g+(BUmZlBaD<-d8wq|ecm zyLctHfw{0YPugMGn%P41=Rt_UE zgJDoyT*)N1vh{oWMTnLBCPyxPP}z)yjI$^%zLD4*BsUXhykWj&88WkE5f7-JoQfA4 zONdVbGW1pSFJ>w#)y%u+Ow2bEf-E{!6$K$o#`wnQCF;%b$>uVwVB6?~H3fu<11`sM z;)gec3@WA9__qH54@KG#&0Hb+e+<*nKM(e2G zv0m{TDlxolR0)*1W%yRlxpB>F9+3;#{fuD6zK}NR%8dz93Q`*@0JVcPpV%Os&4<}N zt|W(ICB*MAw-}y-at212HSIC16cuj(v0Jhc9FauB-Vm-_ej5EjXM{82BJ$9+ z?=+uD>Wo<0g3}Ov2$F#!U2CX6%)A}4$JfNFKf!JS{ zjjS}t?a&CAC&R*2yv-10(7yCk;s-E}`Kj54zcRSHd(XptC*`o+`s0Lj2-5vi>kvm) zzIVsc2Ue{0uShd7(I(ilZ~|q)&#s|TIlRQG@PA^Dk8r4{Wv-jD;XCF2;-+Z+7CJuy z0M^;IqH%gOcN_??ZenhgYSZ)ZM@fx>5QN^UEi*UsnSExj)Pzyd;KBnLX-c?i_#>w* zWP@6E^?y*0gZC(H*)bEAWg(fWDpp_#gs9Od->DbqCKrTZDwZ&?dozjO+Ei<_2ucUS z6xJy3%A8G;xu{{~sKPgJAeEJLf{dymgl7iGIa3LWOD>X`j1npksD(mC9mIs8+7VSI zNXLB_w^9Duyom-K&VGWa1Z$8fAYG)mPf${-9i3xnmthXZ)+ild0~sKE0g zj-XTJ1_(2b(EZpg!ey6Jnex3TICjMQb?FWHtTkBlLMtg*?t5Gz9IN?;E7d=8U{;{8 z#}ciUyD48s(=vt4{AN(#J)?h?pw=-%5f*nN6ljG(Pf|xR_k_^oXNMjgZL145JAd?+V%B?3q;86M6I;VF?SB ziD#Njs%D_29r^QY5c}-FiY8CVh~O6CEO&Z9VeZ79CirPEWd_|pso$7?H7IAF(k(6h zBU>@uogjn4G7kQwO1X-HY&ZV^V`IEfcBs-pFhMV6K`liywEQC(x_hzdJZ=aV6apy1 zGRH440tw)w?=6=V_+{Gr+-syIk?h6JAeQ-X5EFIjQFSy*CG#?qwN3(5vfK#oXt2sj z12BkkFSO95#WWQy=|XoA+bAS?M#Vhgfq0j^&MFigiGm{u(eE0ikVChu*oZ$6yu1ad zFiRlnZ8CT%rGaSR|Z%QTMAsDSn&juy#- zg>Ti@Msk0+gs$^Pt-|Xh^&XWKzXWxuS&ZluCIJSawYB|(h1>3s026G_PUiZW*W1=$ zW>+-ehMabvlQ2N*~+~l#pxp4|$ z0uaKoq*~P$b}#Vac`5zI7MXvr9m?S@Qpg%joeT%<&YNj*!%y#;*u^M3le4wH3Jz-$D$Kiqk<*8y66SQ~ejK%6)6GjDa z$vGU~tfsJCejufz!=zwbK&hb_Xj%J3{U_7!d5OEIhKS%W0}`o-v%C-jV2o_KxLY_O zTBegT7NQ7`YL<7cn3ofT)OI2%782@W+*S;rx%5l{9BLYv!!DVoa}8EtK2d`akSOqo zD@J}43S!LlW6VFpsIE?~2L~xoNxS<*wb?6_%|lY^Xz4hWTlrT4`(`5X(a)!nhj_G)$rR zqIhFjQsCNNr9e0Oj(!99hAlU*%ygBQ6CGEqcnpX$Fv=`knR5JtEo#a5ND%W$i${2= zF5sL-=@=~XW?8#OF!)&^pM+Wyd@I4{|bj>`6xA1AEtj2j$81HAH* zHW+h;pzO;9cN^GK`ABqE635SWV#=sY9Ka|^vU(W6cYDOTy7plT-9ied2ul>AuMb$G zjQ*+#k2r{Qou#H}7NpWSH7#ghF7AS$hRK0Fzi4j^M{k=^IIMh^ zr{#>lVd)Jn0IDK^(G*LRGB?M()pz$|oE&`_Onb^IrR7_WSQSpp#uc^%Uk^eC923X` z(pm%xuM;qKm<)MK2Y}A}Puyp5`kz>q%-+S#+>$E0yGo)OXr zUj^+h?)_VY224MSg$_3vtQ#YWigU75{9M1jEPnR}+ju5jF>?yLkuAp&qA+CzutoE= ze!b@dFW7s)F`Oc`MT|EFlHzH?q$+15VxzdIoXfQV zTYO?d8^63GouCyqpMf#+`hfoch}T1-ALj`}1Zi{+-Koe@ep;QNqHmnd9W+631b}Vx z5NOxRzgX95TKyVF1b0Y^(VK<>I;*_cmnTX2uw~L7arTRsm;S;7mSL|gpO(S5KuzNa z$CJ!*wjB9WDcw;jrEG$N!tW5vB?W!IuW85!+Q=RnKeE)+dAv^AMQ0Oe6~wiSOQ#3n zAkR`!L5d)da}P+`Q6yA^?fRA~@b{J&;~%K9HeyohI)*nfa=MOBS!h$?8Vyt;@PARk z;n8=wP{+mqt|jG5fOqu7rD-h>d7{CanOO-OCM6)WuZ$QE51|BuoId2ldqGS9Ntm0s zYF~^&YsvYH1SM5~c~DpziY{o(+K!3ZIZ24iJR==158NP;fWvTOH^FXY=6K!2(9Cg; z=Di$284B7f^XJ-SFdW5$!=tJn;8mr^w?aJuQJCm{^rxhJtY~;$kT$)Ekg>#T>nxJ7 zEy;wXe9cQHt;NhqD~RevpQOmSL3qKim`Y_2-ROaFd|8D&N5ASQ&cY9}!S~pB>0&ET zQZSV`eIrkHgX@@pai{J;$nhTta*H<@Y-Fyl_Z0@$*5#dsI zfJb%#z!Y{PZE?UH!c!a*BhC_ciiA~j`@}>I4d2<=T~$z?sgqcHM2exkJH-P@kHo0B z39<2s8hZ7j4Ula7#lj);Ou)L1)Os#RcZp7|!=%{H&6_6dVC?>)f?+k|Pxb&KL*V*D z_t<(&Yck0AOb3Jc9hJ6z7F^!c{ZKbSCGeZYo*;4Z^r+N~>*`p=BpGfzr+ECBzj%~| z159~H3PlWa60UB&NV!NKVGqqTOkb?hrEFtqjg&(sNCh_eSo2&I5#@Iw)1PHW4B4lDMh}cKR z(o)_&k@3Y;Z_t$?<^;hFN&u)pSHGag9v|ERxtC08?Ftv0={4v|le06tQqXq&M=VVv zRNR^(EhP=diohc=FA2Ax=&nd?QvihF?;p?}k@y%g@#P$Ta^VyF$8qb0;<75&6yMV^ z=c(?$Xm$b;_KxAl{7)210(``^t)|Sa$i`gECsEKOPMYQA3!l8%HcYFP_L#J*Z_JmI zRVscDq+flOw?-C-xasjBifW(SYfPv=6$HVx{Saa>I3t-yyN@U~OZAQWq``aFf+DKv`ECSG2olSfl}PLn)J~H)HXcY|TG8t;hiBw~VlyPM zR7!M4uH;QV9;_fSm>6eb8yC1Rm6V&M?;U>Ft6^IAfF8^11%ZN76tL7Zghj=Y6_|$O z*l`lUwDq}VJ)tm}78rEHpQTr zP3D9dX16Ft8#-xDS-#vAf)Ya-`AyQ2R}JT5~cLlH67nthUpl%a%=v^ z)>XfwG`k6Jk7$a)j3dLO9M2g?tZ}aX@v9-F(`z_|ag<154ano6vVLq!MGJ?#=k*31 zwtSRe1=|s$otVD+EuU3p8i+^Ds+KE(KI>1c4&YbY3>PG77zH;nmN1Afm*h#5JRf|- zmabcm$z_`H^kp9NI%2h9xXL1{uh92|e=lJ?FbQ5#s#0A|vlimEm_qI+tUzBcM2fq~ znu46M7~%yrfc&;8Zh%PzcuH#U!U{QP$DHtq0@G1ZHE?*8cOi4c7f2jBbZzB46(Pah z2EN3(b{6VlqG=iW5408E%}0trjPDo$#3H9Na$4s3SyHQ5g&UYFp_Z$RuLuS-CNZfN zQsFf<{{T852ybb-rjD7yRE8jau`5@BDR0&ovzuoyBIZ=Mv5bGfwGBB$cKymwb6;k7 zoH|349U}-XMussD%tH$N#70cp>A?jOk!DcTAiJdJq~$}8#vJAoIOTn&{44zCAT{$a zUzYky1>%f)vn+6~)58-2!xTPZKiENcYwMYN6-*|u!&Xwm3i+x2MXdw&!sW L>+ zc`2CkMqUAJ2q@t77+J&iS?EsEAp@Tk4JA_Q9Ek9OSpX}sEkif?MJvn|Av7XT%gsh6 zCO$3vgtQ5XNv=p^y?aDM!ZM)_(F~q(f`lhAOf%1^1BL$pCD3qsO0>s@ClJ|!g~z!r zDlr&u&v=Vp5uhV7nX@8Tc@O6@sJ`;Fw&2=#iY~`dIfc^24lW|2Q0J_I{;Me3rep(n`ecVQ ze?X{W@Jxxz(P6?;86qISK5nH~hToyuI_Xf4cxo0Gs45dVkDC=ZmvQqdm^ECf?t4my zVx@jV9iNNU62h|%$IFVb+u9t~DE-(k%wzR93`zznDGi7UR$p<8I2o0Z;~yxwQ#*Rp zb~J>JlT=l414CH!f)f;uQP6roPckebyd$Y)Mg|O5z{I~i4_FILCH=J$0kHIphqQ5x z=5ACS&?%=r)dvhfPV&Qh6FcQ7Q@h@6l(c2+pu4_)B0-DxgG;!U`D|ky8B&HEL2B(4 zxwqalozST`_Kd7t(JxeDysvqIh1J?I_{xS^Vpb!=*@oOrf-#qpMWxO0zDp(_}gMfW-bY3XpubkF!uM6dEHB~)eXu)BIn@us8s1hKJl z_0}CVS*TZdEQYW9Do)9IdnFPUYrOE7!;YJ#EAwTHVpIV5zf+avuf3kJ;fNjV!JoyL zILft&X)9;X?LL5i5y9tuE&71<5$^#g+Yo4l(qP3xz&vArXZsRY1;(p_<-x?S@PDv{ z<17=PRk>_bW5;AJi`!Q9(~PFqczISwfD{@s*jY z^&=Rpr=$mgC*^`5%}AkRwq+V>=4&V5;j;)jg;O2*vvhmPRm7z5zuZOY8gnJ%HBDxM z8ZcVa!vhJ529gSI2+_of&WNqcglH1r@q~t5Ej3xlfeuurRh=H8{{S~^9IfE`+&4ng z^O)X6(WYLy!fZtr<`_!8VpLL6-3Y+k%8b%YLN|9JVhy6h7u<_D)>%Io+gh56_h<=n z81TEl!&dAcsQk*j8|fJ%Yo9au2BQEs;+5?RDxeUs8L8fuD+CQTeZ_=f+kbhGXk4(> z64B)Km=l6gM`-eurNKxSY}5~Kn2(Qj?E;5C!dTR8dIW`634m%hJ}@%kna{WGBs;VB zh+-R4q^QHny~t*Df3b)exILeEfVxQRj27o|`y;0kxXG2(rT2&DUGNWE@m|I3VB2l;<7t|&JAS|diceFh(t|#Gh;RW8L$2M<{1|3Ae<}e zsrZQg-f^vT4 z*Nl5339=rLg%ub_IcN=hYF8fn>h%C&y ztY#`X=qwQdsD!XpaV~d8v%+KK$9`yl6PH7wJHn@P_c^LolpzRqoT9%zZIoTlgf}f0 zm?K`qNajc08ZlxjEOau#2M#68p#}NTTX-Iw1(+)_#C+x;?vtKKMHS$#q!!wTNuGMnHS zZ=5#!LZPz42t%2iAPKdJ4aHUVj^MUZ4-(zQ5kC=dWv+>EIQ~py-=MKo76by*kL+XS z<}~6p>;0Ju;#HfZjm(=cZ#|?LA{srD{vFt%BZtrGmMlbC5v9R8-#Kv*8u_vuwzZ zS(dSSjurX2f=efh>kbeqWC$t{%1|1X6NpoL%zT5S*qM>cz+71LFTDVtC}OFs`pR2- z!ujYObpHUksR&B~{?Gk~1_$uRyt2KJ(@UbT>S>ojA+yxNSpLMuz2ms*@ze##0?15J zo5QkF*FQEKpn%xvn*b{7!AH_kJ_yTv7;RqfTGlN}Ebxk#hwfdj_4HrJn7gs?rcho9 ze0qkR3y5t8hq)84r_v?3%WwyJ%w=CQ0b^{ZJV|RKc2uYEJwN0^HA&<_7eUNLC6LSt zj4=nsy`yLyzdM4;XZ1{eD+I>l%`{_1Xm|aPmu>b)8kuS^D|HJ$NW!~ALxGocRV|0_ zGTv2<=$8q-WnjV zn~c^QWc;a%gE^TXy#A&&wEZtLiODJS-$*|DFKEXv0Um1Mj5y+-NR-ma=KQ%)Hsi@I zI`Eja+9)nKV#H`Te+|cQz`vM+Mh9dI<%8=EY^B!mH;K5t6XTjmQthz&0xX@0!{+7% zFjTUHVR9g+=Mjza$E>1$YQ3TW9Qs__u{mIytA>xjoDlCe=pAo24y4eAp`snJ(-++LhNh#n8w@0A^msxti z*>?PXqY>cfZsL|{f>}hz-1U_;DYBAb!yFM-F>iFM!pP%fUv?~_a~H$6_K#^|nmm>F z{6}5+$7jKp1@6OkBPp7|u`Po5L=Eu%3-^`N3f`m_P#QkF^p?TN7)X}GQhGQ4k6lj#0x9!6K*p-e7I%rKtB6$Sv%#HJ4MQi(M}}QM`SFJ7-}f@u1H%zB z5SBhmKP8wb%)}OR5tR9vgx`rXe-;y`RD2TlYGUHf?+tg!>kWA_(hP0WDpXa0Q*p#X z9)q+O=KH}*!~8IsztFffiDb8rr@R72Z}u{m;EG7yMbP0?j3lVqm$MBnn#WRr^BUxd zQB-?FLTJPHX803~jwL+d`G{4aKXVqGGe0qi7Cjt<6amhEF%dXDPvqsg&(yD5nuP=J zfs7oCRxS?+HF%lz|Z{{O=0$V-@t*$I<~U-lk(_6cc%kN0ZTo3*GgO#;10OCJfQ|lwRl&qw5Zm z>JcwIqUN3bqZ1$;kVWx*WgA8(PJwZ#Ysh0Na|(dX-{J=bI|DLagQ1Nfh4`$3vj zt1}FDhX|>BJ*CUz9#bqMHAw-9uRs$UM)fC7(*>F9UupPGaZi! zopYJV7Z(EZ5#9*q0p?CFDOh6rvF9jKKYceSiyq_rf>_7>pNPU=;=xKV-d#0p-WcTM zed6;?{#W^iha`TjpSTNF=67yg3Wi!!5WD8jc#fO09){VxO7w)Y%kK=50kes;3xuY^ zGM*ovl8eDlNW(WoV%*Dup{Zp929%0>d|)(x*+&f7`B;7r493%fDk!d992)TaM7S~g z%nB8k`_N+5x`lIv`@lish-&XJQo`ao;{O0~P1pV0HE9jHaqTkh+!a}KRIUsl15p>@ z?Hzw5nKtU7p)pi4wKk5kHw>3Dt-sW2<`USz!eHe8048sWxR&`x&U!qu9t>stSh!4~ z#!+exQBgB0V$+C01gOoS{$5ONB825D=-exd zT9wzcr*`XTnadRAz!~5E`AXJA+A}J_WIMdfNex1L$y`hC1*!Rv=N?k8svM#R$J!})5}X1VsZnV{1ZRRfe->hx z^BFa(hbmCdgh6j+XXimuMui|-Y^gwgq{zhiiHDUj%Q@*i1BU#}$`*@I8>z5|FDUGM zW*@Y41g?zQALj)E4811UeHa~p-FVsimv8O#nF!@X!>N(Dsv1T_@rXOBY@^JHQO4$| zv^Zd5qub(3%)R5LEAwXfz-aH8L>%J&==w{UtUw#tnbX~hv|*76m|iL%_`TzUHLs%? zV=uFaopvHNA!U>&g+_l__LvKjGAfFi zo}xGsm8j|>mn%TWQo(h%evvq3>+Zl>n9GV@%_0~|wlg=(#e6tfSXsNtQd^2)Q5(>X z{Q0t0ZfhMr(Xv~)d+7ZWwX~6myZ-=y0IMCOSZ*P@w!Z92xCibVQUXFMEWt$722M{% z)h%I!w>1KTQ6R^7GxMU(TTwvshA(vYo2)s`%;fj!lS~SheGsLVl(p|a3LuB1>6SE^ z=MGgXCXQ+rtbQXtVa#i9Vg!1mI79sHg2v%9v)1CjA@S)A$R?TSGY*xRnS5s_sT2ZU zVV3B@lvu<=4u4Zc;T^*|j!Ci<^ZG?M2V6r3uvzrHCjS5t6g6+8wN|2ovI`FX0NBQsKG=Z2Z9YJ1Vh0R82ngoIvc`VlW%s)a*$x@xEHxdbx zpw*}3#0pV`xwZ|NYQC&@Q{u9QdoekCu%f8Jau^_5zFfy~Po(4Wm(Cp3kfZ44H5X`V zl?79$jJVrZ^MA=!yKY#ch(Zm{_!IRgHsVlb%qoN~0u>4$tj`_Cu@9O;G#P6ZG)5AO zHmDK^_}KmazDj0JZZNgwm9tBpGN!cxH+Ak2Z4nf3TwCynyi$sD58j(tf|BA?6HM+P z+P`8DzCMtrjF&5+>4O+6c4FIEx9t|Btj$)MGJ}bY~Pd7YE#z6w~DeR1Kz3nM;c?B9Z}=GHNkG zSn}EMyk$amdPmhFO^AP;ks}eU_ODi0YeqhOqx%m|lVH@bVKa#U3RI7eyjK0i9CsaB zDkHiGF}udNjYGUoFx0zDeA&KM>NoIiIi;HVDoTN+pJ`*3Ib{rEFp;dG1+g)>e}3}& zXKzw6ST9_{6w3)n#lD>m;8DBR;{O0~TB|r98N!!wn>+i#K+2BuHe<91Fgb!<*XjQN zBND%c2WcMAsD>F-FEdoylMZU8+>L{{XO6wrux_ zq1x{#i}{I8V*db9csfyU80pKqSx8H^5<-T(BNhVtON==qtoMS@=q<1SnafKx85IK8 ztg+LyLtUV|LZ)nlP{TX#&k7x!c0!hEYg-^!g+3Z6YT3lw({{TBWK(OQa z?-JGl^l<>EWMq?0sp#4et@98Ih_^ET07^GHLl@EAW-?7)8Yw=dXB9_B|mD983t74sfM^ zAM#$^*w)V3Lgg+&&$Mci;j}qM1(p$(js{nJS?o#*VvGAiB-UC^rD;)&UJN5NVD@K& zZ{twb8GXcw>?F-dV$^%CT^NTn+3rND@%#SA4DSN7yg@Zy(Y54+yzNFbcbmx75WYBo z3vOi(3L{kVd&?~Hz@0i+wos~^PmgGAxj%w#2#1HPZ4p~LM@D4ISYj1&`XX9!kIbwS zIqeybY+#cv?FneA(GvsUQ|ka@y?Vq2&9P0{B{BDd4u%$^0TJ}rzWX++*tDkfG65ZJq=p`n)3RjFkH}Nb+ zBMfrerk&t(;sSFhQ*Z`?-ewM64A0G*;t;}cmp0K}%YKjn^oz=-DDQS1rA>1eP>&%3 zXS?1}9Nr)Sc)tk-EnqRy5kPS+WK(s|?m8+r^@F9ro(L%oiR&tzfdOH}Sz-Zl&D}vr zKx%~blrg+T43?nr4$+F;Lstk%-}etOFV7D`B8R_GRpn!;j8`b!3@^=1XdmRz=!Ou^ zx_C_xQ$I2)a))vS#-G&4ZIkEbAdY51#WCHFc~;(*EJ`6`Q1FC&r_q)*vmWx8Z1i93 zGbxSEWvebQD}z5D&*UQUPkD-`%*;7c5%D1zPqxh57D;JiWpJ5_obo?D@vNQLVfZjm zc>e&1!lNdlc{W^v^1>TrF!3&J9Vm;)t;Xe$T!u54Ff;L3EWR@ed1N;YOM;^^PxZPs z=3w}%xp6L>{omXb4MsNQAgV(*eZYBFcVD8B}O{ z%u*DNTm1ZBU0bu(3P*+tXL+15v6q{KCs~Acsq~f!fd|&v`pj|cDr6iGj3!o%pzSDC ztNb#pN(+Iom?E?|$Kq4xKih^O%N-cxn=s=ph&62I(475W*X}%Zi3oc!xERJ^d2}%W z$nf;2$?EU%Xe$6*{g`B(Mz4V^wp&=%pt(HzGKe{|(hZK~x0{#*O7T?sCO^9k(gjg2 zZEja4v+etWDseuNV|m6KR3mIcTVet;2WAkf<-fQn=(_vEqcM~kfL<6ursHZk{l*#j zxS{ccR0GI~1KuyDo1=vQ1l*`T8Hzc9%iR`M%w|A{3Sws0(j$WUh;}&&``)+DtSERug-w=_+fTi-Cv)!taZ3Bk)^;9XYAj*nN1;O;u#UM~ zfnl4kPt@&T9*`dy?G4KjTIr=Fs^SGtml1otea2B-?e?jF>lucllmIsnvQ-wwIy-vH zUj<48na9jZTsoV$RI=>D%wF^<)w5CjRd6Ou&e}$<+s7Sq^NJ8;o%A+a~u_uw~4c?FLZ#!?MNmE!~x9(lKxBfx| z+8hbJz)>oT?T@+N#t1F1YalvYqYduTwmCgvG%;H7nYTsJ4o-l7Ex_Inx-uAOfIe{U zLxj>0BDOI&1H8hH11>KW>l{Usc$ABf=Mn8Vzr{V_O6;#l8a`qj4{2};aUB$O4%73P zsv6Bo#KSCK9lfV2BL;FY<>w17dTOx+lxgN)h|B|=_qfpE{EG$3A&W5%NrIU8`Gl5g z>Q~mDL#7!wb1$JVFA1__ZVVur>}Ca~etqCO!1N(BcYDE-Ta+G%<_rsm=q8jy_3sH>Qf+#e)$dg%W#6VvNRjJs3`J^-=WWk@E1*kL&XM&Mt;>vEw7Oc?~;8&wl3!u@gY1aC_$uf{fq z^5O_}{{Ug)n64tVg{&125b>M*e`87Ae31=cPi!f;iySaos7)t16 ze&Tcym2tI0Fli|-lF#gbl{NbQOB(rz@$sq3U;UI;*X@j{v|?X1js*%`^5Aw)m;Hpf zaRN>Y(U*6vlw{~!*5Oi3UmA!9xios;#XqPe&i?@Cv=ua9i=1*2suJahKf=Fo?M~7w z$hb&G0eSI>H-r`|Gi1ST;a>58^ZQJ(&OV={@h!iie&F|_CY1(}HkTOf7(9K)#&Dw# ztZ=$IN*r(ZG~1|YJo`s+I?O1X0m> zVz|Nc5S|2fkT#CykTWG(&K@`Cz=efXt?>~P(tDX93`;9iMnn$tUskC_R4#*#XN3iUEmdYBO~Ue zneyh*K?X!|I4jkL2QP8&0lkN`1eY{S06{LM2H>u^jkeE>NjyKN@ft5a=vD@3^o(gQ zDFT%mT9p->JrW>3QxF{HS}bg+9ltZcE`i|Vy&Glmb8F+lh41$|6!W_$tK>PJ7$9EFP1wbi)Ep}jmhmK8{s7JO1 zIy37MRr3D;k%PdO-Ne1FJoIM?pp@S(r)SbOwQM5z5h|j$9Zls$aa(NM%n%8H6YhEk z=|rAiNGcC*is&u$=5hZ3Kz1wv+J4E{LVjBInSSTAEY5mKWE~A$pcYwykE~-vRO7g+ z9K^JjQYV5I7>?$}+IWcD|ORbJ#uMQQ*rpJj*I{wIfPr);;E@gWLHr z>zuwWJ-;yCzh((Q4ZzT0Wh797>}&=t_h9~!`GOQ>h@xCteyV-FK9a|CRlpJ23*HCk zp&O0DgEbajf3W~T0a2IKjfruoTYpmnYaZknmTde7-Zig^lt%=z!UTw7SsJD@o4>0L zH;Ds?1QE4NMwK1EjLL+fvsm0=)K7V7m2adnj)&4wdzL*BE>K16dqNd61Ot`#o*+-i zKoauiP+VDMt5YCtRrpweYghSYs3zHV95IHrLx|z+HeeNUiE^}=&)YJhJAC}dF6=^} z@bx8EHt6VT3q~dRa{)^f`Y|c1V2wGNL4?vcSxa2BUwB62xQm$MvgT(JeTE;XoMkAv zbj6o08=4U;iJ$W;S>*Z=v?`nXf7o=pCCTQ9vyP`6&Q8n)Uh#pW>j6nOM9dKLFK2-) zNtTG35Tz#aDo{6g%#;OgIB5m&C3qzDmA`bR7lt5SEZrT-&k-7)2kk6pBlGhT9PjWx zjHr!=(JCN0-bM^(Xl@Ha(~m|~4hr{!>qqub2Q~PWy4`+H$>+R1U~JrMQ>9Ats{KP>--hUla7y%N&*Xe^8m(Z=n9AL%JeCmKdX0XD}3{{{WC; zpDHvO5EkYUw|PsfW)XahG+r~74K7eTOgB37_km%S;2Tv{ zJRiiO+{B2Wum^J>hEHXb)aUmowZwMlWy6TtJ)+*5P02tog|t9j;r5lNwNEgD^`e+q zRmY+nOMP+e5JzgnCy4YhOf>~vc8HK}<=UX}g4dKo4YB>AFSRIsGfIJAO1Skm2LvM= zr%`Ylg01t2-h5x`P_HMnvJW_OjH%EyN1|#KQW6L$#vRLoFv1!oTBr(L$y_ky9jD|) zPAKnD(sBO)Vp(yoyc*NTydgXomV2?7X66b@rsG)gjW@IDH&I~v)BBbIwYSk9JmDIw z5|+YQ5N8pWmc3?O`I$ic+(_WXb9jU{0J9kMg#yI8KzsW|KMp>>*lGOMkcDrfZ2@Sv_(q+{C`TB-Ns{b6<$wuB_(8bU!AaUz z5zoOFgTW|)dxslambWY+ii$8;ijY?Lq9%5qf8No&3{6nkQn#qKVV0U1a0<`8 z`bufpKG_8_Wh`qrgm^%xGOU@y7EWda(rB=T5trpI3vuT*PrXBKAjQsiNh!mD`!DKN z++*z=8sUt)yXyciU9uLiyG6?iib)NAci&wt2eEk1h2PU*I0Ms8lN&W?s0~1=@>nUlCZ@t*D1WhwKF+?!IEER8? zh|$cTLg+Cc7YrEmp=yny;jWb!kTW!zx-5t=vqMUtd8a>&z|O_{kE9<$Ko`PMa+=0w z$6@<~7qc((WlT$g z%t}-mXS_~ApUD8s+w)2JBJCG%)FW8Y3Kcc!QVJCCzsI+%poY)nvl7DhKyBM{k#ij- z+*ny50cQ~m0F4Lf2F-`0a<^IYl!d^VO(RyiU>@Q9u-P=z-*nVX!SnSSx2huJQl=i` z+E(44JtBw0h=FksFbzVN%O1~Wesp6AV#9-q_Kq4K_AGe@Rs}$zOum#;yYrIM9H{I=4}?g>`GitGV~+1_m3GwEfXjubmyrmV}go7 z67M1@D7TyZ&S+WrDi+Ua_Bn!<#xs|v7{lOU7!Vghly@_pC*Dd5d&^WyXLxBg#Y%4Y z&9P=spBQ8m3;0*wHPKGzE-;82XJ0#MxT zq@}w3T|(f^{kBDQ!48fLmCU|u1k4SZ$Cu(UPn5FYGD9rxBP+Z`udFw6drY6j_3320 zKos%zsaE*ZVoa3$AE{5O^qYB@OXzO_ElU~~9tJZJs`r^uiGhr{jTod_5)YLt2)%{-=$8qEHv=z+_Fe}+quO3o!RWx1n1K1cNbL%WiPq+Fx4+D! za^@XR7p%Bo)5OYHGZq}9X7>7#ZaPHrZHZP`l`!Yp8jMs3w==AAv6YN4dk_wC{eI?b zG#-(bb1j6gqW=I$%0aEhraXH=ylGR^RovY)oJ`RxGHMZw%SIK3T&9Tg^OqJ;2j-}f{9B>RU+r7#JF4^Q7Q?5=4P%2(b|4o z+W;dha|;KP)jgu#b%?24F#K_YG>lajDUY>G{{SiNH5~o`&iDiOv_V}L7X%x+CADr- z&mkzhy{djd3UC>ZNa*N+uOr%AT}pUMRp>Br3z~pOW*X&$g|u4z z^XkG(iAljN2CAG5#Xw)d_J&mXh#GPIm@|l#?vCW^`7zE0eqw~%X>&w9Ku?7!$C4P z(A;7KEVFpQqHG``;~QRIpoMakj{yj7Y4n*{-Onhc42;FZvL<2)A9eE)k|jZn>4H9To4WBU?UvK1IxY_g) zKIZDXP*=3T(k6Q)qB;o^JS%}M&%|OW03@mHZ{{WB$ z&7X`$u*GBZ0?D;a5n)K8{M5HRe&AMe`;p4spOKG_N|0t)7Ce?2%;h;hP>FWbQGyA0 z(FQ-{%!e=(97Xpa5;A~lHkQAs=LgSN%z6l%c!Pwd;~go1g3BWd1>d9)U=Ob_Jn{i8 zR2mZ31r^+Y?`QDCrO?4q5)|z$@5a%nNbk|O#@d#f@97*oFYW-I4`>ElzRV1dR_Os` z8n9LRN(U0>!I&`~WYjIJwP9(_J>$a6%3>ia0$@c!-IujWyh8-aOCfT{p*8;i1v+Df z1bzPi8e>squgL!ZA}88a8Q3%f>q6j{LO5t8OIzl)TQ zAD)n2dMnxyP@7yRMh2y%K=%kk63cV3*JuXP79;GyLI0D{3DiwUv~#xUmB-`Zz9gVdSs^X=2~pql6j z(uoS$gce3~T@{$KmBh0FR7}c1iFb?^h)m|lKJP@WLLpYJDGt@^`;}wm6?kI66}L22hUF+*Slmo`-nB zeq^{*Kx;d?P3%U2-~EkmCog=ed7eR`egyc7vw|tAXU>ic6??CL_2cxKc8p-AK9C!ALShasp7aZne=OYq#VaubaI7-LnNWtYXQI{TL?nCDq zVTi%a#7*J~qzgEOxBM^#>4>KBJ`6;yFrWhNKNMo-6^ld`Uo$y4e!Za5ng}F`Nh%YI zmRKNBG12&DA$YRfTKm+buMkIgt;Dz^moX@7_>mFs{ECw-)u}`P3z&lp(>KLI3{qnZ zWAOg~*uRf2_B`H)+>T_!G2P9h#YKStfaYWVYGaB&kmz$1BJl}Kt?n$tXApcB_m!y8 za6@EB`=JjbDYc6Q%|>AWk=%4VcDY7e4_Su}3;RSzkM0I)U!{cF*;75Bx%Z5Fui6@^ zds`K_nMM`X;Vcs!#2m_i%L@WE@e%IumzZj24d_Tg!5*Q~YSh`K`^!gv_xmY+>krtU zkvDYN1_&{mC%hsP=eI+=n{>E@vmI~>wqg$PG?=Mbc|-T3sZcEzPnKnE$D{g-0$%oG z8hfy1&^mM#rCq&a8yfsF(|bQ`aW`$USxhFm#U@e9?zw81!PsF5m+ERn^$b!!qde26yj>!%N z@rc59sO4O#`Gk_iIc9mwgs9~Wiust*yU(i(i*siQk5SsZqA1KD?p-QF!_k`IfggeT zgwM-0Rw3x!a*DtV6`oSG9`Ptjir~|m=rA4;xpgl_D=a^RxOc=7DP(*g^br8>qVWy? z0EpZW!*l`VYZ&}~kLo!7ZYA+6m$i6eKeNa)R-T zBv&hx{F%NWivR7KIKXS}2X3AkO!JhCN`n)>668vlvkK_MOde^TZ{3RsC41 zrv!M=U6Ya{DsE$blf+l;5_`iJ#}1z}6{@gH1wFbMXuNSg(5%~M?tb8Rc9{|@N3r3t|FaSaY z?D|A%?#tpZP6%|h@q}FPm0v1-$LG=?o=W?E3p*#yG3Ehr^gP9Lmp>+Z-{smO%t4?r$BXvhqp`fR>xYK`cgC zMiq!lOhq#mMDD;h<|5L>HZvVZ3@XFkI((vhG+{u#XoiK|%e*N?Wky&amlo9bh@Q__ z%N&QaU|%q8%KIspY*g@-nhwwfIA$ZpEauQ#fip7T z3@aP1gMseeIZ5aqx_$TKx>AMJk#8)T}ExKFb=^-Ng-emW9SWV=ziQ*y<3}Yk?VPvoL%q z``R#;+9vs7RI4+D1d%3P4F3RPWl8Q5c#8EPwwfUdpfiG*og#|3eRU0ADPs_t?Et+D z%)-(`-~kJ{P(`>T2G4ln`1cSR**r=8#hp!FiFOK=0x=8c5cuN|SidnG-*XBkL~zDD z;yd%jlz`?UEQXB83bV-VFF(8n6urMRp?dA5p)d@z7FN-bW&Ox zgfZM^aU!qA;M5_~Ix3cQF}@RX#Dh}R%vOa3!>)vS9R;WgWkhHCMWFd)5{+IV`WgAq z=LwXa2<*g!@q`Ly1UE5WQ*O1HAR^Sa)F%TdQ)K+x#~a=V#o7PO|?o?ZUxKGMVZ`l z?!wslY^Z$Z3fu zsEhTIs7`vOt2IglZ(HTxMQlLB^&`)t0xE7CVZ; zlvP|r1WMF+m;N?NWP}HL`@@!e{{V;DBL?#e$g=O4%!KKSpOpm*jWDmeAl%JOy5`9Y z3p4u68zCNK<6R`fKQV_Wp_VK9XYrYN6hB|G8$j2<`bTZz%jPoX;u4p_;#X2pE-m+^ zJt0}VmGvdFd6!zU!qLV7xq=-2n9G}|)>}pSn6bVx)!+Fs-;GZeBR4UHXAp(-xr;tx z0E4H`Sg9^B0%(_#ajAU8l<@Y8aR3vC_dJS%QX{0iqfkAy#S4EJ(Qt^k8VwAqq`B2% z4Y;Y5Fh#D{&sgi>KQ%Ip07fY0HH#gQnGoSp$Ot#R$)bjTWT8E|2{jrcM7j*?morqJAy7Z6NAm(w7X4!a>CAZjlkCx^?9oJY%!y!Kj{ z&7BgUFd!w#aD+}7w@8-~V#NhZQ|?0Xa?w`2pgyZHY`Iyy)>H3O>|64y{J}%EAtCPc zmo4JiTU1GN7${t-j%o!POeL(JbNee7u>K|>!2uR1q#&Tl2TnEjs4ei3?tI+C@q0@P zxhzJ&_>Q1VB2|b@jQx|O!wke#!x5573Xb4)h=t4Zk{Mw&o%^rUb2U<|P078og3kpW z)gAcaIRY!jA;8vs<%l>Suw7nK5HdaD0PG=1CE;@O z+RXYwX75l4I%SnkU?KWP9kKmMX`h*dpyGWC^$Lr$p%KxsqyjrkYrm{Uq))ZNDREE- z=juY4ucZVsFA3fa1ia^LTaXs0_b*9tHDOiZ`n`%E;Q<*hJ91))rJ z9)k|M;ZzEX2T|A*H|RiKOJeN8Q{u&krtXy>hV$ZS3y5W)moa86rxOy)H^ymkefX{~ zqGX?+336pZR97dd3^u#_{?G+o+`T+rkv_aA=b}ebGSpS&%W5L#LonCJ?p1#c#28ZJZw3JgPqbM;wU~zpvB9Dd z9$vrp0Jn&{d0CZ=29cUlD4sEiYA9EM>lU*Lm-iZA*n+NY7e61=Wgb4Uu)3%VnU(P! z5j2ixMA}$$;|PnNW&l^+!s<@TDDG{;hcBoUwnF!;3#1DI>=L7e3s8KQk*8GbeN zgo9C!ofxf`ip}CE@Rd(v7re8CwPs<`^Uz_}Y)YvsxLbxeKkw{1)h5IW_+PB@@~9j)%g;l;p$qTw&5XR%MyIRU`)m_5H(1w&7%(iYF(%%1ZGV1DQjk zTg`_T=>>_A_8ylV5t9D^61HSs*k~xW&2p&6X=idK!Lf-@%3tQA1#o*cEbh_qxQj?* z0_f*IKQN1#&#WxK0<{_t+-)uqhiS6~&_oJ3iqO~V5Ma_vJJ_A%K%>wMX<>A;8K(0a zUf1mw2jL0=HRGt=%@1Z8Cg+rqfMwwE2xl-r;nOCgxlNZZONr+y6fr4P@%EfZtVd8* znlP&@AxV;Gm30_c#m&=1VKyXkg3Xspe>DgoMMN3^&wP#vl%8X$S&>5p!JL*Lh?gRxO7JJNgs zaPH2#zc<|p{&Sn+9&lO%odQ>@EUvO}lQY~*rdR&4K}3n?w9Flz876zJ#>e5kGewZ; z`wzQJXlCC{+J>+SNvJSOOfrZDRkV>$#w(e81q5?0C(g!N!v^ODlX}b(zC|HRBI%7o z+fuln6-s|s0DAGY+|}*8PGIhFm96@Z*B55+*AVg);HyBlzf5F~mYsK}l*NDfiJk*^ zcE4&68eD&8%g>G(C*SLX+IqaBeDG2Y97rBgS-}_`@3;&i@9xLE9FjeoGWICGCvzNf zlaS}@;`|0M*DLl@o@+Ht*jgBN@D)@~ zR1R5GSPC-t+N<<_MY)W-Mbsbvo0z;zbOvhhsD2VrAJu*;zga2vq=E=Mr&=kXq$CxK z)=CE#7G^aZ>7U%&=qG8}c=K%b9VHU|FKMCS=} zM?KPAHOe}j2Rp>7x$iB+k;nL+K<1G8@Zhv`xWyY~MHa?S?+K{g5@6tb3L6j-H#nLM zXUtb^P#?cjMY_)g*zHvqTxT^5*Od(qA0QeFA+uOX$0?A5sNwOXl$Yc5Ngqs%hL&ll z6rx`w-yLJEb4e5YO(smq&Rp78FEX+HLnC8`MAOIGQ@XL3HrkhwS@`Hs7 zL$+?gl%hf+O(cZnR7f-ahvQwVaTV&1P%o=Gtc_AsZrLJN@8h@c_zrDy@}>U_vV?ff zh`zmQ(4UVN$z;A~+|IN1%pBLJ`^m{-=l*JBH^p~7tM_G71QlzBCz}ykt%?t^9ps_9 znvUE?pXKhB4dkc%PNSkg8xO><5tF~b^abjZOMzWuHd{%0h$l2A_VYTYTCP;L)%rqwmGBb#~=oWK~i; zv2XEJ-6QumvI}Y%c61Nf=oXs%xrote#?GA_3F3}xZ#&6@r{3u^n~$as^FPsfHR(z_ zGA08^w%JuEj9NM{h3Kd2sKOdtz%B(<HS_&kqKYIL_Wbrts8|zO(MNK2ZJNFPgxf2gc)$U)ew71@28;I?0M2?-+&8|z)I zLru<&PC?b!o~{bszJ*v7tC>xR?HCxOQ}1^)Zi{a*W~yNN2dE+K(hgkbqMKPVV6%IV zlqo$aPZ4%vyf!gFF?4(Wag|aGkksfC?w5(jPb|eAmoa_hj{eO2%H6?GV(Fydv@1b? z6Phn>0%CDU(DS8Lg#5jE;A2z#u2!SNb|oxEWZayJF$pphs!vbV@y_Y%IDZmPkhP`i zD~RUT@531ziPL{fb@wCKj0HKnPUP7GK@%<`9_GY30nTTw0)8}q&1?e$*6}({h%hha zVl6e8Msi2S$JQS?9|gv#GeI4;0zs^_$xC_uCg8C5_4wF9JCIasUmwF95gE*E&@;l$ z`N9<1hx<7UpTz`V1kHuug*_F6F)FyvF%cYu1&q^vi!64?w9YLHd*LEXqOT98w2Fxs_^tDM@f1Q=D zp=)Pn+JoPgRcp5-e3X6_r0Hf4&0H6@z**k;CEwZeW)Y?8wSi7Ez1o)<+8WDOMS2A} zbge(kSI~9QpIJ9IW7*PEtnF!=eNw}ZNQL%qz(hPU-! zL=IH3uZX8Yv|fCz48MuU#Tvza;64++U}-TMzTT&yQnr8+*cE@+cnfrbp#N9L3aV z_=%C5Zi+o$;!+#?wG5b^@ZRUu)UT1_s3lsVGm+XWOE%lhdlTJ92biz?;-mw3okK;y zH|S;V&m~9k8=FKCI^`KV41Z%o>AfL76%M&DLlvDerd5IHf<`#q29QzGy`Gw$qrJFi z{IhBlqv4a4*$7!_{jF7JWzs}X3y+#nU=^P{*G(VF>_0xQWr2Vk%8y$xx7_eN+0$6- zz27%uEBI_FQCCv9Fu0CQrbK!dpK9CIfD!G}+sepw;I0KpMp`_Ju+;59-=< zc#zJA>j&7ME|$?I^unhV_)TWh(SeTBN*{i@Zi!13GnC=Pfe zpl28{8eTV$_n*=yh*c?@b%*>}uCBx59!SJlqmetW;IPSsL3r8KC4>Rafc8|FACbOu z+f9nwPSaE56U&9d;%%>?w8*>qjvuf2^_cE(jpfQ1uWMFDLAL|4LsJ6Vm=*~NX`d%H z-+uTVN}2xT&CShf(fPC6^ML}Mv$J0TzA{7T22EYA#FkU!(n9pLc$y)(&#+0lrQ)&- zbC1Vcj9942y?}ns+KzXeH9+nMe|6=t-Q<_{TT1OAmnoCMd_kB2ox%_8@E4H}_2^I& zBJUayqw#l7B3&K3?s#y0y3uhaRkuNo)9R3YW?H;$noDcvX@`$mVCCkkXqB*54m4__ zG^}fkV4%qUhG{Is3|j32{SfDa2NG^VA6?w*z2=i%nb~lh#;A}QbpuJJ1Xxe6^#bKI zX&=of8GNM41*|``o7B^f8W}4Y-ULVBrO8hS>~kLe&L0Js@Gnj3*84k>lk-V+6-^_tp;Cct2D)C;ckJ6fhVa~te>-_z;WBM?iN4VY>u95Am z555)*k$4A1;-pm?+}<>4vSkQ8oV(*ZJ0`z3C{Q)-BuLtwTziNf0XqPJN@>YfE(b^n z#&u8YE_H)30$+D*NOD3hyEI62!*^DuQj%2z_k(L`qmWtVav~|n!0KE+_e<=Y{roSA zl_J(RvBlDbj6Z6PCu>Q>kp^KD5rKPv@-g^_Om81255UmtJK{{-$!qWCO zu11kpsAXKT#yfF~WKB^M0*_LZy2-&cvnFkc z$`lTs-`&$pHs77L{$Z79j3JkmJsdd7R8Vu}MRaGY85BOdFnSYna%WRJq-bWbvYd3j zW`b>0`R&BQ)^**EY-P>6OXO`SGho{U(_vHRZ6qJ)$Kg>dyPIfaAekX?6*cg=PFE9Z=o_lD2uLTp@p@Gl>U&{wi!#z!ewu zm9*D}JaMKddCc0K6qZ)My1wDF z*Y{uvkGGK?Jk2#0GRv;uO+mUN*ip*;WOEDo-YJsNQ;B3YznD(!OfCnitS4fo#HN;nuoZ4ypoWj<#>bXa;%HY6$k#XQzm`2^K=%I7Vb(EJ?F>GAo` z#IR!7e8#z;JwNA`1W)rH<|h3RR@DlW8y3z0@YTuIc1^<)9d< zy|j`CcN$;YXQ~=DeK#$(Uhp8Iu1Kzf`OCbg6b>35cUJINiF;o%-{|!CJe~TR3Ml!o z+A5*i+DNi|f&SIgx0=LO;q}Wy{LRrtUohl1yK%g&qTZ&Fe_y@Q*p=QZDnbq!OxnCDLHF+=Bv>;b#2)V5;4A={T|qyAzBZ)69R=N)1>|GhY4 z;ZJgNUa+qUT+n2votZH{P|^=h7i&~w+5nUdsTpThC^NOU9$!R$F`*@|wPpfFO!m)p z>koRB7{q>1u9cR+#_$T2`Ou0khSDGefU-&+({{x9e!AIa(Vprm`#e+UACIUOZbDHp zP85hG%;EVy4`X=UU(Li@Ix8o%0C;kiF)t%DW|M$?&QP z>yB5n>CKvy&kJzbej50*LiHyY0dfxSecD88k&nW~VTDT~l&?N1Hf-GIXu$w#z|J|q z!At$OH`7yJG(rt`__|$I>F#xBG|KwisUuzS2C!X1Rx3U2e*PIr*32G!gJm3&tk9@p z?ff~;%9g=z?VW5=>{cXyi~C9Rt%#a~7(hcEru}hcI%mh3YtbLX)etFQTww@ku>1P_ zD;8}oAPFf{N`3aJkiG83Z(m2*=U<8bKFJmC{;kDZw(Ck|jwNV>F43#L`}`t5BUs{56m~;BsC6Llhk(!dVZCzj)wRxY z7xZFqmEVdhM(+WUK{EPyS>Q~-w*UxC^(vzMXcj zAI_2P#p$Z=%QYjB9ZQ{xW6(=>dBWJYps09nl97!L%qH%9bF*(S}xwGi<*Gx*VKr~Zp zalDdmpPi`4+XkUeP0&dQ+?FV(ke-}S$hVgk^L5z!rr9asnEB^`{e+(;?!?5hOg@y3 zJ~K~(8+wwjy{y$**zBS(*Ejl9H7e_4fstM3RRJ2_^A)k5m)zXA^V>i6 zPRPj>5SK}xG}w{e7B0K8K%w`0t6-aUw6*@D<_8syCoj-}w|NcWmp z+A>BMk&kTq5PlXPQWnV{+I;h!#~EyDpL8@l367}Jin(yJ2J^ih>XGa`tfoRcAc1@m zX**sJ3Qk|ery~v*eAo_GE?KEk+!}p+MlZ00&fO<8Ez3>OfQ(FZjSh|V))OEF^vPeP z8h=s}WPuZYg!+il_hBX6yibZ%P(2SVXGuDr>DY83Gu+JN%T3u_GE(q_W!oPq*kue|u0nRXvQh5KYi0A$v?t`kv$EQ-z9>#3BYyhNXsnAI5#z zSk^6S8gbBf#@7t;3RZe~-~kt+kJ^hyOW~qmSJ`^cHRO>;aCd}k?TxHzNulfoN4}ql zq@AY($e?P++Rl_4NoX`pWGaye^Ry|>w=B;4i~k4knEONwEEkg&=MxqNeR5xypO0W8 zXP6`e+I;!WV%o*k7Fl>yumrs2k4fRg4Jty;>NLZ;0A%iQzR!S$UJa5py$$;ZSbUxU z3VTa);IW{DI8DjRi`_=%uB_~~aByBCX=IrHl1lGmz0TDm3)+-^OELnz~L7SPx1bB zNs+vU?!w3X!yI;8g>M^! z#S*KpuJwfv6WzjITwdzKIP?87$D@t+Il`kxWj;4Z^j{CR`4BZTKSpXbR4=t}0#zUY zm8-rd!9+c>>_?dPz{skmdunG@Z0knVEFW7l{>zdUtr9UOdPzqR-M~DVWf0dUbks-( zPG+$~(EtMqO*bw?QPG_9hEgDFVx4=kCy3He(BaU8e~As#Nht<==d1$$PIsP2!NO%E`fg47FxFRR@K>u5#=W%D{Fi;Y}HS>u)anL@nQo=QAF(+M*&j1~!e& zAu`5b8i>set@Fm3coyz>24DjNBz7(NA^(q^QZuO=Ou=f(+{Ped#JoPQ5O2`3903_H*wT-#rQ=t3tUB zct%qJquU_UCujRwIiiK1?1iVj*$`t5MW+l+r#uN<*Aeo&O?*0<(lJ(ogS+V6F|!^c z|5K1;ji76WP!Toc{jDESE{d;DtmCK-t=N)Ol$0 zLd)NgFxjuEd0@+@D0LVDx9`5e0JlKLEq`z0Dlb(yZ~EDM7=9iu8myG&MZyL)*Y+8+ zHT9N7H15x~KJ>a6pOEFCZMR#Z{(LG;s!!HvYG(4{cc?sfd4cyM>^V~$Vx$CGD5X!~ zFY3MwAn+%a4}6RTeP373B{xz<4laS2O*|xvJ(gC3W@K5D6bO<;i%IF1!kO7cWmErVx$4fCou+E2$WkoIyrsqN>n_Wf|_&(4QX z^stG2($&rQ1*Q z;5%Bw;Z6o*5x?lqWSin}CWx#EemQG0$4wA^NLtgts_f}2M@6|2*VcVc0@$~v3&y-!$O7gt5+da;OY*41F^v60)+CsbG zde^PS#N?pKaejTz>3~AAKoznXc97@8&BEJn3VP$aB*Nk%%m&c+t;sU+9hTorGWfUL zYYh8_Ck?tY@eQqc7HanTJLMX6fiuO(wso?w{DC+RSOZPR176W0$wuY!!M*&?5X!zk z3e_Bp-FIJ0zlMGvR8li}m}UgP^>hl5+ZW+!y@;61`AQ!mfW zO1BwNo3pYTsp)me`bqaWG0zPW2+MNenrEkR(i7L${Z3Jrmq=lSv!xF!lW5bYH-mq% zmzViQSb5K`;Pdo%Etld5qMq;7Qne2RbY9$zSfU^kJ3R##F4;K#1N3#?yV&oKl7IGCP2N_8ONt1d?u(*&9)VNIi>fkY-^Hs za`i>7e`hQ>s?NE>7%xO$2(_945}9w^i?F`dF>qBdkK%W9mKUEyX-O(LN6k|6w2{8j z7SE^4^d@t_0D>=KX|2dz$ea&}l94=L>H$6?SsYwM#yo?5V|f(+v-5^V-&>O>{4I@p zvVSJUwgl@n&?MmnkGFi_Ttk_cVEYcH?tlkR70FR)hHDEN`aRk;uyI;tHqjBfOdF6+ zYHYDK%B#-vg=x1>i9TkCc0|=emUhwvL-hF-XCS)!lK$^Q_CKRv;spt#fIL9Q+7%v? zT}^cQoms;(@c+NfFY%rh1F%}IS^e&aT)`A8Mg9sezftq`VY)wW*z9#nh2opgOmebE zvHssI4h|TQYP(>?dBRYZK?rL0WpkHpHID`?1>^*&*TMAkiu6&Wy`k=NBC|M8ocg4jC?p{|`j zt1%OuB9G+=eW%4(h!5Op5acS=jMl!riO62?NT#F}|5(WPOFnE@sM;grIp8`Pi zoyqj~58bMHD})gm#{=I}(YJiKR5_qFcj|e`xS69RgE84-{c5`iljq{@nMl#IaVD&W zj%oP)gNLCnyFw}+lYop6hDjl9{{T=9PK@kTXWAQkx0Qi@V)5@q7=VACwgj(?#O}tq zIbj~4T0!nqVkMsuV8QQM1EHLca?ucHeL8iaxRBfhMGZqcTAlz}afKEVM#eA}Im{}n zcD)6?j@p{BXG?`@TU;+oKYa%IX8)>%sM+m{&DG{5cU<->-Hx@}yPcFA=Ul7J&jvmbL@zt- zj%j~Q<4;t-8%|?9|Nb&Fy%Tf;-0deU%Igj~hd*kmFjZ69pq|eXC@G9)`~nw>Q64v> z%5po-1e+(E4uIbtfc1FKtONqS98i9^ScNHzvBa8L2#@>knrv65n+51!QPB;sFNbP_ zdF`K-c`~wu9+$i(HN*O`B(~@1`=*Yh0clXbXqL}70dlXAMw(!Jk0&#(_(S8j-7Ia{ zfMrwibErXm8UCZt9Q2-xd}&x{0SpgX)P0&EB5pxfIdb+Oa6n zUxr1mll3_`t~?2kLN`7ckYrjW$@+jbk~qj7tgLW~o_~9Yw6{s@*WOQLkZK6jIR9@A z(&|3XZ}oGYa!L&xpycBHZ9iF6v~Imfh!Sf2*mGqbGM?yid~@Y*)%2q*7)*OeYX)al zuy7~|R;`)+8?e*Y+?Av&_7o*%faV8L*&yDio`=b}+Z5SL7N3V;J`BFZDk{dUP>kGT zMzWOq$5Q4TD0cSw3PILloHH0buT^3KznM16DRn0SWG0}tLzVQbI}UG!!fLJe!DF*s zYumuXq8QvfSLr6(6}~+B7mPA#64&rOxYtcW&K-4ICmjni)QAQLlihi_mcr^P2F!L- zRGn9huHr0Xq{S|ur5n)C%N!k}gHH#&@B`53Z_T~}2Wo5FfaSAM!HD1q!N14*ae3TR z@))#lDJt0pZByQQ=+sTpD>0aU|8W_Bms!k9L7GyQSFkQUrdd#wXA$)hz+{OPs46i$ z81i}Y7(c9UpEjlJ(*Qc1U}#;?XwbCejP{3@_=5TG(wg}PeUQWD7Pz-w`-3}tiB8mI zk{~y4f7eHkk|2yu%p^|s84lPC?HDSAskP-5j&!mT@g(^{(>x{T-7gj#cAzVQh_2`4 zVVlI70$$sAC{%it$AXb@xk8?{9B!`t*MF7;JU*gllcYoUw8%G;nI$F)!I9R&kdO~e zu+o5M>A7d{=prl>XwL#RP5v{3LuakT#8>GSLs=B77g5he{dd;Z{|rEz6Y_Ywu5*9& za-j5@re;D`qd14(wV+jyB&ApIJ9=xl(#w$Xw+Z<;_s{Op-uCQi5-qP&spb91ZN)4} z=JF$+W~zrlXDKz{4|lQTQ}QepZp3MGaHv}5AK5+68=LqI$q*OZQ#W713N+frMGKC2paq4W2|@4sWv!K|Nn}7{d5?!h zzuDl5#lg@Xljd$XEg|E+gk1A|MkmhsNGBe2A(o*P&k1)}e~cT2_fzd`B(}(s%E8>C zdF5GJGPr3);l+c(1XsU#25a+!uRG?U(4&~Q?qRK7O>vw1IUveJuhsRto(E+H(cQmx z6aH|9qKehR$Q%bzs-0liGp2(A$KcUuyJBc*LdO>kIwjr&zmIbJ$-Q}&zvKr(L}sF3 z5S27eO|qMS5{1nV*JYV(5zH4Gwqn!h-69fg)b449li0%gRoN5(uay(iTi!{Gn=S~f=0e=?<4{URtS`qP4W{^npTDw zOg8H6mqT-*xEsDp4C6KhUwfwX3#~c$46kn?mR?7}SIdZ%m6m?D>3sDU$UE?)ON->N z<8rmD>U>MsJ5(z%3ieic!H*K;PWe|}VySOtgk|&XV!!@{^FR_8tXuCaltCB_D^qO_ zy^)pkidun{u$fhU=3EoL2#%uTkG7Y|oaf-FB_Ti?ABeSG!3A3P{bpAW`iThcP&8%i zpPSBp652aj+x#M1jiHK0>P;w|;Oi$uT@4*7P-*XLx)$D;DEsVeMXKXKjo_Zl-Db6W zl-XL%3T9lDdzjsQVk7DX`Ud!-Ckh5~wT;?N-t~!-n=UJ6yFEra(A)j?T^IKiUIFN4 zdj(y8Q>eXmb(qo=OL5$Ju~B{3)-V%3gmi7|OIZE5r7t&fbujHhp`pbimt_;=k z&lF~iBI}sXa6=wzm0q76_ZS026}E9kRIay7co9*EyKD(H^EPdlUT?mV^s54ZkeO%j>&iN>d~Bm+SwD$vDcGtqx~ewTmp8`0@CG|HA_))y20AP|qa3P2ox z?@XImc;H;t4QnPl_qz%pP5XKGV)J{qp`}Xsk|32zaoz~C)-Uu6c@w#2a^B>sV^}xW zPR!7*)G-RAk-~cAg-eLf7CylWQ%XfuufrSPyUz<4XDTbB3*Fgcz;$Eps&N|K>wadP zgdw+XhywfcMktK^t60@^kQxYFRdo_L969Ak{?eI{@*(2<(ODZWQzT1hT@+K8Dd*)n zjq1SxjRT@f#w?Oo6nEerH|Heu51<-*j-gj{?_*z+$=yc&5!x;o`v(A1LAS@Z!;6HeBTGHuE3eOyQ!SCVD8;pU-=_! zqThC}j+E;;#SMVIS9xAFIp1}GSFwqhc`lK4#^{XJR~;DfX(;8`v@QOg`0I=R<^YMW zmQSzX4V8L{c|(%Xj}U*3!v++S9;Ed`Npqlv8f4S%ky3c%M&O(V6-W;wU>RZsf;n2oJR|MeQZ=he%V z*K$4|)`n@s4G=eBy0Bc0xMBH2z4#bD103_f?hR}zinE{lSmRG&KQY~tq&Za*VnVL8 zwn^8p7xTOqPQ+7AA}_Ir2qV+cLI5C~_6b%1m&~=u8|^M?{M`@upDU0kq6Bg%@13A< zf(JGmJ{@Vv=Cuib9s%PTKIkUXs+@@8IiTNDrNZuyf4W(s=)aN`^4cHRKkQ0#Q-ZA; zvaiIdYo4b_?dRgwu3@%MwKP1tG*#!%os3}bmny;#eNB14&d3S}D{GVd)QY4DP3NJ1 z_YU5zzhM z3|bk=RVJ0CY&xvNZ5xQGl(-ILE1kcA9Z0MYcYF!OM6Izw!>fM){5q@UDK5tw!KRhr zEB+6V(Ovbqb!lJKzs{P9^$<^`L(fMVlZ-Z6U5m9nkAlrKKUzRbP;D~*etJA9tho>Y zH+roVy*@|&4SfK z{aZ9BqAa^?pH4ut=ObSu-wJ>3&!n4!`<2Nz73^BPQTz1rA(a;!?YD3@W$gI*8$y>@ zOw>N9H!+1DtyvI%^a{D+L4YYedLT9e?Bw425AX{WP5r|23Loc;7KUWuH1)e9HEOmA zExYB_nOf&-&#zw}4>;UPV?M{da$md-uY;ck_(rv`U=Lyu`e2jVQQT+ttwOe$+G@{7IcRH^yL_RQ- zwtL=Hv?Bfcpi|?|I%4Q}Ab2PT9(4uYP7PJe+d3Br3#?G}_mYcL?IV^MS~tB=g=Ht_ zW)@#82LY!S_>#DqwV>q1)-hoS>>5e5 zPm9IKS6`|sjzROcGDzmtwj)V-L*rLVq0h?7m@CThQne|n_POjKrKM~C0N8&3F-p}I z1Yff#{_o*-6!-*D*1dv2y}$?bcm@_05k=SgG`lpR^ci&B&bKtYqNTocWls-nVGrSA zc4>xZ;PPs!&MR zYJX3;zu8n!;6!aq1*4zfA-Z63I~7O3elBmq+<~)w!u?ti7~I*99`DOg6d=wTGSik~ zg$DuZBE9H*Lz05oideD(f>_g@%{B-(4>EWYBZDoR42M1KvR{y zuy|u@UN%d=HU9OLw#gYN$We02j8 zmvv`Kjks)RT7R*BfaDCjd71T>(0Eno-#{u@TJSJ_#5qGFq#_&&?dd5F!;ib-ha%7N z_X`i#%1xkXHb$%R12uVK=+l|GYlf{ugBuP4kztAF(z`K3aoaI{Fj@;r_}FeHZx0l* zwi7xG#>-cb9`pykCc!cfxeOLLbqw|UklDio7MMZ>8fFDBj< zEGIBVDYbRT7;c}71@z^RA5e4zoPTl1s95My>W4x4@rI!nw7N{V@qp+KPe^DHQ=WmO z>;z2Vk@>>wiZ3Ap@L}4<5EEN!X+h)ym+^pYa6LweUiHA1z!sE&ZA& zGI=D({{*eT>|WjNkKNZm9HR!MEwDGLa%b>!+%JZth%3HBe>G|83O|OO$H7V0>ozv6 zVv^hgizqVTnOd*2r-{q{zL-s(54d7yLy5T4!6(8E;xh`teXs|MR5xXs?Y^XWU7;TU zA{HUK#A61UyjbjYo>OSEpvh(g+$$bn`&eoF8qGpc4L56#`-N8-{SGJTwpCE}?8(bf zvgM|}O*xMMb^QbiW)x<)zCQ2E9`@uCh?n!4-%hhJYj90ODG0sO2s&~b_F!}$0aXR_ zr=412fwA{=8gncW*WI)2#iRGa_>#VjNIe*E1T61(_1fDxvqJHbnir&ekEMRbp7m5e_RzJ)K!N6f`-`^&xL-p_L@& zN2n#1{Y_X--+%bfD*68i<{>&^U^|yogeisjft!&c^CF!qug>~IeP>}~7TX*G) z9t`@9j1%89UQ^bE+zO+4h1-dNarq|H^@_yroE%KGxHdkwOlk1O7xb!92%SRT{=VEA zC9SSa2EEmj7%_$rMo5V;jG5xTScmF3jG^zO#R*av@)+-K1HmzF!z8z7kqvFI! zZ3!lKb6??cS45K(qbqa+!{@pe0{^Us(0>frNjTb%{-v^=s65ac?oL4%{CWZ%;N*T8 zFlR?1fFjF`fHKrFOcWfLJw{iM=PhyV=NQBs`pZ1PH~Tz+?WacHz!+h~eLP)#{n1KX zvb^rO7xi~bm{g*Z(L^u!{%e?C+97pQGa#gw(Qm&1QnHcOiAzk(S`h`;5GoIGk-A+I zn^78htCr1-_Q+3^8&j&6bZW6g-%a=Jq#%+PDdb^ea?SECy1`$m=7nvbM*H!iGDnI> zpLhRQ0%zugpksXMG5%&z-#4BOE1?m>S=?)^I{x;X2ad7QiKJ*O#>YwMEC^WW`SPml zUc%@s@MthC9FKZbR7(*OrGD*S@HcUjX3W+Yn-ryzNhMJo{~>QOT1~TA*Z9anzxIyngzqiME zPs)xuW$DIiko^Gssa!Z()QKT-an?hXsW8u`?^3Ck&Kk`7CAy_d zX4&x$qx+mgKRq7ThO;8&)z>O`AV1X3MOtss#B#vF;Q5 z;NoJ6(UGx+HVs^gNh>*{eFtp|g3;e4;3HuA5s3|nJ8BPg~yV6x4Eq=jZg_g(Xuxa^0d4Q18ea_%F8=7$RUzC)j3^HBKM@ zGua={c$|K3X zQUKX^s>pX*29!Gxj_y-8?V;4y_yUqXJ3lS|16=#=31Vnm84~xrCAm$9Kz2wam#IpVY zXokmJ8Gt}u)R7*TcjxgpNwu)B9$m(0oM=zG7IcgM4CF>-h8=4*%OYF2ifTK}7ZzaM zOHLQG%MN^Pd-TVCJ`Ow1MlzPhd z@;U%WL!$mfv!cAkEv8Vmyzh2-;yJgDj1SJW-o&TYK0RcjZgU7A5xTIvAuO0RMlPE_ z=V;&FPoZUEQ1a+3-1CBIlKp*SQ>JmYDk9hQtz!`zhq&FsKc>55J z2ewvLqE%}sS|cgQ6ZrJi@fb@R4_*0HKpkb%Dt+Mq#ymMoiRR||@Oy5FR*8=m*jD`Y z@jt+nc06mApa%$d79f=+vEVqPtfi$v0->e*DzS12Hsm#8oA5i(V03lJsfar7r&qcE zm45ehm)V2|(D9yEs-?wQFIM~Dnl+GR-n3+_c9ED?26J=OWSHT6 z-no^m&R?WYlQ5-xx8=ak47rz*N0(sk z=6H3+BsJPZjGDc{@hso6704$^4s2&U(hbj`a>Ie0V+;qYO1y}G(=)2bY`!qU6uQ>~$i0wh1WdbLhZzCY-02ImglsLqkf%(s2g zyy|(@)3%tqc}>6U_Y;-0zGUgctPwIMMyhXYmxa7r&he$0bdXZpS&5AE3Co&|K7W?k zQup^=FYzU`7FDzt?M?M){M+%Jr{`DxV#1U%c0V_QZlFLe>wsR8g}I|P*rbvU@PV;7 zr&AVLoKxKD9qH?qbg-_O?+gpw@$DgQ96bf>d$?*&ielbs;-XDkm78c*jej_W{WFS^ zL4i26`RolVq_&MyeyppHoxHxqnNf%#^p`vkCZCuViJs~SXy~9e{Rb$*CEUU5i<9c? z)&2vtAQXeTZ!yG)XhOL-Z=c!{3bir>itc`sh8$*GC@XZ_JriG@*kS$$2pkGz296A` zJQ_VXFe4eH274XOp!zL+Ab(fDvHZ-96;@aOeQj;jhJS!kW|210&v0-x>l;8>8z~YW zWa?aKsHy;WCSLHGZ~hHnic_Yz|Iz)o-)>O?QWU})wLLEYe)Tr@^y}pnSJ*2O$xE*J z&RCfENLpioTs`k40v$P))7Vn*Um!;zKLeQ%=hL?bSNl)6!x^&+2YZ5XcKNfba-7<9`0R8T%GG%k6q5)Zw?F8jjfGtx*bt96_r%A zc!KfNoLS#4`gHt2|Jm6M^kt*~!i}xIB4(}hu%cpCJaOzBTN$+HRh`9vA7cqiR zVKM~xdY2?^L z3XV9EB(X{x^XZqS#~8Vlrz%&lPILiE?W(4!$gR&AYW*!fRANQUQ~3Cf4pXuii}?d` zMFbg8j9=WZ;ZDX#d;G@GOZ3k)(!#DtUT0zh?Ep6h-Qo54IikJxou|Lc_Z;CLh#f*| zD=R8?l-(6xnA^c<&hICO{>qtx`SCT=>)eIJ`Q5qcN@p3o3FR+!obz?gilc!jR`IRC zx{6=^8N6D-<=MUR*tUSUxF|FkmQ1X_3!$|>phaaC54u19MzS;MhXv|F0>^{Zqo@>+ z6clc^cdz!-8NOE?o$n{(%y?Od(9bc&rstv9a7(AoB{ll90^<6WDgk zUnK|-Ef-=9vDLy0XeW{TE0-%7fJqMgs7|(EU($Ak+u8YF;W^tghN*B6C=6Xe#}COP z>Bd;hZSTMWsjGp3KDc?HDRvlqqW<`c7|0Ao$AeSX70GetiVd}K(e>XeRyplux!is_ z?8UrgHoEg~-!3f)pDwvl1c4uG9aKaT^L5s4pjlCV1D19@2PkaV|8a3`4 zI}_upvKSeWO&#WS-nlnb!G^v+-Q&vEOC^{K^MB_n_!Lz7n8Q;zxdG6a$uw2U4_#-L z6D-JC2@JV@a`9my8psgBb~d|ZQ-c+mLNRHftA-9<6b&^afXt@|O@rAlLd(Dii9rU? zaDwur4YXwg5b|{wba=+au>^;}gZJ&704%N7`f;&*FXjILoLJW!88yL6fn1sO*Ed`M zNr33{@rRG5O4V&S-YZEv)_FY<1X}_fzK_08nG?Kc{{X+wF0$jnlQWzi9r8kTMzV!3 z`*_tTlAleKnD`t!L7~eIXZOsStGtQgE2a5{FAIP(O%5SD{{YLzjG7HWwMQprWb?st zMGZZ)1jy(Q%A_@if9H9@h*U6hI%j| zAdrl08Oq1T0-HF{&qW9hzr&4n=#i$S1cRHGxEvK~F<>+-WHy3$4ji5?4W%rA1>TmR z?Bm%bDiF}J$$b^&ufQoo(TUNZgsmJ13u4#~h6AJ!_-ooIW!b(-4u|vq0M{A4170P0 zq>w67hG8l7hB5dDWJiLPuO6QkNH>|vkn9_!E6JW<&Sr9M{dA@3T!}g(gAU8`M zZ)|@&ItdgKCG0UxKD_d-X^w;+yUmCyqZKFs*`qoAmcRy9C{%<_&2PRK8Ufncm8NYw z{BQ=GMMa!NmEF(PxF;nt3Td0Xr6&+*fMh_3z=v^}al=vBMzA1zjM{5}HmXfV3Of}$ z`W#LZz!h-%P>%af0(i5r*nBQCaYD^dKbMP)a|%0RTN#+sz>SP$nmT)Xc+l2NyAeJ9 zZdNu%a|IbC{{Va91e6DuD*X@kIb;o09EefxPew3tBVjUG81JX+JL8t2`wD)zvg7(C zRh9x{e@2Tqn18C@22EU-4+EFG1O=53lAnEb$MP-^m<7PQ8k35ohH7F5X05pQgSI9L zoQPHX#}qUW3?gTpUyeSKAy_t2X9;!LaJ*6`T%e+eU_$7ch;a~1E1K+>!Jj+OSj$hK zlq63A@z)I_R5gcykd?QYf)v>V#HDUj3~dM(0AW@giIo|^My^AmRAr*;rTOtc&I z6_iZ1(mYD5QqtN3*|*<3OBSY~cyC?svwfj0lav<^oM_3y^yxDuO7_o*mf{+MA7}IT z%%5H)H$|ANMsWC*Yfo9v_LM){J-~=QglyF z1dPC787E>WDhonKZXakRY7}azQAHF(7-;F_l%@#nmn)7S z(vV!??d$zJ;P4P5qPXvW>~WbSTItwPD<|gKi3ojeJOqw7&@Ysrb8-#T?qvS}oGs0W zw4fv{?3$I#gyw=d(NLvHjmt3@P7t8G%qlJ}rkpyLfI>M9qF|~3Bn;`IxuA9}D!>U| z7~Iq?WP=)InPJlK6QV=$zB;Tke}DDnBk|1yTQUIPpjz+`GEb}|n{5;2cjJIdf{W2p z!(a9|TH#yWw{P6_(a5Dj557o<0fYhDpFSNs^@Yu_90+EJW%9+(2J>%l%QLVI9qUkRtTg}vXG-rkMdlUM0_C{q@;}~h z9LkVJ4JVkt`!mL86m(s_HN0mKdf+Mub|@jo$NsRh`2mDXyM$5)N<(~b3RlU^!PELL z4`4{AtRjd)O}RKEDwu2mTu89F!PyINonWw3@6axUVpOqYj93^*>~=@^e6oX|Mcp zav__?GJ3~+)D(OGXZCSWaB#Em{{WtAeRDkTmXgYa;FY4#VU*+GcrqxzQ!i~Fslq(* zJ&<3{d2JvoXWuBy5^U@*!toJWf>zKh)ywh78PsiU`1#r4v~CJ9May~3nQwrv0VJ+c z5$XJKV4y>QqQ8$J3Ii(dpNAwY1QY5%;#=TQtgv9W$~UwVA$Bh%zV$| z$1qUYy~;m~J~-8L1^0LV0Gu)ch!|H7ewyG^DuqfrOYDDKCI=AYpkx@}nDELT z9{&Jm1<8PB>g78-;spYMC~Q}_&*+@C0R()&#OPxmZ#=D|VQGBtiJ1Y>%Hm zYq9>f$|XzWvD_vu*MBYve3+QTu8^h_*1(2DVw(&fO?u#M0VD((==J*N(~NNwc82;a zQ}FXRqZbxg?oPcl;eZ0)YlAv8Z>}2$5XJ_=#JqJT@Iq{RfxJCddPSHFc`r-74=)7( zaA0R%JoNRx64iAg)GoZ8ch5er3R6Z6Fe_LoWfN{^VVnp*!|9lS@ncG^);4q}*?l~z zVG9K#FOZpb>qjYw0BTIK{{Rop_+e6OdoS_6Z;R`zDHq=R*Q~9UY#RVvr0!+`PIs)8 zpe7Mr7$uRk0ERG-!)fGCo-vS+3ZT4*foBk$rz#Cvc z%&Z3BonV7_#v0-%$8_aYIA%vffn5Wokyr@?;uNR~HJfMKx6chlVykgpVrY|t3?eGb zP{(J!xU3t^GJvV^o(+{Ck;R8!d`>Jhpcy}H`r%Pn-c=tj=kdmwMRycfkF%-Mc&EsG z9UjWlfBCKr6_>QZ`kZ8>D<=Em;4D*Fuuucc(%wA_H8OCa21!>tu3jWbuysz>Tqn=2 zPSAD{$OuTLe_TxoTCQIr9gF_}&Lq|XVYZC%EFcif3Tu7-eCYwSgQg0re_|(&F9K}{ zD<(3BRjzLg!43g}MMF#eaMCp+01HV}qI}?ZR%(J86QLpvpn3y5>fW)*Wx)z2 z0%`T*7}3QE6pa05eEsl%0=VPNF`x&rCun6r(p$70GW3j$@;?k{ZFCOEB8qM+GWp>< zRU?CRxwGT>Itl8GygZ12D0lqP~V6`lIUXZ+>ltIv|rk)5OGS-1+! z1ZJud<&?(P8Dw(V5-hA+xQ^%77%hVUP+p8=G|djN%rjP=h;zBo^9~Oml@=~WWMJ+Z zU@MQi1PJDBjb<{XGAkbuB7o!q69$ShapXb{T0srP3uGh*3K~R-wK)6~U*8D0qBVp6 z0JjAKU?G6OuO)(zh75K3DEE%~!Nwlr$Q~nX2~GHamT~I@0MiCTLHQq|u&)#ehp{Vw7f*@BgIeWWoOc(sD#oMC%XgajRlOETGqW<%MTPqc(Z`A7BEIu?5I) zJ+;XooFmm*8K6$>()jr^`6BKM8r(8EhfV-WB*k;B=bw0-vYF`!F)5?*W1zePHchIj zDSJQr%gQ^rE`o?g~MOshunA!Qm#&fL+!jSIL@A2(gXMZ0ArE315p%Ux$f(b z>`Jx{xyp_ey2PpmX7V4y-vx}MD+K5z)9KEe5p5x9P19H#KxW+{hiIcOYvMidwL@eg zo9DO3&n3fLz|oL9o>!q6fwe>q1W-7$<{|{)kUE7so)|+tmAd4B3MTaelRh|}UNC|z zS&EoEhX7#!8%-YE{{Viq$G2(v417Pe!@dNV0a=^IN}lI=45bZ)#}tvwK`t0{tzyu- z2rMQ<_sh;W)`T!dsxaih@w|C~sJc~D-rU)q63ZiGQII}GHfH$;k1*UBN))7M*9H9! zBoaV@rBGgkrzOfn!6OY}*QD5SU|V#PDf!y*S7^f%0{;Me{BU3A{`_#lf`THZV{(5! zxb)CdSK}CH@5JNSoer7*0B43s2z=M;i|cL}F`Hpsc`vfSFJuEa7N)<+&no2|ZP# z&&Lei0j3!pcK-nDzA#jQ%dmIiKOQCGs5#Cf{%hAq0d$~KRLbI_Jzprea!|wp;n|0v z&Ef-wlr-20jGiRpjD@-@<$1aEkIVhTCaDC^(o0%j4lg-==P$~zBe+Vwn(PAyy0X z#4<5WQ3g+c$KE!Tn#o?e{uuEtP{qFue_WBFVXEnIoDcDkG7Wu#RWH=?xbr>8Ds6)P zZ~HtmW|8W<J{O_i(wr5*8xH~g zeC8ypflz~Pw0!m71Xlq^NUhJmrXC=a&6lHR#r_)U)e&%oi-Q=3rjdl4DqtW5Nda$k zIb<4;45r)#Isvy4A_9;Juo0n{ZL=j~mdKT$id^#B7j7UhNX?=YDwGjLD^6q)1hj)Q zlL5jgi3sFa#{kaJlrYIG4VW-5e;MR6FaH2IZ1jPU^#1<<9IA*I{5S&k6D)*$a!{IT z%e;Qx3Q4B#hKj?0rXiH?{{WvcH?m%0{0HxkzIDTVnix;9{x2pr0%rqHXI=U@azsm! z82)c8k|61jesB9tJm&n~I1tJ+pY(g=scM;&gA?J;op)#N`2PSrStGt(fCX;sX!xJ~ zIHIpYmBASD-@Y?!nP>_h*C?)5om;+%@ZbzIKy)iO?=P+}g0N)~0pG48x->DB<68W2 zC{((nu!SMU87@%g1P*r>cp@XBq{ylQQOc1kgF8X2r z06w{807&L~s3CMfKaLka98pd(qU4WwpWh^byy7r;F}K+9;4Zr2`CdFY(E@Nbv-@A) zClC;wB>k(1NK-|WI0vak;LU{g$McRj(i2nMd)EjVsn-#>2@_+GasL2Ls4!zL#GzR& z04f)U!ovnt)y_xH^EkprMWC!81B@Azes#5sP*r57Z0lU00XU5$eSb5Ssd>bkkJ;BZ z@o`KEXm|NE#Xi9B2wP%6Wt*H$19u1sax5u&_16IHAQ%=(s^SND@iH)?sf#qo8AdTh z;9|NjFfpQ_T29WmaAGJ-s5a3JiD)2*WR90IC`HSan8=4X3seMDSM;)O@!az&C7Uyk z{y2vvBW#0*4{rcsPOPf-2lD0ACuS={q#NJK%gNygV8Wgc(amKS>tS?ueeg+9Q|1qm zogMyMNSSa&5>$02zBodNg!4TzI^C;jGwzK&T7e-wyG?F1)M}Us`qg<5+_% z(yW)K{CixQHc=jCKPUqg$TkEO11@dP!SguA#Y!dJH`+KDe6X28nD7tBd}Ajfp2`UU z4@k655Cw~oh_aw1H(MBGv$uK5smlQ(TCbI?C+yCxIxT!HbfBZJ$24!07`=2e~)@0K7e__8X;> zw`28r9-SE8LHvi`3pTn;lp&XomnE>~G629-_NPm~uBQP5AZ>AH-MnovL3&XB_z%D< zAVL9or2xhVRP@ycLZEgYoTC8XfJ|u&3&Gek_B=TVG>TBw$Rf`dQ;F?Y4|);9*Zq1O zW~0$#{PDxG2VhcXzvss915+aa*#JywZk@97B2Y099E{7Jz5C^v#kWBd&YAi1#XjX0 zwWiUi+T;nz9%PaBEq}yj*#Eqo<7#fWtF(+NDUFy0~q%_=aPnb=O6ulJTV~wCTbS};eR}5 z6%E`aW3J2dIYSdeua~NSJV1~#OY{E#oFasy8rJadM5ErfjunbW5RgGM(qNofz_%Z< z#i&S?weQCl6-pxrIq99AoS;Njf#))ic*j<8Xb2fmhyr&eYMuLWl_a^(!*<`U0aL8b z3S0RecfM|TZ;Apd4wLzDMlqwNA2(hm`qwf2tfc5M3@Z+^#=>M#qC@RYMuCXQ6z8q} zIZ3w=1+*`HXm=^un=1J<;pYLDFMGCepbW&F<5TRo(vgg5F{{Ze_Y8?tpLNqpVsE*zN z5L3oGO>LaQm@O)aj)h-^A)X%@kN`s*yv74JEQ(R(38WwXTH<=Lws^K#mCl_gBWL9F z3NW}^1fn6O%O;%C(W<`VU^0Y+gxVG%4$4hO91smM6rs^6RHbkOY^Mx>>lk7b{Jf>A zD%!*eqP`*E!9PP>#Aasm4?>{}1ck}}033}PC8`n)vEQCniv?(jzoFx}RU7~p@3q;F z1SMO5p$D}W`Rj)0Vz`k9sOIa|)ujjya%=OK_pESPzyz4HTp$9{(;oeB6wOOuZow@s}mg|fO!jDF34_bQn#ypY;0mgDj z*^3-vMUvhox4lr$B8MAWRx# z9pgN!FiS(U@9)3PcwwU|NI?@e^`GAc;~W)&a5I0*ae|;14ZxDTe>#(lnOC6tJ^oL} z92&I>qdoRN-=02MfWT5_yF2lgoF`R#LHsO!S8J-8BTQW?SLgG~x&(q|hB5%36?);4 z09bG_(Ade06ueYLjFUjxs}9Q3#T}i1peaOAR?{|*0-B*iqGAhr4o$8i9Y-=#CWY}( z#f*S%SAXw(Xf||ui_35lUjirna$U9!MrCq&$;8xn7WiM!4GM@2%DB&+pU*O&CtPL@ zUcGV*$_HQia}P6lnDX()GtRTlvBq{ywy5yQ5{c3hYyEiy6pXFI@{TSF#w^L7zGrz= z{qc-5Xp~6T09=eV8H+m+Jc7?t zqlZ=Yt6|r!;{{ZWh zFkbP&IP!;K_G^O0D2*kNcb&7X`%RHp4lpn5PmhiiTb>@%1e7=AbJP206%s)O6nn)< z;h~HICmV}EZSpzJb-rf8APtiagV%n!kOHDPgFG`o69*7OA`GrMW|;RJ;DCw%&;w7) z>x%=7jIDQ**XZI1K?n>Xfus+b8X3SC=7p!MZG`#Ykysds8#DuE7mP^i02DQ@4AH{5 zW$wBtP9{KY-dAS~-WXzw=Xs)Pye3QJR!DT&EW+(MaGgX^h!YWelH(;502UAd6Y+EJ z-zTlWCO`+W3TtmO;R_^$GiK&W@3)whryBMqe=Eb9^1(p(zxZ{;%xDRY#Obfc4BS%- zaxd~1U2`W>NRUB`a$;)6U`#4eP@@_6`20LJJE~=nSHuV!um1poS{W8K1|jS14r_`g zSg1HyswLYh%Se+YB8DNn;F2{ogLWI79#|AP-^JPvX2# zMEn^V{@=e7l5qe<5fcPzeN<^@Ft1UNV0%Gl%&M@>gm#P!0{CCQd;rkwqH_d*`57aT zBBJdeQ9O{6tSscYKqdeKqC#gB*MkrM?ySHbhX4l05r#-wh195MlvQhWQWpduAsY(? zlyY1>1keTjettNN1dW8Cub7-jrrzEgSeztpRVbjxo)=6kBY~nanXu;dzBw#H_GibJ z?%SL1z@ddz zTz|8k0SRz*oohb_;YjbQ7@7xaBYSO7=|nxDV1htoGMKp$?H&b;sv`YPIVHdjIOjnd$!)ZQ?A+rz;3Y`XTtEk0-Cul% zOx+?z<$Ra%=5ZARBXDf!!TIaE5xhP>=NMKukg#Xy{X6BDmI!DkBzK*A_!W5!uR-AP zeK$g;oP_TDs>L-BC?b*P% zg<^2QBQP=m=q#OT5^=H%bW-``Uw^c>?|b2dQAOBXU+b;#ku2KwL$9t8KsiU)v%fQj zfC6hG{{Yy1a3@%vdC}?PkP4Rg$bTQlG}{h*T=C;POTGl*i^qy-nJE_O>^Q)&D@Yc0 zc6e+Qp>9~W-Z=OH3g}hqgrE{5fbc*ck^FyrNX;_nLMiGG=YZ^FkGJ_;H&~#*d|`!T zP%wM-py=LsT^PG4Z&+{5a-4HO=D;m&slIRJK1$(Y=Oot{R1&0a_(ZjDp{{VPL3c=u`S4Z;V3JwRq_gtosI9#F((_8TZLa<3dRU2%7 zjt4_e!JpRyL(9RB#?4zEMV*x6k*+3C*4%h91w;uHCneVnUKu!vtxa zJDiso2?{Yx1rnjK%9i0fGqS5D_Gc)21V7yL`hGl~%rQ0EmJ&~nqnr%0LW<@@7$ zllK9sw=+*#oHgpo;07G-x@S(N4v@`*0Hu@p71aQZ9?BwtepTT2z$q=cus{h!s))n@ zYJy;bmLRNOH!4nM`FIIXddG_wh;*tbZ16_JU0(3O2nv%2;D7S~^9IMLr9h+P-N&8d zkUS*7%8fGSi5|W3V<>pkfDH;UkrFg1ohn$uPMN8cCXv8cf`PVA2A|7^cAp&!s3$iU z&spS_970KiS&f4T;75*dw6#VBgsAipk>L)S%c9VYz_7XuP9W-0LZetB3MoUSodN?P zFUMIgnlnVGIH2RK*}O9UZKgcc4J4jGYx-KiOS$G!@0hoCc4#}EAB z8*N2OJmvEr_nIUC7+D7V{{T4gv;YjTmUF)x)%bh^8m?vy$lA-x0Ti+-2LL)S$9RVz zQVvk6*B9!uU51_>1Lm{kg9iEr`eUhu_XcgFY*THNL+`73$C* z0(5xfQ0%##@J40T54ki@h@7O)fyY$-31aK`FVovXXWsj-y*0Oz-kJTM%3ILVZmHW|V7%&gNV$RGK^ zfQSZHc}#!0;8hZ;CmH_$-ZfQUTH`ZP^l%EoFSi4O=|9I7jF-%*{{ZFF$`MR!O5}Yn z6GEW?WdqkkT)N^#`FF$la5{q;jsBN`4Xa1aUI>`0eiQw0fb7``FrVYsGm**_%E|r? zd__3Lp%)4te?P_LT^AmOFITVi$yX#0M1e1^6wsNmfyj@9{{TFpDWqMJVd#C{FeI8y zUdPqq3c&^PfEV!oIe;k$qd4&Sc*Iwn%qUvE`QeF0f9t}rW7P9soq1YW0k~H=@_xAV zLL!6~@DrHE^;d>&#f#5d<|YCGRG(wN9G3SA1J|1CgcvLr zrhRaaEYpnviqOVi!Ks=Fg+Ra-!?5Uv^ztrn0A1rMQeWx8JRPW1$XHs+_ry4Akf1gK zj^!ta@ZgVmGYb3~&f@UELl}&;OvBo|AA!k80$YMWlNDJ3$bK`KS3wgj!{NlBN~?yE z-+tKh%0&!~6ovbz?~W%21wSl;Fj;|VJU0kXqy!;TS05$y$N5RnQp&kexsEcdI#>-k zkMEhgIblo>J+1U%x0Flhwh2_mY?B?pc5pOT8V1Mm9cAVxUVw-22iF`|97weZ3yVbB zZ;Gryil7u3mjhBeB2c54FO>pYbp~-Wjss2eUp!cuZeYh;!zF^57XUarGc0}3q2U+<0|qFMeJgGrZhbYvhQb=Z^*LdS-HsGY z{sZfR5ljHGFbstrg$Y6ibU1*^Z`MN>795vw0JRdA211!>Ql3JPkg=uFBHBr zzsH_3h!?gC7wyc!8i>piz7b_GY2c+KXb7!l;eR-GHWVC;2G2v!&U3(_EENOd<_*YJ zM`i1bLSB%KuDko*?BH=KlZLaQO5M|WRQ!Srk%+Ne^6!2CiPJUI!Lo8Zhyw-ycjud5ebIP{{S~1IO3Dh6ji%Z?5C%qNEAXU0}+q#dtOqlOQvE(HFwBx zL}3C*ElSR`%d#AAqYh>AVhe%y`%^u?GPto44h!YDyTzo8?wR6s$L@va0EoQ z6)^c6#F1QJ8b}V>RqW)YjI7VkJX^&)Fn_)}H+u8L{3=)?TqcG()Vz9_oIa>k*J;6txZJd6o0+3gI&c_2V`Qbc) zqG1a@M}Tmq18M7p;G|9+#aCcLhE5&hvItmA7*py%Hi}6g7bgv&tBEH0ot;2wV(wv^ zXLqrvKu;MWg47YLE^-4WGgk}0~QOWiof3_ zTnCYy&({9{Jhw@c-KX_<)b@JcAdF*(a#1tKY<@T|mON4QT5yh2$2;a!I6<=h9>bGb zoF}0t<$gHiR)uyyhaZ87D}{≠mg1NuD-x4kOw>OPNYY0+j@D?f%n&)|TKbaEdjP zfgzv|HD9^!#}TpBz1V&L{`i4oMsO;p@y8iVI>;~U@xaR9Y)xODze9~LY{z+h^BfvV z=H<(NxB(;#Fdb#ltUo+cCJIdgzj=OM7cs&3G5-KLJEf|+{B?)$@)0nRnh1e+zt03{ zxZB?d&|#;XpYh}eq0js4f$q7!fIp6A@JIK_LqZ@F6YlaXKO@hSJMs06Vqaj!6o zkxL400&iZ979Vc{)81!q*N0q@5s?#Hc8MO=%~;902JRb{TIvAFXSO#}|QE z5Kf4(9^`=Fya~WyM-Kp=)|3Ve4GZ!CAjJsXhP8@9;I2`I#xI_nX;Zj%XU2cP?}xlV z6&1)^0@1JTIDw!GRy#dOw6k!3h zQKTCONjN6Xk{Ez3!yMS8;C8!2EGno~vdf1GfJ^wAnP8$|4!cx~a5Z4biCn>s!(>@1 zD{9c!5}X$)zFF7>@_>Fc*KgWXjK+2W$3eebU7I|t(;2_n%u=BB5ULwb@x)Fb5x7$m z@bdxZe87dBi}9@S!B-;S0N-m5#p4q?$fv=FUS2&1M2r-vi#JnuW_a!Dqss@9bimsx zez-}RM!=4O&iM-9aNXP~fC7#!caiIrYHVFfL6gc7?-l_ZKw*r&nEoE>tCUlNMSDXf zf3wIyphs`;yXxzFoM2^h1%H|E?i2`3qc^Q%hKuK+=a8o(QdYBOI^xLIWB}f4bAEUL z28LiRStFgjpsx$|10Jkp%LDwaJOKr!ivavGmly>c%S07SfD{+mATEQ#+KNk}Gjuww zn-&1$#btC$==f${QM3i|t_b>oe<$yQE#9NTSv$a3v4(zWkDf>yQ0ZWKvGJLskeX8s zinX&EmmPd^YyfHisp=!I{4@n9>u+DV$q>x*hpUB zOWz=*1Es9yuF%EEE=9u>tCXB;y9iOHMQB0VohFG{&IB`n+sfp1)5su-E)g;vzK72! zDzMZlM>utli`wvWDJ4`WAg20XIdQAOTO6}SGIjDjXMH5ZCa}Q=je0mEFo~&kQpfUK zb2lXcoeqc7cnYAb!vruAO=6keYRbaxjfCJ%5Y|}chb=)VDcUq9$pGRKdJ`Zfawx1- z2=Ro(A)rAMG=jraf^vJE23EjA;OSLst`%P0Ur#ZVDG%l0Ux99SnLlq3M;LeSgcrp?mef5a7Nzwx=-)MAfnVyqCqnO6hRl{{WNknNY@=AZzc2QU>afNOw=Z zQXnSj&kv)P98vpdW6ytlmmPV%@E2%mmjr*VD+Hz{a9%&(*c#=X-S6|y3yUmgfS>}% zvn2dW>E-ZH29Qg91HL>4*_F%><)5wvYDF)@z6PDesG zm3j;Nyx1#1W5D|3B7_v%J9^uBR{@j9&k!Qyr=fpbphXh^qKX#}#}RPF!TfR6GJ}Y? z_lbADTp|?5wf*w*I=oq0KY(A*@eNSh00t{i$J=;@=0Fk-9D7;u$;l;s&`%E!v+xc< zY;5y3Qj7HA>v_3MsAaBp7t-jr%I2IvYge{-c=+SNf(B%pqevy*oE#J4I$@os6(B)q=6NpfuW*8kl}j1ASsk#NY$i~17qa>0Dc^EVQIq` zy?uDOdf>QfD`;@%c>Z`#Nlee-xkGi74hFPpo@SDS=;=}!+M%U2)h3OK6zCl7FJuM)7MMO=c&?& zo=*90h1xk4oj`^F>8aO~A#|czqU}c))a0uq4vwsM@2{>x8hb*8+}cbXjFBizqgtpo zDhg@S#z2u>kz1NfJtR(Nu|dIvo>pfHB2X>sWR|uoTld1$UNI*@MGzs482)|nAYdkU znEwEL)Olp(*5tx>nUZ?%jZY3p0AWs?(wOdW&S(VINe@S_&jO?=QNqgk!~X!BisoZ5 za~f(I_wme;5L;lttivFHg~J;S!L%ZB_?Ig^YmCioIX+Hc3Q>@@n{a1<)yXd#rcifq z!J_bsxYAIuTA6SJsgWKErjoFurEzWyYdm-uBoPrK-FPRSUqTcXi7VuyPv?qW2o04N z{PBz>mHHnz^ZoHcASB4KgDE~`Hn@~3xU$=!Z@}}dx3F@t5a~QGWzQ^ii1hehVKa+a z90pXfz5!bLt#1iJ>wvY6$KW}3aPVL?B*99=4mHBf((OMXi*DhP@nDn)0h=F`^*(_B z&|=*O6dPj?gCEy10moz%EFw5JHe-(#1eL2PNbgurlT%5iK^yNYK4%T$`bVIi^}!G@ zE1B0La;XAb#F@;Udo#mek;pY&NjLtDGZ-wApt!GhiwIP5NX)YtOw2m9 z6tFp`Cv30+-c*diW;$U32e&4;YkCDH%4gVgWhka!G(ZA51J6l!m(j?%B$^86`S_*q z;2_8?jhJ)Y_<1RUVQNA!!`SCPmBdyQsi%9+xvucm@TD+mnP%ns`{C{9lr>!S+EXHB z0j3s4fYT|wU<}SNMN@5tl%%U*0sy=o08|x40a_?)U5kiFazIOZ5~5_vPwU1-TRoy5&+CxU=Nwc8E+8!po+FPRgT+)0kXida_)`&C z9?8~yJn=#U&&hSN=L5-R2GDVG`F=T;g7_Ye@6Q{)MXYzL;rVyypd`AJ+^gTuftxIUZP0 zE{k^c*BR7?AtWd=kJkcpBF~YRG(NazieOZtV;}wZ$4zcq%swrRL0WO->jW2~yhGvH z!UEIYAu)G8c1_o0Y@|T#}6v?nbjfl-}z5_+#`b-bYA0*Jy|dRDi*2zQe|3`o)V$tg1{Q zpy6UJVPahcR2p+JP|814C{ola_9|uL^S4JJx(^QEJD9ZSG#N}5 zdl2aD#IK zgN7Nzev%X#?bO9_pUBElDsgH2pO zR+9t)gVG5ke4E5*!7+G0~q!@&T{xQ#ENYI*$i3XN+-Td!5RYh;XN!b*s0*l7ifowqDb!ynBY z#u-*M!R;Cr@U5H&#xRYco5F_cyx^vCh#_J7kB7$=u$-ZgFY5-oHLh87p0PiVHT>&? zlK?s-8tuLL!*EXmB@vZ+Oi=sj-J&awHE1{=&BL;y3qfu$+(s@Vw1HZP7psp`B|wNu zTnHuwOC$nga2A2l5*BJe<3wqhbYPUAQ-pAvDY)T9Q!$ZXn{dgE$|ofW;19k%6i}Kk z0+49Mmx2jV;tdcY`ESH{<1jJ}I?YEDFP#H|!^1c5`MI5C2SLx*{_+-qRt8aC3n7!=*9Th(tIFk>{c|ZW z!}K`5j$9Jg3;jD-Flj(jCtLQ>dl zNRRvLh8dZAf}Brp$K?cRsRRc6+{bTxR?b9Yw-RPjI_}0;Rk%bU;N~POc~ZWNcT9CsruuSnSs*qf^33? zChwbU6%a#&>OTGOPjJl%GS^Gzzg$Ph-fZ$uZVBM@&X7Mth%mS!WCJ)*3FHmApx5}E zJV*re+pF(~K+D`!p?NEOjx#s{rr)+`pbsmpQ-jmuAhw}| zy5$Cx3DNh;+t#+_%!b4#on#(=gMr2&2va}2zBr&}1}G+3yX)vJP9>72O31)H{Po=A z8@79?be`|W9Uo-_DrwODyf|2q48;WIVY;b!48cf=M2A9hs*2UhX{upB1K_z8y(CDu zXQ+~v;~|uHZvzr4t(gi+vkx`pZia=F(e6}cejRfXm_gdke^~0gQg@Cs%Rzz+IX>Co z7?W)>+tf?tJRFCHq;Z5NdcF4HD)X}f+thXQ#e%9zv^(;C?;K+kQ~(Fw^C~+HY1vJK@@qU~Q^W+ZW5yp|YKsR9Zo)Hx0yEpx#UuzTc?XRUrjJ83c(|aq$PNlF_YW`k2Vz%;Qd>2ue5E^y{R05(49`-~?6C@Hdp^S0o z1{hSp#dC)#bYSHc1x99G^k{cJa`5wvM}=G~+ucdgXV8aJ58886{=kAW{Rqkd_QE2JyZHyY6+j_s4jM zAEEz4ES!ZKN<7Zzi`pm@yb?$tp`v(jdVs7I9 z0P~K;jzz&>ugAeLl{uBKM1BT*4EVj&Axa+C{`fU>FwF;iCL&8>GXMlmE-`Ej@WwWS zgOIV@5~a&8C1J3KOfAgi*Wipg6mg17*Qdv*jKoj?chiiYIJ3Sva;p{)-}}Aem>+T! zQWH3hru;bz)W{9^SmkfB1Cu;=5=62%>wbMaf`cAJNOZ@JB)p>a!dFUbE*ReqM(~TT zl#p&c7bAv~_sGOV#t{LIitrSnNNiw2u1dLU0MHUd>_C-+#%waf0>lh47@~vw^C%O`V+WPQLcaL)Apr0| z55K!)#6RA-hvuO*6Mqf_=&(Eg0LpT9rWxc2TbNdSIR5~%fqsNj03EYBet8Rt623+L z9!!J00=Ie1mQ=!HsA2%wDq<;vZUnTp}tV6!>wFN{hDxKOO}u!*uw+ z^x;(4(fwY|Ie22G<3E#zEStgg%M_PS_umVwb}yg)@Rnwsc`x_D>qjY{myG2Ofm&x6 zd1s)Sk&A@IKZC|qqzDzsX8g}9R8>th(cwQma{mC(Nua0me)z;P1mnp+z88^R;1Vh1 z2Y9mzI;j(Y*lBk!UF_4M_~6|UA}{6f&7m{NglCd1Ai8UuI!nW*Rh`%Z(&=UPW+2aMHMiq`q23H;nCMrfMgA_gF z?se;q0m^1o4MAISYLhpH5s1W$u@hesyv~~Esg@`KOe*cEpinSqs1IDVA`+(%8xNo{ zlSWKu9#L3V#j-K*-Fc%Mo=j;sI$+9*xp%`k(lJGQ4x+xk_(`Lw`7`qW0A4sCJFfwF zu?jFNt6$%a8~|f6esJGl&YW=jfPhAZ`UZxEy1JfslgS#7(@nr829Uf{3t1y=SO;P zr#z|AL=m(eC+6w3bZSDVS=rFWb4w6C!Se-oZjUqRCcv!|jffUSW?QII6*Lt=wT z#|*%LGz^U3UW{D2NmKTesikU)4z7w5?T|ED(EwBi42C>xNT8laLshQIuBQZsRfbt% zYM%`A5FwSmU*9Wv{o^>YK|?AeKq=L}DtWBfk;_a!*F0FI#U?Q4em_CS5|Z7bOQ`<< z8gM6k5ynx4I|i2|n&IaZTOo-qt3ULjj7wCd&FE$RO$hzKw`;yP19pw+M4H_=3FtIQ ztP+&l36w$OSZ5e101yl`#wf=YFl|^`W^fjM4kkiFLjcF!?`)jFfY{(3li zxRV11upuf8z#hpFHDh|L8bHo?D8^`|7;2VWqdJl~W*4LeG>}C$Ot}keP$hK;`nfV$ z;d@82wwqm5_2UzU3{H+O`8XFUzzg6fJBvo}UW+1-hk`F-H;uF%q+xp0%}jXT5GZqw zsa-uuTUHN~#@?92D)KfX~b?e3A0;Wlh8#BeHjZU~#EwGMTJYj;a zdM3WVd`{rWMu7o#MNG`Zs>lQe0v#QZd}Y*RXDhV^)$TPf52iezrD$NxtLKPmq>5zZ z$oa?4IYyjNJM5*iF?yjKkb$L5qlR;y-S=O*HH(l86h4u26%5J1HyyY$^*V2-b?~hsFkw zCz;h4CQ=o2=yJ9w6HHO?c@m5kPqU4VE;Zh`T{sZz3E-sG4K$OBCCb1XpY{Cl17r(@ z&;I~DRQS3S5PB-(0n(t1()Is3evpZ-t)`Lq#vG-84bf-mQXGZmQIpJyzILear3 zzt4b00uQH*Fs=*ZBmHpM6vCxZyXU9z#L%vEWMlI24Dlb&GLc#pReT(igCRC*Hw~Tz zZb)jiys(rC+zNU1=b2Clgn$g~@N2h$Ph*5Ga{U}r5?~5yfa*#4;Kt~8{{VXb0Ougm z6(>l;@V^{ULBr>XQp~~FZ|4f|BsPI6WIDdyGy4*J3%wTpr3hfQ)R-JrSSx9s!yr6Zps0pa zkYodxkW-4Fq*7FkZ4)I?9txRc62kN`3Y8s+#+w1iCd;+Jv$tJPqiO78d(%1VQmSE_*6kI3}6Ip%5zNw%3@#<;wyx#_TNu;e-wiW>&!#kJq*w7z9YTXO$u@ z4zIb2Emt5N{{X%@gGc_y2dqS7MHiF3{BaDZ+QDF8mHvJ40;?Cy!9K4eb5}K$ z40Qhh7!A`%sA7raAJ6LW2p&Zj2sRRFe6r_{z^NPAo1_uX{fkXE;nxySv)Po-yguGr zsYYu-P{VsY8Byh=HjvJcTcqj%cgFQdQ0<8YT0Gx8vZr8|Cg#^poM&@_-X$EcV_)Fl zAj2*O7rxK#bqHSJL>#rO&wn}a*=mZ14jsSCOtuvuQ!;>u6Xanrcwt2f78bCFhuhHgfgYSn`wBdM+_SC{hbu-M!Ap}QdZhiI6wq~iF51h>W zW!Dq846|~l9bv}Cz1&C_Z9_DkDxx3BC_Sx4R`2M#nq1j2V zJPAgtQQ4JYh`}b~J#eZK4S^_$iSA@6BQTH<>NwmuufAxRG(x!FkxzdL3m^w)PeJ|< zJgcp+%jl4GRN?aije8^UG2x z1U$E=+k`A|3DiHnNJVLKX#V~34e6oKAxyp#^}*K)Fp(krFARZ_m4pxJ!C|W4y{mZF zaZp^!e>41Xw}yUw`{l%iuAis)aSv5z3zhV_U;wn>N-bGkbhb7WDh6Jl4DEbpK zTh}t^3KWxYc1tRF;c3OWwMmJ-K5^GBGOc2P+WB7(Zv(=KHA$=Z9dJ5qYZ9hAa{mDL zk3+5_;d0NUMhCoy+uOP+ZE+1hT?6(hX zYZ(cAvYoF*GR39}yF83)+MVlK`7Z&^TNRkg%u#bKb@-&F_uIaLVTf zf13N_+sk z9d(oNGgXIRiM9f;EHg@XLz&SOHbT`coOukOinOqD-oWV{eDMN;)mEC40A~z4;a!?7 zP*p~Cj7v(HZzP}uBYIejj?yWIm?OGq1+w`}G9Df?>g2Qk3c@nWC$Na^yQN z0aCC;wacgc4g|s&O2Vjp2y@~J<=wO_v?>Er`Nt$6_!}k&J#(FMh?UVCf-_#_{`npP zBtl^G>CpPsBig{c3zc_PX}%z6h`Je=-X^y(u35Wgj0LIH{(hQ_`+V^tsT~F}3xQ%6 z6*w9!ghZ35=;#*Ua7o&lu)@(4>lr*FrKwm6{2N^pR~*&RT!J=~myZO)11Tpd>QDAN zp1;-;m<^nmM$?>o)-zFp=1JTA#*d)u_u9rHjt1c!Mpl$ zy_Z#lavWD&!eHn~(O?KvqJkCxgUewEfM|08l9x&#N(T4~Rf}@&!s*~=nMouTm=`k# z>1yyU!5Edp(i=p{nT5*r^N2E1H2n@AenQD%TCXEJIxC&}hHC@n97zHCSl*Z!&HgG~@W)+~K)C@m| z!@?>NtUX}U`1Y5JApsuVF!gRd=T8I36?KoM~@s5t0_J50<-VoZ^_L-ag*abGUGVlT4bhBNBZCnvIOXd z_51M1qg8}U{EjzQ!59y*$CF%8TLZ2!I*2peKZ^ZxA`Ox77@qvhtB5A4;vXT!1``m; zpnk^=C2`Q*i}$BBaXj0K*S@$IkWj2o=LhSB$gaz6Zg$N70A4mMObHs^Ch<6gDVQk= zADo=};t7NSEz&*z0G?SQHZYwVp8o)Eo?JIW`QqHKZG={xdT^K`(y&&|1}!Iljvn%i zJAM1)Fd3KKf9u5!h=AdO5GVM*-wix_`*=|)%}a5`0M&vDvWc0`m(AvPl0kIaVIB=% zUBwA^)P3-s?S|#U>3{6Bjltq5z}~x6hsP7YFR9A{PxGtsG@A zcHWoP53VhE6bf}7aHjkl1Qi`lBn*OOEU`T#k@2zDe(w{%4>l|vh>kF0 z2G=uiND_k}06WR`D6E_^EU>g*MhWB}-wDVaEE1|cVrPjF5S@tQ5)=Kr5+Ezmhc)rM zV^ExFCZ(VdW4^K5_yqL_D54dFM3;Kb9wibAi$}MF%`t!l%&tNq8==!iavcQf;l(yd zoO<2dgn^Du1~!X`i%xtWZ@x59D94vOeK z9bh?w1BTLKjRQWh$R#W|1WFn_4&8}uMD`1V1Tki6Mk;tt3M&~}aI75QJe>wxr zQB3@V9wE}Gs8Fa$D;WdI@;en^T+1mdSpHEBMF7q3{<#k@&I_v<{2Yy-2yD`L{be}rz--TD-u!3Q`wi#;EHNuSBkVGq#X%QD zxXwh4PO~`VQO|ZD^;@S3;jLEy@)I9H&wCdb7nCOAg0um(3so6WV91J$ zD4@y?yq<`>u^og07(`D45&-}>uZuGjiGVz~`03%v3cfl&#|#r8{{Xj#uBm*J{{T)> z%5Hi-bMKNM#X&r{_~h|4$XnkM89cbE@<&#W(|P(4Rv=}#G3S<;Ex$a~=s2>2e<92XLA`AM06$!4MlPmO8S|0n z&<8P$Dsz|jGr|O#LwN(wYCicOU2$8MKKJ|O_#-=PTb_>+5ZxHkdgGe0_Rsfx3(r921?xV1NXQbvyIh`+{Jg=U zI3e*kf}J1dgh0a1_yCXoqxzp*5c=c4GmwChy;;lg!HqEOri&P>YZo365P^fN-)YaT z5pV@^ltaGJ&&ath5?(NZ%QHV*R8azp42W+306(@3h7XQ$kDK*{36+2b~I~c)lTk*vRB#@w+^W)zF z1rl7eV4r&V;E!&Drn+4(48{WwGIW$!i-{#tn7PX?@#T`CHZq^jGx+jVvAC4#&8tGdKHdujsFOTbrqD%t9!YUOmjue@&u!IgxU2`z8CQ#A5 z$F2rbQpKe(Um>}4Lxj?)IuOWVHeC|ta0%Xvx|K^rc(Yzb7AUw1Exf%m&|u*eeDTL& zhcHCtq2O4JqMqbYdU3}mP{^<-9oi*nE6^{dYgdjyd=(5z%J%QRBeb9)EnR0dee_^k zeD)YC@t@aOKzI}b#BaUt{#9_BIku2s9bUqi#0z0(R}GHxn=%_XF&8>CLRd#jo7Lq} z0fQ4c(-imQ?0AWN3p3Ix%`7_{Xn28jP*|N^J5^HSD8yuHFrk_F4hdutbpyO8 z!Z@lz34&qFVuXO{rQ#Y~)w574N;>9u0_Fg-b@9W8XZIY*(&dDNAiSf4@ZN17`E=Tp z+n+~zCh<##jc@*MfOt8PFj;Y*jvHz$1&bVtO3nSH{^OUUA8=#3Im#1J#nlP)$%pfD0Nqrls?-cn*5#6#!3KQwX#<%;YS zJMew*v8gkpcf+3}$sYhKZ$Pur(8w$@m$ztY*NMS zbcbG*IMe`PED2xd%JKv>3YDl8d)k{cDhfCIpQMOqTvI(b<}q zD7I$5&GJC5Q*L-83bIK8Dz3hI-z;72ApzOe2twtdGIj1628e}hkZR2>3`!wmfWrgw z^5!|iIUtZI!87xB$rP-TpfVfNzmJy1I#Bn4$>uZFFtlcuz+vQbV3Mvck^nV=4K9XH6Ddp*0Y?vdp2~$Vt>9Ai8OYvwlSZ+RcdYGjlfc)lwaKY;K(aMck%xK&oyQe z`u_m0>yQs=#U&UMuut$e>wx{cj)H%W2uL&&(slL0az&=Nkn6(y@c7iaredm zD5NO19{&LP=12>}f*23epZIdip@tK1K3_lm=I0Y#+vaOMynO<}K=hG2jzoM=U*8UMRLN2~UY`E|o?Yyk zm>#Eb>n>=-n8oOV7k&5Y;=VT+uJ8LRcs0LFT|4e_jwqXJT^ zHva%G5S+&r_z{0Wj=2!b3>54@#{gktPF{B`iHNs$D6edA&yvbI%(!5aBKdPZjSvW? zA&@!5@|-}aMCou%5KzSQPE}Ean<2L237;OM0PhM`*3&~5Ip1H5QDq)*Fm&(UUX&gcQg&Clqn`f& ze2v*(c$@-$`%Bnj3o*l1&{|R7%h*GWM+GTNMPFc zcc9Gm!nj0I29(UYUH&q)*$fmjp%c-5{2W*XIcaX!y}r-E-XSooA?aDl%+VF%!;l1( z`^MRpyLo2vmwe)fX3lR=YqTfj>B6s=00UHi@_ym8T`D6@_n2 zhRWm@L<)<6pqlK|Fz7O}M1!4BIOtkveRa}2au(hk7r?-u$!{4z(^CiW$r;c;o+twX z-OhH*x!_LeR3Ky-Hdz9J^_05`ry9D{JiTeOl4vG z@T?ZADyySY^C%PI}ZA<`WOU-v8y1fyKc_rR1`kXLaV@f)rvAxkG<0TpCt6y?UrGqr^? zQO&afRCrqq$fauS+SubHD+=X+!D#?m2g1q3SFO*JPKt9>$78yfkp7vn)&m}DDdHcE#(|1!{Ne^gEdiA@xR}e7&j^9U+;_p%M1<~wc$8P zC^ATLE=E-n;7XWjcb9XnzW(@;fG`@z=hrkq%|K@1XMuP)u^F!Q%+R@E zn$rIOE?qfb;9PI=UG{Q~QRFf_2g&~cyo6~Wg43+xVLP!+=9Kc^m!03x|O`lRj0gtnN7 z$Y$r~{9Xnm*DFXcm#3q%{{Y#>>IEerHP#MPn>-NQ9L3#2;5=jH!^0$^4%oh>&=Zs} zivSf;Y5W!A09NR=ZNgw4c+7$jG{hVIyW(;%Yb0WiQ9W$m0EJb7ATWWabbUyGj3v>I zMA_Wn)e(;|p%6AF$5)f5Oc;;}+PWE6nj~o|@@u05Cs$YsFvWPJMMl|&8hC{d~|o9~6dM-3uT za>aan>x3CwuFDIQ&OC*hnCn{#*Qk&FV!92<)3Kg)PtWlo0=fpN3`c8{w?6%Tul#r$iJ#J2IqZYEjx zwBajRNj1uUM*3uEkThrquFQ3ZToQ&<06^NV)~0yA9l(E9>j0G>VUa*Kv22+G77j&N z18H#(Vup;&nE@5LR=^dQ21Fg4nyx{}EhfCg2tzwEu1BW!f<^%A*Js@TB8E7n5 zEqCJaXC0Kl;8fH|6B{yfaW8;vE@R{I_fsT6DUr_?BoKwjc=C_e5G0FWZ8K;Sp#bI~ zT_aUhMg`0s_UnWL0s#ZCr9nHBAPlL1oM;m)hZ!T=b7QBW%g z8vEd#a@B!Nk_9xS7FUNE$`}a2QN%p}FP0ihog1f;2-7n!&{!?O>;&8z}H99R%w@c!}4SP2U%Yxn;EzWHk^_~Tr^ zjs+8@{700z>C6P0{y5Gr{{WOIrp1>8{{VU5MBG}nfj>X9jI0fn(;WQqKJ3T11Nn0! zZH4PRvWh;{e{+l|S5vts*0^9?#?-pMN9T;Ip}Z=C3tPdGA(1z`W#&FlR;MaXJ`53HWxu|9kb)U z{&_|>C&&9-zSvOC1IN%c#yqB~0cg26@_9IrUxd6%ZXo-&kj{V3d29%Gl%TJeoZB2T zh!LS=Dp23oCUAj_y-wg;`{6Vd&5Dqr<^1wwpr=5d-%rn-hv67#iTumL9;}NkVSe}r zab(Ekt(!-86`1A<#{dRZuGjpOSYFO10qct@-1;8w`bMxq5gdUSQ+$Q;!_4iw z(}+Sv9EA98FyRb1ZvkbbkS_%XkY^cG!vUkX^`1&tBT51IoU}E7GZ0B&YUkYG90gRk z4`or@VRPRbjF|}5kHkFA5dtCX;OG?cv77tn3b3(@=3&H;1#HQ!44V?Cp+Z%ub1>v? zoK;pP962FdAwn#d12t5lxD7DT6o%v-ManC?N!+LkkXlEmy?=b#rYYlLx_>R6Zx`>3 zDt5tJE)zri@NQ+3eK#m0+vmjPVo@;FC%`{i*9Au^0yEyL=lJ8A#pH63hDrN4MF1V_ z@*}k2%vq9OYGpLDALPx$mz6DXI1s{g{c{Q8oG0R`TvDu57dAaSnBd?Gp&cGxlDS?0 zpdCHL5V&a!VD>-=8WmvdR#NBhhU5oQRjG!aPo4xha*PK504c90p#V6TrrK)m{#n|i zq@rw9Q)q=fiaQzk z^>NJ(0GYiX_lOfA`6nVv!d8V;uFM+b)m5kf(zE5=@xgCkyO*cW{!UyTPysC&%%6jJ zhM)^XfQlN$XWn^pLZ)ORpBKD)-yUQag=#I{QJ)=Sn=4z-obZbRt!$EGX!t5*6BKH^ zgy4>}NzqC?oF@a2Kr&JRgbr!oNKL??aI`S$mFt#kmcwucqTk8*1?GZN4%_zi-m}6c zpah8xA06gRoG8MxRABX>?Vj*F3DooFMs4{4>O2ufya0!y;O=d~coB$$Fro58*GCNR zR+1uvUe(BwhGSkPbwD;ppTaf;Uv7z)p6rPffqLbj3i1{xDB-Kpdoqlet9>VZTaMq zLo~o7>A))^WRD*JW3Vh#RkF1W4dRY7tbnn!E|ij-I5Hzq>%Fwi8_0yUE1YFqJV*Er zGUAG0CKFVjhk2WwqR=<0##w%I_N+nau(|pE++vQUcqVUGsf!=&O5Oi?m@vdoJ% zW1?oj(PHe+9x1`eD;K$q0I1&tu{n_LoB`o3?}Z96hjFu8 zX@9;LZ#3_GeW5lmIPDJn@K00;R5qrT4Dyo4W`r}NOXJq~4Q*C1na=Y4a!idEm1px@ z0!~F209H!=cr{27PN>-ChjUli~jW zTx)Nn6|24l{{ZI@W|=h;>pPCx5Ij5}(cna5(x0A9qa=|=I=N#^P8@_Wt3bMSPI0v! z42qP|%@PN!xn_%;Fdz_aL&%>?{cuj8 zCe{MYZ~5`jd7BdAf(h7ZZ{xVJiYRju2ZR)Jz4G}A3lULpOfU9$=sg?0pu$TRG#iEu;NW0Lida|t{{WoSBLG}A z3!eo5z15}dI-oPM;-WUe?yUX6`q0H}DuP%2)^Z&Ig|xYq`@HKsNdf~BQ72wJT%U2a zf;v$!d=zH&x?$fUi%jbbpeJ%uBb*ft8JAG9D^JZW+R%I*Wu5x#h)H6V068i|VXRK4 z4h`kwAPN`)7+6z_1GCK&A;7RxK&xH??r?G7pj1u^0RXS7&djxmpvIO4(}HXh;sLuB zk5fvhf(!t|vg60>JU0?d=DooFxWQp7DQ0<)NPq%LxE`hcoDkChpo`kcRrSO~3OX`~ zIQ9Pk%)F^;HzHPTB_^J&F~%h#N{L{D_UU%xIjkBVf@C)u?OB3@(1;AK$bYXgmC9A+ zo=Q~b1qjqae&`~-VM3-&t1>O6pryE%#BG`pawz2#@H6~^*oq2O$GDmd@W|b8A(l#K ztl|&5v0wS z)w`EFzUja%9M|&k*cVYUvR!I%*<-=Mwr~d=WDyyaltSGMPyk%4EN=#@+j5%PFt&bh z@Pmj!i!s3aUKQy?xG%t-{5?71VPVK=#zR{_Bk>GZphs|qdQo-iJeZ{F1&li`{{SBx ze&G-r`X#xvdGVZkDUUAy;L$l8{V~S>hYozZ?gsiui}}xWh7h zbaP2X^6d5e{&m`{bsQQ>j}6XYC_Stm<}jS7gDGaKtat_r6%r(ibEuM%J|Oy)HHI50HE zIGGTpna;1L_sbQ9h3yW$-`^8q<__myZ!igZ!)V^zsghcxyE!pGe~w6Zm04HKZ-0M$ zVluj{Vi-&*De;7|AChQK-@flSF})2_HfSt(3|krHTl zqy}}Y_sS}P{G z7O{SL84d^`M;A%{4neUI+Yr`CT!x)tZ<_C%xB|# z%#u_VmUkguZEqIAyYou)YEue>t~kjFU<9;KIB)j59swqyMYG#HakIbx;aJ#ls|h)B zH2Ro;{Sqoa2r-6St$MuajPHzn2CVbY|cdBa9Ic~)bwHFC71|^ z84NG%;d}rUW7cnJj;}5NjnKj|tXtzJca&K$0F`Soj8JrAvoC0%l`%Ow^?jZ1c>$7= zK0d$kZx1{wB#KbTxaeIWfy0b1V0L#Y8r#yUcu-gf42D3r^OJ<)E&)i#LwgB@%5WpJ z@XQ9fZboaaMGVj!O6D_Tb@|^8D4ar(PB)wnoOcwoqVUL6J?2p?Bc3$D1`(Gc8S)*O zL?|W|mJKFQPqpcdmUJE734}o5iaT&-GhU^r{{RuD%Bl2p%BWOp=!R&iIDRw`k4OQ~ z3Q-*4itFWIz;_B;XkjNe_6=1cy{+%9Ol5%wN1T~w*v3EO1XP;$c*JZ&7cM`(V7u$cyUIg+bSxlcDjaWr@p#mLZO~a)$E|RA!z3A5LrIgTK@{&YQ%Q-H z)en2)$3Tq4kjSi=^Fm(bTgu&;#2To|0ebYS=y2&qM86gVItt~h2m~8`Xf`G3=e<@? zVL+WhC$unNeO^7&T~Gro>;8B_C@V^!j1LF=;P6ZmETw19{{SQ^T_!q&&GU&at1v0O z7E?+T$8m(IAdP=pf&#F$KXI=og0)?BJ9P4#Q0atk>GQAiN_prLY> zbA`nSgA_$c1cwF*iU&yow+j@q+;JkrYDh$|XhdaVBZg`i+{6uNUyyH-KtRhh_V@hp zMvol9DwHL-`hSOo%tqmZt^ja8xiKF5?72gcGn1oGBOWdJe9mO@*`fhGMEtxUH1?Un z{{Yt?%U2Dq%4cRp>)?%`hk?QOgX(oSpAwY$;Gt;~tOj?zM(R9=XbV+LJH@x9$6p9q zM-`M%{W#Z7PNfJ#0ZMz~0cFpV zSxBVGbe&0gES4bz+oB4#S8)OkVKWH8a^cUynGlLjWmXTC1$`rl2pE+EfD|Mw_w&D! zRU13a*A($^{Tcoq6Rg15i-%wQct+6MtLKf(cw6xRA~N z0D=7REr?N_vTx45-+U$L2nmX(^5Y>gslXR5k$epDoK(yT@iYB@4q-?RYV`N(j4^18 zi3f*%1GV~u#T>qrI)KM*TcPRl-4mvg397`(|J%MZbmj{@(>3;VApMR`{0# z>)!a-7boxMGtppc1$bkKz4zsKbxDKsz-J;$ykGmpS0PHQZvOyp5WppwE%57v z=3;r{r&j|anC$*eG=WAWCTi+y82eK+VI-7?uMR)10<+NH_;QieCSixsA6zh?NgI4z zP89+W8@bDjAgv3_>NXnEZ$2&ld4L?lfKe!cOy*NDkAI_puqvqArIjk=2cCdN8_Sv0 zopCu8hNehZvE13?jdJR;iKTo$`5qww2N_C^zthd+T&A#&KTbm8aRpjlhP#{Y6e?yu zEZF6oUX}m=%Opb@RfM~uw8FAyc*7(#=s)9pAzKCz45 zgR9Z=%a)aGVO6*kq=nBC-JJm?9Wh?XF@%r?WCA6o1G06tOU#gKW!Wu1BlGpI362C$ zC&`#Umu28x5{Sqdb4OfWdqG4nhF%gvYzGDuh5U8Q{{T7wW^3VJ4*>PY1`C0TwNkZ< z=N*&JI&MwsKB}nYlkV6h?EpD5pHM0 zu!eyd4P%%BOiC9YH->%-GQl9leVFshrsOKcD7~s;YkT7tNk)YM_nqtKgv545>>sbu z@yw8RE>MOZhd$w6EU?fF7{q(mo0B1q7?42rSi0@w%dCDc30W&tjSQXrW$THSY$an9 zur?SG03Bf4QMzj=Ha-1uakwxfyE?&*PhfJy!GM(xVU>O|Zxl9rgl* zHD7{UOpg$S2CB$9==s9M;s7kB1~8^8&?*3sZOn~^OfN>6EF~Txh6seHDlnZ&%*AH` zAd;mFf-QXY@x^kID$MX};O2hWp=vW z`A;f^x}rem7%cEP?6Z`=Ci zJ18^Ud>~dJzJz~+i2D&2xg32nfYCzJoWmV)g_&vm@^1v+(La&(!pCD}oJM_B`QjYK zh5PqAI7^Bq>H0mVe3cOw-7D8qUGZvrx|&@3Gx5fxiwkUP_?`6gqt2DeN#FQ#3W`uP zn*Mm)nl}%Q7&O^{x}kQ*rMSUeq?2|KNcq)#aSC={$UJ6648L61*c|@=zPN>(Ue&mr z{J2c~arU5{{U|gt4e}YD(465Vc#C~Dn$&LF@yy! z2Ljn3FbH;IKXm%Y0H`xS5(I{m6FkdsGT>-EecjHMV6o%ZV~-VUBDKV-ruS@xI#97{ri(-+{m4aZjJUY@w z(UB0MckurJJidTEh{G&Ab(49PF?Qa=Flp71VK8v0NvLC`<-0m#gkzA?>sY?|&H!<2C%&2261u7X#UMZf1l2Yb-1+}<j><1L4wrfzqIuJ_Bt9Cqe;<#+Rt z67A@G9r@v{7O;Xz+zeo1jNl21DR36%Z`Z|8&wx!(AFud4Mw0CBRwOCYsWoD|qw1 z0Z{gLh~y)0u~-ak619K{Qv~^C$hKg~P*n=SaUg(RL%KsDATc&Y2SC~-kuS0+Rn0Oc zV&(`W?ieT|tQl5gZ_^M{v6*r5jacfy(sZB5`QpAx zmy8kR{xtV(@%phVP#}@U@vy+096)XS}*W;6lrmv9y0Kbl0!zN{w z_+k6wLs(;FGQ2>k1KU1lbs`ewNAtpHpo$nKT$)D=xbC0tj54|AAW|gI56<6?Cb!|F zMdD8`jhbg?z6c1{Dud)W%lPHvtd9saL;nB{VNxAyYPILP+uzYbT?`^HHN2?(^0?tu zM=R?d8k`6DY;Pg_@HIYh)_rjl5t$TOt0C)vV!eET2!qjV^PTVw=sEJk{PMzPV;zn^ zt_VoxS-JlJ(Zda@g1g8+gy)}*e(S_7*UM0$gv~h=n$@g_LDC0yTJj+6z{D9HFbi&e zc&5b0EVKDIC&8du0{U7%=aC^1n+_MR_~nkr0Tsrf4PP%1114}gc8XRWvg2=4fb(`K z(0#Zb-U{;gvBN8yHC#6$gRPpvhOrN%nSJq1D~#dMe1<_!d@&_u3Wt?tDf#$MB`Bms zd#@iHt_0^O=M&^`y(Ks(^b+;v6FD(?Z&CN=(=$8Y8I5lZ1n7zTzYQCeh>-fMHC z7~W+WM5%({X9=XD0`z9+25G&6g{#875|X3evU{b<%@O&3rso;XAgU87SMx2 zk@fF~#lez-bLsy8c&JuW?CQg}@9ADdyi`WrE`iZb_?n<0cIS*{i~7-s5>mkHe5d_f z!8SN58Uz4PkSk=0aKf4e(g9gAsxZxt3~;EpM7cqLhnL+PMFB0yv&+NbIVPr4#MBjZ z`S`hvVTP|mxitR(RH5M<$f#-G`JEz}WlU|L=^MSWZE}5BIzUa&b?gMtyb4AK2&vnu zeh1Tyjz~oYgvk!gs&P}66EFZ6#4K-s11UgeJPQJLvS{Q^Y8ZSNT9hLeyJjd&0L-RZ zhI1fbLM#Ee#)?aADYlJ1pdGGJa7sEfs|udjQ;?*;4ukl422n3_xNj!)y5&Hsg2ssnv-L7aT8o2 z9Cx!Xx~u$4$>gk<28C3`7}`R|f{+tNRiPXejKCpOG$g3oO4RJbk{Bb*N|@P@km=xS z#!v_dh*4cC<$NABzy(QBWTtW2k9y9yDbPT{8A*J9wq1y7y9L6V-?JvmRY3uzWb6m3 zlME3A^KwjAzfHL9%brTiE%JZ_*A1zh3`yqcn{B-5od=5{aEJi0Ku*8uS5!Di0w$+G zC>J?M5IEyC<^e%U@(oek0Z6c6s-omYR3?$Zi9~|H_3s9I`MhW5+n%>6C3!S9Dx%#9aH-_zRFxH zCZ7Czca9olTYH7ni%Ik7=C(_oO|YUBwAEY=6D&L6%NXHS5=1lZqIL`8D8!6hH3+f@ zEjZ_q$OFA}^}^%=Z7xK|f0*M312qFB3DWoNr{HZE8p$>v&-uqF0o=q{F`KmW)Y$Mf zxxr2t%RRz9ncKqEgzqi&OnB)yr$*TFglO*n0QZc-AdRB1&>>nOk|zOSEdUTBqbTqR zPz=~*VbP-zPg<`F5Gji_2w}muEYAi4h(&`8$z2oDV~KTTTv~eTTI&Z~a8Oc@f;607bM#KAC9=J#>s7kc3g6hIe}Oh4U6-{Ahe2Lf&Bis(U&7<`_B7nKImJ-SA|~ z!80%&V`S^%X}Js>+osVQ;4}5v+&b-V10)n%rv0byk)VYp07H1~!w4E+R{_f4{Cx0i z%|JN^tE#_SbnxScfVC)LeRuRfJceqt?=oE;5>01^_2!UM3qOJz#akwz%6J``yWujT zt(hJ5fcj?WXvl$u?ENw&~)WO+Yd^U6oG6Z-E&AkRM1GMNWkfI6c_ZgCv}l1^Zs49hRI+ z0dqn)v#y&w&rMM%BNS#V!^elreIV%ZCjOos`G7Nzx5w{_a*U*q)K2^OJ@CmBt|078 z0CkbKe7n}G7-#TbU!DP}5prC_+1LJYS5*mVxA)D5ymHFY0x2l!(AdLmaKZ>muypnR z0EW0k%2%=s$}tn1IjF~P9P>-e==ad>zT({5TU(K95U}L9cX1$x=d=*Mj;F?``o^BAT3lYIN zQ*aBe9*by(lLgryGlM;Q`@Nv-6m180b2e8It5O4`qHCT^X$xE#;FY`(0;rqELD|u4 z00I)O>QZPx0HYINSpv@kPQsz(FCi%?bxEf4y5{k7FNrSbz2To{8ke*9BQh-dhC=Vt9PNGnFw|PFQk+3Mes1TO5?Zna1G&HyosCl^9iGUSIi=38qYF zA(%2YVOvy6ili`42NLQSxqC6tN73)RURdvAT2^Bk8~f{nVu%@78l6Sa_{R!0vc0k} zdQ8XXjRPigBDswIdotc*@;Qu+W-};n(bbG2LLB^b4$t~6Cp0!z{)L>St86x;Hpr+d z&)_mCQdOE0rY;t^AT*==Ub$U5$Up$Vx^Qsl5CLQghbc-f92L%II3&dKQi%v~hsVh^ zp0)dPJ)3w$W=mm%$&P0*fKa*MqQ`xFQK`+1(4`Bpf*x_lZSIC9OCF#1Cll5vCZ>

qfw}T~4xE%s4#Qe+|<(#9yxr~I-d+SA_LmZ$kxV|mpXm`8|jvyeGX=Fh{ z?npR*@tl;R)m11M#j_5sPC!AnSGZ+y^3whb{nx+jaPI@P&t-L^QciFUKfM+|(=- z#%_~CjtmAwR>pwp$%*nwA=tnmiB}0DAy*TEiY(57IvdFi<-({MYReIFTxG%K?(tD+ zsNSzD*Kzc6kkwbm`f{?naV?oEGj0C>oCPvE&bK&02zWuC-zv0C&)9#zJXWS&SDVb^ z40eBhEG#8{`HQ%L{B!4t9!@uzIrUePffZheHg7z9PRvESuP=_dlf?_VpndRZ<$7x^ z{`i=$&b$IK7QX{8{PDyt9F3hrZm z@7D#0fI>OCgZ}`>Tw>t_Dn%`qSMkorm|C^&E-&cw5{MN?FT=dPxZFD;@YXNK`&@>A z#%!ze>B}^fF-(84!D@>TB_n(x0dXq`14EkG`6W?>u;R!%oEg*1M zBRed~g28$y61!v5MSoF?2v zrd*%x&95rB{2+ip(r@|VmUXC*Fe$Q5j`?g2L?m<9ZGHE|{LzVa+F`->4cXJj-YWysjN1?ZUw?MYz|{il72RZri8oUj=O);mL#|iD29LD zxCBh46gnLxl>6)$)Kp;iby(TAc;d(pm=Jgbm%Z@F&RC=z)%^t$!Xa5Gs+q(|Z3h-X;f*w?p{BO;6U!0&0p3E5z^3x(;M z&{`g%4GgM=5cJGs-!P&WXxS!nF!!U1Aul1|>)-dr2BV}uB)Q9fI_@fP6;P`@HvRpS zXnKXA9eKg;I?oU!D8|WQyp%b;WE9~jdKxYZvWNzJ$(MkhftAZ~fHf!HxPhXKHW`DX zCe+yz*}2uQa!idyoh~9hNK6#K*ftrK8RZp9%q6ikDycDCI1nmKJ_FJ20lKq zcbMgu{<)HTkK_LU$1e@%nP!^b4hLs*hQO_!u{1d-&v0t0Vqse>?$e$mac9qDF% ze+MP--C2Vv><5IZim2h(@P7xcSUAxDDUaO$0M`UF$1mX`L1nIqmd!juSZ`oD6^P7> znn)i+02d(6w)gYQuL8C#;%WRD*9;L96>2zJuCE_F%&MCRUYUAM8`aCX=A1B0o0r3mxQ4J% zt3~7S>);qVq61<#E>@FR)gw%WFt4eYucuIohBz>Q{+?vv(;P(d6i6*Xg&j6vkYKHq zl0{tx!p#Es(_a>AeC&cZwD-V_Ls$X~y=U_`fE7U<5im2BNcq0_UPTg9NY;7!-i7Aa zDDiK>yw9wQ4k<1UY34Z@ikSv2_`2h=z|J4 z%Ml|0QUEf_NQ595BtC;fB?P$(8R3rnHkFvJWTqt$a7=QAT80taHN$~ixi51_OUUf} zP{>KGU~CY{>-XYnMVeMuZ=M9i91OCv^}`P1Sq9HQnO}n1j5aJd=oo?@XB2ZX1<=Mk z{U3ZosEeWrjT-*|5Z20<4iuu`=Pn#LIlFayn%xFHYomx%tU!4MLPJPthm6xoayLLP zaMCjs)|~AI!Gd;M5QH>g6v;(M15T6+aaXZm6N)GYr>=S=@-@TZI4l)bnY`ao_?qm@ zlo6Fos-GX}#eis5G&?@MYvDjjZeqc=z#?|zTHuQjxKkn5gvyu5ENG1(^CF#B3<1Cq zHi=u(&kAEQFXDiyMY~@UhpHa|vsPsnAY@ut)~5GZ5grjWP^Ow2!xEycXs`}o-MJCR zD2X%!ab$ACk-*Ai4Jx5%_O|Ok9AP?_ya4?J$iI$4Py+rhl%ei$LWKq*@hgcq?#_sA z6aYlO5FFp)7(tojF>l*G_|WZ%BnNJD=Ye!k`IB$t&!?0sh+wtBpJeMM9$NHKkf>Fk zH+}LFXMCwe=SM)I`;{{COk^5E;VB_7q`Jp-25n~LEJb_B&MiUbOtlzSt}bN_Jr7Ti z{Bba%fse56^C0u#6% zfz)!>p;_=oB8s^&K@18QI^-2OSACUwdw(1W#!$0g9Qdo(j#>d^8=ybmJj@b~6vrDX zyBWt*^}#SO11Hbtks_ve&fRa1J(RxV-{X!UTtA+9w-=8-IBzEuSQDM)jwl%nn-mjV zC1$i)is#o7Hc~C?fcsZII7GB4vnMQnmBjFUa3g_K0BN7|n-^D#-2vK*P3wPy#5IkW z=>q=v;X;g&({6u!ikTEqg-kg9ZdlMYCQ=56uh))0(p2V~jAzL|7rr}4FF*!i+1an2 z0@lJ5t$CmPd*jF%Z3^JkuFvz=17N^9^*Dn7eH4X`Mt?7m$rQ*_HDSXq=b2)=?~3$= zD{JT1?}`j*F^FH*IC)AbUg5$|eEfC6DuSsHnvXEm94bd}BAMUOraY7MV=CW#O@Wz} z7&YJ=G(3;dzg#X#sC1)0-^VvDLxr2^XZkzgT4yXK)c6{6HK$zQX#@(wJe3FE&k1R) z(uQGJpf~G{Zh%6< z>&4F?wVRDgV5Jy-z+M3$17+;Th7NX<5qsT`2u2aB&M94>!E%V;xs5s`?Zosi89^B; z?f`~2#(GW^Z^X;ktBlhWgJ@AOEHpE~*gOzi047k}Xm{!Bgp5Gj76-`mt0gv!Ib}bT z#s~Uy3za3n+z0FW;-l&0*XRM0Yh^U-GtweZE=-Pu{TD&QQg`ctugBV)7bA*5r@?3Y zc;><`F_TjcSIdTlFuH=G;Ib<_K zmZeKZMHy-U(1#;T_X*|!8Rl7I*8}xH3Ir7-uXwcQMv(3Qy4_}Jie*`5h@D%bIsel-d-NkEnJw~`!&eCJn#cuQ@bz| zfQHVTgwlA*9xITS42m&us36WEK#2?wSjkQz8vy|zYeNH`ym6k4NM-4i@%hwQ!CuWy zjz@35*0|w<0_Af{nU-z)-z!Q`gSI)x!``n1SvC|Buv8PN?U4Z*qOhgZCNumu!vqEe zgUPL*#yjDfC2DDm9I(x&I~sV&P-H5kjYR_Qjj554pfdq*xvkbS$qJCS&S1DMQ)zd$elfz&3*H91S59^g((~TRER0_)RoG9ajY>T@i<2;5Xqzb@X z_OQSBZ9=yq)7SbOje)QYD!K1JZ!-`MZ^1C4@z!}{psJaX91hqqvUR`K{K!41xjTe|c2M6{Vgr&i>vS3QH?E|~>$l`@1f zyb{B5ok}gK8RPfBV+fiOSO@_#yyHhL;D{JAZc1&;8t7ggsE{JWi#3(UXSV`919Q+L z*;%qm2=P0eRXAQyN(z-sMdR>e+Dqmi#|Xy5`r{aSf4(Rr<1&;~F)FPsiFnZ}C^{{H}u1HeRi9pen9V`db4_dfV-;uP+m*Y@|pP~ijJhw$VF-Z-iO zLSsZb`A!6wh&W(Z$BtOQN;R#V67K~QtQvbeb;L`Pc%@=fz8fkmt?IVxFZ)u#g$pdH z?=Qvq<({TB%QbP~qn!jImloK|jP>J=2(!WW>zC9ijWKsmHovYuiy=b}l#t(#d`$)b zy35A)?G)ky89bWn?}0ZYvn;lLUJyYHRM~^UgXe?tIK&I4VX~7Bd6wuFE=~f^vDnUM z4pdG?J@?t)5Foi^s;zq-RpcGU2gI+wW6Up>WF7sy&EXv~l*x=@JblnBMzk^+V*78- zJo8Uny(~~=gxEBT{+yOYY*8r$btkMrz<2;VrMGL6`(bASF<@@cz$aW`-uP_T;7tJ9 zJ>R~z5_lugk=cen90{USw>v+h^~dB*sJA)Y3}wbMIOGU-6CAiNez%*(AZ1Z_Ktu(t z#uFkVw3!wLN8#Y{fgC`0e5@7{Q74J9aNaW~sMOu?XPAQ`|M;m|Kk(Al^cMVY<< zehaz8qI>tS>G|cRc1}$YIc`vxE(L|bp=4nkz@|u7;2H%QeaHaGXd*wT^6&+v4Ixbd z022J|)d*^PlqF&}5&{kqD{U;=%Hv&M=*hpcUq2ZMa5?$0CWVk6#Jm*)DE@hBt z#9JbW2H^lI9^kC01aM0p z$NvBfV1$My5#k5}Nx0kdyLiBzn&!K1;K7*$cXNz-1Pww7cNUTF@*-=1Zzw~EV5ovv zxZ3atk5GVwv|P)5oI@4@ks{KQH~#==aSk9<%&@}de|Ry-QWf^C%l3HhdM;%~tXlhE z?Dy3G_#`0?!a#T#jdtAvw5bM?MFf189L2JL2sCH|$E;>?b%I;~(&9x?5|!lv%0viV zcF@M%jV{vw0823y8d6Y@KEY3L8?8PMX84{v|(FZH07&S4s#C|yNAOx2= z^RApg5Z4II;bcBH$Btnp_Js~eG@AMP=Ax#SZGzlE^^P~=0gQ>`)A8!?E+K(&7w`5!I0CTjo32;%!Kz3dSUn4N279zwE#6n<@Aod~4v@nXqpf@COeDVZxcVM&l z{c*)G`?-(&;!zGS1QI6AZ!WxZDZtD>E=(e*0pH&Xq18H*{{VPqRty}WM?N^C%Tw>m zA?S_yoNzpO^T*dE;zq#?%q0H+d>R2D%`TJWpRw04HZ?~2IBtu(O%;j$=awY_WW2Jx z!WG67KaMC^kP5{^@8A76UdXE_LHxL^oBsg53XDwak!Epg{POKUh+}8phkR?=mHfPW zJ0HI#ch?XIE^Q;$1Fz$Q+XT%#z60;)hM|UH(l$OnOmSJ-MnaJ!*Jt1Fl52p9OCj31 z{{S?D(R;e%6b7UOHm8~Pb+*ia1knT{rt*F#6eDUx%|XAOIE5Usach988j%!p`h)o8 zu2xS#WBl)(F9+x1ILL=hH@+aESOHA|$Z0e>c`qo+qINFu-z1HIFsf5`0pvj#G(caI z_;QaV6s6w2jyju#Y2Ib`FPu%}Py<-i4}Mqcn2W|2$f`m}6oMw0;&8Y~^o9_nXN)CC zc>^)NlKhxTVAGCvKx%}sd2RhLdBs((KzBjd? zGsP^k>Ke;RoXV?@a};uRD#XFVpBbZYPa062>1#7kz=87{uM$V3$k z0F)wRtW6uoG4^G(0H2U$COp9m85AiT6ONno>tV)aM2dzDd@;uznF2qaEb^~7L4p)( zAbKbc%-o3DIU-CYKaq7@M_FT42s^06^&9qLzbX+Kc9_ zp?N64NXXJt<@x-ADMo3>+_Dg;B5E_p?C+T#D@X!R%?1o8GNTpQm52f09@>0xc;nzw zRuW(CIcaO21I*ix_V_Gj3L!J=%RAN9IIToQ!bYHL>)f0}8dtLCL$;VbK5!bvWMcpV zW(3M|g*b)o-a5+$c9|6U zykSPvc1u6^m?|)$W7QGtWt(VxPH2&kfDnI{@q;$XpFtN3_>5nOk_4{T%>Whd_aaS9TkoptZi z^T%L87!9SqaG$m21Df1enkX#ifd?an{s?J10p>;C{bmt``6 z+SD)~F6RyaA%-)su@~PKa_KFXI`Dq@83=JyBEL*Ez)3Mbq)XltWZ;kv-CI(i9DZ3DQRHchrg)nE3awL?E)7{%lx*Vd$ zJ9fyhL8nQL@F>rmnQS5&?~9G_5y2vcp-_OpJmbh;2`N=Td7}RS-iQpLU<7kXhSc8p zgaU|(JEIt}lCe$-z3&19L7zkB@i{UWw5ABHTIE-{!+wC&|93tS35 z5-jsSu4W-uug?sepmyy40K6vZ%Hpa*JNLXvLii9E5s~xvcs7VtfKubih9`Yi%0RLR z56V==YwB_ZVDLtJa?A-Kr64dbpcuPD3oeIk*}0+Ny}Ej17r!Y zjHg-SG!%+U03Vl1lo5Pk;Fu_W7Oj2&mB-h~wGSZxPUK=8Vd_{{V+vY)##;PMQAztYL2q zSf{F#$M5m;!c2xZp~p=f^wC3aZ+t{lfnE-dVy3YUI3R)-E`r5&PjIuBaQa5(()zK4 z;0HTR8(Bj#+Ak;cE8EBo(xzH>^B9-Xxz~2qpBhMhKgZ}_HWyE?6dN)Uk7=}~$ z{x~Ie37E?t`Nk38udDs>v`(ABSc36I0R=oG$pt|!_r)>nfA|7$CwyVf7Pu5E@p$qb z6%a_4HDAN;hGYx~C?liS9t_ElOkwLBvBU*EAm@P4S*+Xo;(&&VWsM)xz!2ITYftgR zJ*mE66dP2svkTn3RkG@FRWhDKzPJ&PA!g;@%;bWCWhNu=f12RYF2D;zaL>EX05($y zrW<57-y9q(i;Q5_y*+R%2!tjBo!h_T$wwxR2px>KzI>lN3fWB_e~*8zSZHgmz#49T zha{xb9g1aIb+5-EC3E{xlLwX$_|&bVI)oF;6+OG;Obj7i}=@XeF#y2fNp8;*N*$O*YqbLm7;NVFa1hVFOutFsw2FAsx4fU=qNGo9@ABFL8d*aAS z0}Nd5^&N7p+99O$m{c<%^T{b64~sYKM|Cnq#mP3o3T%b;z@09F8FsQKxJxhZ<5!DB()5QwU`T zB>QiJ00B)GEIgz^Oo(A&f;(y2INDf|=*Mz3M!-ynjzAGGRP}MQ&N>CCl8XY_)OVh# zzDYax@ylTL#RPMc7~mi^AB;uNqq6A(@C#KV(C?~SIcAB~Sg*g2Up-T~5h#Gyr?SWQ zSM&p~GFzF4|MZ*IR<8>e*ht|UWc&8h?U1bE6%a+WiAD|El;{g{~u|kW) zWL8=&13_g)td`-GL=XV6Tn4`1zcwViCCcL>g<=W72uRi&;lWW$u*i82RA7X_deQ0Q zb3$2k4WCEj&W1-`_{LViz%sMIFA<&$i!k*@?aSl7SYw0;;7jKW_1t+suNOLyQ}6va zd8-IPLtnl+*||cJI2`BaeGe(Y0*jIlIGgzIcsR7Gsv}MBUE>{IB99u|E(!-4mqi8^ z@V(f8ZLnD@TZLrI;)b1`rIc2&u|7Prr(t0x&&$8#l`u?5W=A4_iPtDxO#_4-{Qm&w zBX}sM<=xrm5%>rMkjyb|bCZ6|)`Z>zV6+YB59Dtgh;I%mT9148zzl(+CUc}VP7Z=_ z5#l=&3$2sDc*ZA~S9wj29A(IINB;l-O1PICrPXh>L`EN429U6;+T1U(F zn;?PXd@+B&jxHFMWs1D?a>s1FbAq*FBnpO4Y@=I6dUyfmpNd(3OSoHDCm*)^7K~o8^$LN|#6Hf*E50diF}r7ZW@*Fis5cI@%d?b9kqx089)TXL!_Ta4ulN z(e)jEpJTeX0F2QIBr%M1sn3Np`{Hu9HmK8XRabw|&46IUneWT^=9ZWQa@sp%9~-=k!s>$a3wtUq z)l^^DvqvmS2xWE&H~pegKefDi9Ehp)%#e zVzsVN%v-2v^R43^K$QdV-Z1&XXM&r+!z_SNEd$iO=MhA?C3paoQZ;&ts|-vf6xj%^ z{0j)@jGo)0@@5Xzc?F?RMFLrXp9~%Gy3kiy&=mk(TfCx$83ih# z1N;PIgf(U0!;~VdX05uUQ8;Jr%^Q?jXGhlM8X*{DShHJ2XBRXGaMfzy{y!X~pdB#? zpYQX{`18WpgjK+rgMOS@xREOY)Keb*&I-jz0Kma~6RY0{0{aZ7@W+l-g)x(;--q|g zuL&`TlMkZ*0A@I>RVx|_wFiEl=NRB*6cEm#`r`&?95vXkDtfTzbcJczgK0ohN(eN< zK0(6|K?uOFO@koeWH>cJfItr<+dVJcSVmp+&VS$EBLzfFs|>l6$LqCZpcq5!CK(>O zc#M!(tb!t6fgE+5b2Mu%fVwpR>#T%G6b1ypie)?Scaa-Jq?8Mhbv}kC9FbbFYNbNB zWz%OD)Fi2b%m-%KcA|>U3Wy;yn5YpJDUKD(GqwFRa6KB;gT*9cr$rPWDrRkS3Q5%n zGN+q!>qlL1VvWu|fAr!~0;{RMSV6&?#eB{2An07p^B{NDX217?dIU5|lXLy9d!;<_ z{!Dt}y?ESv90SxN_nvr}M?QEo;ezue$Tz~X`{7~J3>*XH-^;)bl|wNfkUtMIvdZ)z z{tw3)5GLore_V8qr{<^i#lW~7GY)g_n8ZMnSbyiQjvQnyKb~~TX+}2IdOG#X(k-k2 z9J=KnKrX=^W&Z#(!r)ScG{y%Re)s`uGSPbBK7StYG~#Nf7O{Ol;&X76tQlSVVf%12 z4qH(cH;*1QOj#s4`}5)aG%F!iW8W~uQFeI($a?;fvcQX%N^sAXH^9xK7$`%n@OMO4 z1?M$_~dZR(^dhD6mW`^1{n;;^WYgnOot7!I$Yj3vTJ80I`KX-*08V-Y>nUZ&kBAx zg6*am-xY$jiuKArqX$7$3A#{rc!^T5LzXttVYd^RR^fFO5WM+QeV40U`6fUG!$ zP&Xo61gT0?C=YDWmL!@w2^&f|KPMF7-;Kd%mcI`$AD%i1u%?&DnunPxf}?UwOoR06 zk8}Y#7=z?rJR5MJU{x!$ToM6Rji@*6k=&D9W;;|2CIJr0<4g<2DRTrB3|riEls1P0 zDMfnBXC?zy*Tm)@1a-qG>JCXbw2ReCz^kl4Kmc4bQyYPgDGvm2zp)JXK8gc&!~+0y z6qN1+8%Y8=xoG)+M~Esps|3<|d++zeYN+Fa2xH-CG4vX7;_&-2>p9*P_RcJ9UC$?S zBPLMI+GGUeZKz!tW2SnDT3Hu+?jUCfN5BNrgl0rTrwBk$MMWY(s70NcA<7`>p^zq) zjsuk^1#l@VK+7JRj@6TZh8Cy{aO-B|?u-uz5M2ou@>%}?VQ)NZnr4KKK1Trpn*f{I zRmN7y-er2w!AU3{7@hZcSx~~oj2ZTF_`(d%B6vPyk0%wC999955o^R)>C;e^Mq=vA zn149g#4rf(?9oa5a`aL7;kz8O0PvAY6>=ge!9xa(q_jj!OPr$NoE4(+2Z6z~*GqU@ z0KrTG{EW99yl=$oQl{n}M)C2ye+KOU^1YMmt#A!QHcBMi_2aJih{2|^lM47Yu6II2+9kTrZKuv&AIs|s2~Rhq2&T` zEm%x|$DrmU!ijSo(Sn&7FI4RCBkgR6s*pfD3slI%iE(hSes}rgWCD@3I^)ZkVe!CP zsu`Zg`|){@QJ`3=JdvfY^zuk4#-a~Q%JqG6$^b%mBl~~Z;*`;-lb|>I#P5hSQ40uj zp9|Tmcfi+(773FC3?=|K)_9%?YIX~cPv?#qII~xl@|gJ(*5sh5oq*LuJ_&J$PPX-~|YauU;G#?AM>r%ZL)uOXoKq zR|Mz(0H*J*AtE-yMH~|n!60CW@#*CbX8PhJFi!Ah-@0lo$tTb;T-B z!Zy7(XXlVDoEQc5JbBJwsJp`ovZyLu2ivpu*wEqCE_=P;) zfn*{X-}v^HsWIpy^f_jHb1##Yfr1i_9Y^@$Z|o$ra$`Iti^efm;4i(DCOmt*uYfKB z4xg6@oH%eehtPlbf*K%o+rRqjg*E|7a{%i3pBfCT^`S7T#^3yLUxSH^L@||^CP;jI z*A2{*6Jbu(**uFv5++6Qc7xQxjBu8T+N^u5k*nN!Qta5_B*&BIjwEp^2FsQ>9Wyhc zjy{+EaL>uI1GpLV@oEEFj@pJwr7I_rUZ@T*4e`Z@XIcY-oC;j}90F3iKwGNeC$DL-JEk%bI}2{wRv#Sc-?Z z18LWM5$qJOGN!fb@!fbS=O#A3-Phk5DFl$xV0_$nO^hYEZJdayjZU0-0{(HRG4SKd z6W<_yITS|d)~3t}4sr+zgMqb(r~y)38ahE{{XL^IoB7#^$T9Ysb{eJj;@S;sSn6>#oNVp*m(^l<``*0d@s+soB z3fvXD^1M795~}+8e;#>05uF^slu*@UVk#W}0QBRG?;uea1~hXDDaO3uvnfMwM}6?X zYP(?LVB7J`pixGqgFe4q^DQw5F+&^hc1I`tL&UX$XGs6KYWWh8XS7y*6Nac@M6(~ zybQbZ!4nKq{{W5!gpPxI{&m1rXrwA;$NS@sgNe-+x95tEKwdZ7N9Ttjns_5O$KNJ+ zs9Oi*@PaC4+z+Pz0EaMvGa_K$;&M!+3)+5;Cnhjx$`8B33RVj4gZjLvOxYM_eEITm z-o`VVpS~>odF1LQ!?tDC{{Xid0xoVKC3XJ1(1RgNC*wDTS_p+*1H+iF@xdZ2!jDUg z?Y=&hg#Zl3X-Y5PX7nDYbT_hCew+Y57 z67C5h)4U0GJOI`#{jf361>YW*0wzU^Qg8nNcoB|4Ojq`xF4D7}^7FoJnG20n=S`A{S|3zJNYMnZr+k{t}SHk43M+JjRX0miwSFJU__qZ{p0?C2O`F|fPj}$>hvTkR5 z7jy-|g7siH$K#2oWk(6_5*l@xKsYrM4J;{22jhe+J>4dt8Y}EOq*yhPKx7KG{fQHf zSPtA#p^zD&GP9PUF3co4i&+K@2;Yo|0&ukiW}{0ukv#@ZBOoSaX#}h|mDqto)eiOf zamN)Zp(QHMz7i?pfRTWp$n#Lr?)jV{+!yRr0T-@eCP9QrP+7a-JsiXq%Oxx}`M-|v zagh?ZEy>om)-%Ek$7p6Ht|bqQa!v}c+@L+@3vK?G?1om(=1M32c*{Pw#1@2P;LQxN zE^u7$F$sa%+x*~^)mf(1`lX zJ@XWm$%*fINRuOwp|-Wq6){|wr&&}eCJ{YB;S8yQRS+630YX}3m$Y*zIWR8{n6MZC znh_b9&dX5>1vW4q-yD%c0J;AFc;k8o@^ZxyeZBJ_RBnCY;t(uiXS4qDSQdd@c=qsy zrnvNEI^ky1FX85Dv3tIK5kI$`a&^OoItgS@qF>(0KX(e9d%Sc+x+t+a3u#pHz&K@eeu+k zU=wEG*Y)PmYDF$jbHMQeB@wwhhoJl%qKHyP0_p?5=gER4Aj2~m&JE$rMjVV>+2B7N z&IzkP3mCsXpS@#kcmW3kJlo)+vPLs|`0)O?1u|?gfS?Li`!#u(j(KRQX`_lulQ_sE z<$(;W{@;#5z(SESebjv0P-0Lef$zlLtOlamtYc+IcllnIaemHjv)OdA435qrDDAOgn`32{{KEn>oaACLtD zE@ELdbDk?JB@)@7u$f)r-X3KM^pwIV*)B@skW$G5V(4*!{A1OLwRb@EBi~$DIK|Ys zreY%Bo{sn$m?Kb+V5ST}ei>L+VlHHa1mlb^Dl8Q~bf1r|QTvWMC%h`3e)!E4`5zK? z_}2Hf(N79>nLlgghYo5%TaSm$d%|#1D;Rz7h>?a*vcne*2Vrm&(@7G@vWUosvyjzA zFw;VW#Ckg5VxmeQ8y*b@a?BYGrUL_N>GbRGlv08K3b**5_EfhVQ1(Vm~fp0_en)d69Bh6g{fel35;sti|I%Y+XY#F9O5NQAo z85kC1aUD-cdc=hXQU@Zo^SX)hQf~m-X7@PO;C4bF%+?I}&mI~;klG!4&&v2{RQYcS zDpUfLnns!N%-pc?0YS_3OM7@+X!cK+&gxrxfwwP zU=!Y4CQF9L2#P@;8!YYd-+WEMfEgS5-n;Ni#On3NvnQp(9hEG2i`^8Z1Ql2@fgVcC zlz1jWEp(zQn`?nGJSlVua9JFwsMq6@O1{FLaMS+lh{BB%1Rp6X{{ZWRZrH&TG4o*m z0M0sa%OcEaI}Xjcrf^z}mqY;c4j+Ozdi@`ynGOXXo+POQ01@emJmTTk3Ry=LlIR_< z>g5I&#BM3FmS zFD3+qCVk-j>45>b*CFyDfYudW$W2bwj%ckhf- z3Xutof1U7{r=T@)HAM~iM*7>p2aSUntLMHZRe)n=f8R1@UKZ6%y}+3#X~%qWEIUlk zPCeLi1QKPo!_T*cBLwiL+?Ic`avDGpSM`q(^U6E#%jNs^&vmjQBFXY!{oxvWA&-+m zOmTsrbvnsbeObJuzPIAt0t zgop|TXQx=!T$;THED|0X@AQN-bO9DWA8qrmu4m%m#Ymm(1^ziSpN3O^2uHh~M3z>3 zyE4t5yXI&}i_Bek4jdYWBAwUc>wpgg9#nZho^ErcLJ821gQ;@ya9Fk@gt1K6WWU2R z@ySS(O|a89ujd&nS|&m$)ZEO}!#Fx@7JRW65lz1MZKVYQ;arLH1@p$HRV2g|0MNtl z>x{)fU4!*+86X#qaIfLzMlsBUg_ps4@H}S8GSr-e2wnGuiMm7Dm{pDHi+rPaM7(kk zORPRM)_5F^x^oQ*W0U6x3v>fEqLGsEpGFAG$>7Yma?J))&lj-a)S@DhoYl^FiK5s* zm^FlJpaF**N~|$~^<9t8o)Qj1uWi3F{{Y@hFADMj1gTgB`a9wgY3{C>tO|Vk=4UiS z27(4^>wGLCNfZYk}g2Q zsvdC;FBq}R88;Q2dz#}j1A9&!@BQO}B5;);R+mRVju-Ai0T`;~2Y85E+m$F_ii#Co zH@)-CC!!`V??%nr{{Z3C6$Bwnh}sm5XKT?;xA!k&P|FC zicr+%-ljr40S4Se+&k3qncXI@%$l8_Pk+(HDmJEs$oIe;RHupoZX-i(UAGb!xl%Pt z7ks<7H--Tu2nn$gfEjP;oG=OzZMUO?>v`rx;L8}oj&Lw)geE!NK>?Oew#A4OjH^f+ z0LU^E8Eskt$^8X{SyOt{X5-4xl!l__Wgyk**Avy9Sy6Vg<@drWKnk4!E_aZ0arpJX z5Fr^Vvq20GetA(fVPipQj;1*@YS;(?fOgLI)aAe$0=_=ZI|vZ@Cj9;P!*E7Q$bU*= zMoe|Mj?`na%|845)vju>Fgtv|E?y6I&O{j~h24z|20}953$@$+9YQV~(^tuVuWEmsX{{Vgjq&l;rX3syT*BPX2R~cqZ>jxwwB*sLYPjAD;Jg63}Dc_I7 zzZ`cP)&V47`S46KpqpF&0C+4~`#pr3zq33aLe(^?mM={8_AS*J5e@#_SfnV7mz9%S zcg*xOq{^#C^EHRm=980qX1~k&=2yoIuTLI5zr-e^=f@3_s9~QuF^02~)H`5$yyKTY zra5nwB`5?wI2VEAXvUq+0Kr<0S`NNAP9Zk zSI9HtJeaj(H6Q~gY#1DPs)S@FV!6ud%NHDDg(V3IE)DxkBae`%yu=4k~5FByvv&yHD#3Vz-uDAJ#SeYtU%LK!iEF>lGN zy7EE5bTHP?cbUO!Fvc8AA2*ETCo#Z$c>e&eFQZ)nx;6G!t7GUVpfah0|hY?x)#wyal<0*oW-C}G%!Rp z7^k} z(dguhONI(-z1bAjfWRFdb z5u;vTjhFR=mMEA4t zPhacLt+>!C#c$0153&kr*i?$IQOHl^a7#$ON zfK1h7U}P_F4CZAp?FWOE1Qr#Hh%h*DHFc0SD{vz3~_`thh72jdjJCNT!kl@iBi- z(sPn9(m8E7@bR7s6%dVmC-M5=hK&S`rBDP%=g$m5g=iW=@0gg+kcVX>j79jV2RS`VMvGbgR)Y(+}zVGpRePX z{2T~viC`+G8wSA>tP)#L6~j6MSj4%w`2TVxmiWd-!G` zkk?yHu{tl$T#t_6lmQ1x@AmN6D~1&4Ns5>iu&0j+ z;cnSR33l(2!x0qj)F;r8IE;#LhJ2`Cvw$v1Np{Ntf+`E4*PBz1BFdxyPo)BS+g5iu zs-#sFT?0IXBD-(TMY#0TJKcrbV4iOq)q%vHqC%NqwFI(x1+cTt?RKny+ zINm?wm3{zr5PJ0O(Ssa!oV*OQwAxcQ9$rrCCOg=Hk+;K%gN&pl7J)JaW+{QZQEE8T zJ2@vv6Km!2`J)ton_kfkZJ^$L@0Tcg84F^}3=KTED3n(5tzGTLG-4^SHjq2wq{$L& zLFBm5_5sCi6Wd!_G^i3ss)Y^>C_@VHEK0Hsf-w&tjq3LxxD;RWlx2cl`hVvbBISP^ zY|kYt+xy^r!6?=j$KUhB6=-xYt1=z$hczNYyZpJ~hfX;TX!*QTsm5?0cgu+Qy5Z~n z`Qs7I;Fp)PT(Y&|>f`(2PDx#d4@Lk!{2bp*=FBHHG8BSf{{Yk= zBSlgKkNWxKz=1fye@`FZ3f2u*5Z3Q~@qz#WNwS`Hzcu1_gCUS#ct7TH!VB3Gmu$8? zepANu^J;KJK()FBElk-w{O~M8KFlvUW&=y zIarZY2V91j5q)*ae29+~e>wjE9N3;t6*InIT&R|&PM;h>iM(hKkyuJ4;R~!obhXRg zBm3leCq~3BI{x^&;8Xy>`j6iPhA{}`V%%vwJ~zcP3E_n#Ls-N$rLf{7QmPruI(}SG-eDmQL)$;hki;aLAXddRRR-cx?Vf%BL$*Bkk=iF+mM9~mfro< zWq06iYEW*IzW)GD7KM?jd%S0#j%JT7QY|Rhyv*xlg3PE?42a{s(>5Z_6d=@5V*db# zzBEA#B|#IU>`#tbfh$F{N2TNp?r~`)%!SKP#*szuiT(Qtt z@ht1rG!fY_R?IpaKr&HMz6}lS?}{P1i+>~6G+hn|G*#0W@U1b#D7-*R%b33oX84Lo z9!FKyJL~tp9SWcxk$IURqG244<4U<&vxnDPY#LH@7{`D^hXor7&UzS@*)}hCl`TOw z0vIJ_cIN|*Gqy-Af!aJSd~ZbX1jY}Q`0YQX>Or7a1Y+nj9qL|EXP_B4NOR&vp_c?L zl2Os5L2jhS3}YLl?Uk6HFT74*wX`9!fvU=64ZLvrC=dyTXMkkvo>MgEN=QTt3MybA z^&Zjn24PbYEFkA8Fe0G^C@Po}3xfdSR|rE=>x4Ci7c(P$gX`yl0oNHAE>H|vDEsS* z2VBqci4ljKrkTtI9+JYyd2e=gt`qm6 zcP#ulw>vw7W(bIkc%))uZaF*laDv8&HB1*9#f&s^LjXaz&k zg&Y)}&^8!#^~N#b-XK^EJBMe#z7QGIg?93xkNnO|d<2b`Z{PFpnHM1jMKu8Je%Fpw z^Dr5A+6S>>wiO7mqcE4so8K^%1QwRi*wym4zCY%e;;US1XjQ1?iGKAl5+a8L$Cjeh z-X#sf$Xp3wgA6Kg3JeM~&6-@Jfn?+;g=9s-Tx4{?2Ne4OeLKa&=aUFdJVAcc{yg); zf)E4~2}6T29h_M0NV1BU0Zyhj*B-D`$*lU{*L-hK{OZFEA1=8=(XHf4176&lV}D$yW3N)vSl(X-(h;68K_ZAR4Rz zcH}imtrFmi)CJv#0qV#!Ox4S~z(jC|+;N_V_oFKyvzuiAhm3p0Gr%+50cc(K{{V-P zZla2EV9tYD_zx>Gq8n{x4IIP9lFi-sSO=9|E8gZ}_IhiDygS@`?renrQw zS-h|9#bQ8{JMVyw4Zb*0>B2}DyoD4MCiBO^GRTDJg`z&rI_Ol>bC*@((MBvSkMZ%v zWKt>HZ|{*a1?v_4@)#8Zth~+S;XzBdcH{g9=Z3ex91ji(Xrxyww_dah&Hn(WLZCEn z`aEl@Si35(dE5BpAWXs80N<0dt|+OqT@AQi)$2S1&L$qgierZW#3EH!x3%Jk^l;CgluH>IpgZ~FY%#khQep1Hp19e? zOI1v{?tIDXl7Ip$a{gC?elyLXU(=fzCsA+%A1=5@Aeku+qGF6W^Px%tg?0yc@AG%p zX9)%x5wlbn2&QY1dNs)n(37lhEKU5x5Wc4v3>s8*p^>>b!F;IS6saD_Eae z;^)m;klo+|^~-C83_x4J9zRB&+JF=?B`>cce|#wm*So_HYU zP>E12h+B2@%a9x@Xl%SH7byS2;v@hP6p2XvfFAoA`QJK~Uc77+>y zyXbB?-3?8`4C)E$Pf$9AN-_ts*9mbTyr!n|_TX$B!_U--9V}duQp!?=f&%8iAIFY5 zgKYI24?rJXam>V2!%D_X%a7W1NjuUKk; zaTrc{X^I4r&gi*o2*HuJBBC2v@wvt$lJC%oLJL@YjxFO&hymM9!$M`memkRxW(d*; zLBw&H6KF?J;mAiep;6)jrzwoBr3PJ~8m?qbb^<{FO>%~RMllX(5O#Z5&gVx7>J5M4 zhDQKvtefKziicScCUd&;K?zupkAx4EyxTl;3BWOeWk4~7r$bK_xNX6c3e?JH2Fq0{ zt{AF#ndqVKJhQ7XA+Qn8$-8rm8c`~CXO5;IFpk{ zY*0-gv0#o=psEUh5>;PY{y}iIn+yjXLF7?_U>fn!PTW($3K=?l>&K1; z$}|>}vH1G@`t`xG2e|~sM;IyQ$6LRK$Gsyx& zaFtlO%=*u}#lG5{v)t1UbG+k#UIYhtclN{ZaOumeOK-j7!LIt-yu-z?ED?YQ0M7c( zCbaOSx*eJjxl~|IX<*4-Lk@?G<#WDjr&K|lA@e?OeD0+v=Rx>ZdcF~Wv>00Go%y-* zo)smPg#jC#z4*P|@h0;+2M7a7ubU=#$Sl&T7EsNOW6l>VjiS62;~l1R!63r~HpqHk zZyqE_HyYzC6@fN}^c>5^`6wIGt#cg;mI zXUhkM`7l6*(^}6cmP~2K1nvY!UGIlF3Mu~4-!MhmwZk9IxKPIx8>5@_a7knXw%_^V z+}L#RPvy)d(C4W?o5BGrVd)o&%zu1uZ&q;DIU?{E&E_;X0%;zOlUzF&nF6pzLI>9Y zy5(31SXr!f{c({*F?c3&h5@bXt)M>9?-#Bz zkzWR?I4&oE(tyz34PT$f*DPU#9ON9~hu77@xU7( zH%d(wAlJ_lElQM7N*GK-0ks1G!a+$2h=}1dYGvEiFk^AC7x)KW_-W%jK~8mIH-HuI zqlTDG0|BV?XJg`V!Q z0GoIP0;902ZcawL>jUED9@zsa^`7Oh7vx|uQndxPT2dhv1X zR|TRAJ4%Yn+_}E_Ppl+~XkC`K^TuhgNf_E7bdITavzh_3 zava8nf3vPj5s)KcJNiNpAYxM?ofY7jh-v}~)d4ezd}eH`A^_(D1WGWhybfdz5hemC z8Z&m2jO-2sM8aK$7p;YO0ae(Hi~j%zxb|KVlL6nE@anr2SSEFZ%0JIe>`NHZ5XekS zuKM06+YXwQL8EGxCSn4;Llg}7#RO6RQmT&A~kiVP2_-j~CkN{4S8 z40`jZM5>?xbB-sN^x&M~@H=MviGJD>FH=nV_7iAs|N7OIXp2 zMZ_FxN&qn82naaFUr@E&$rY%mc9@PIXr5pMg7?E_jK0oRq8ZjxD(}?#vx;Ed$#DDX zYwL_!jQFUz-?l$#=SE3bf)SP?TuOv{ac;iWxX0yq!1zc*3{2N+8S-$;58m2hiNA_2oz=d{`>!)P=`uNige z<>8`<2g*hM{{Vcj;X{o;gR@5%e_tlXS(t-Jl)?IXWUV&IZodJ_;t)WRDlH!H(-|?wZI6%&73_ch)=&FC_# zkjzsWG3(bUWNt*8ANkEfgKf-g{2T&l)EIN=zwfAp!!Seead~Q$zsP-@y2z%mUr+LT z;;Br@%hk=|7{ZD9;L7WfGm9Jc@K%R@`K}1!fXBgJP{_=67nyufASS8rZ|{T@f^-MJ z4t?EmMZ}3}gu3%ze;lZX5t8NQTm+Ko&)nl?ISL4;r=y>I5T^cwAKwk+#!zkwvd^RW zyabb2ObPwJT+R-lCR26te)t_+T86YU98V)h0W8l*1(NV#q7d;NQ%ea8#hCFsc$1#qp7K!68MEBH2q!A1*`3 z6|oYBmYDXv{{URU8C-!#3%j_HhgXpj=pb;&pBp^8cS@a^MYFBzhH>W{$o=p+R2ViB zNbqVuixh#4Y~xQ{*x@S68@$ziIE(=_>`*cD`tOw`1xOwBkLQt4XIX?3_s1Q~iz)(W zNYUeZ3Co?Gm73jUhs%R}#rWe<0yx=+k+EXuB+nFKk?L5bjEb%{bfWl{HUrw$2J3Tk z#i_u`WE(YB(>yKW)O#NDwfM$`ff`z8_1Zt5JWv*h#i4#D^Wf3eLkc1B3Om*Vk(l|g z6Eu%RxW2;%Q7{T?wtf5M0|<9OCSYN&o+Qq8Y&yh(2L+KR;6`xfD4Vmnf>B;_+bL*{ z24fKN%rssbrbyyX7^>z2;ggpMv{8z;m&7tIQ;m(a1XVA)d;XqPz6kVJ`m_4t(cU>w z%}59*E@n|3m0RROAz<7J%2GU=M;O0VELFbu7S7B!Xfw&B~g@Fjc zp^-RSD+CoP%I~8$zCHF?3d?)jhdxe(Fd&kwnMvPH_?3#?g!c9A`1Qt(EK;U0ThZy+ zzGjdnqe-m9%-DE_Mu`lUMl8lGqfupFVu!NEWyDKauGuUr1dfF~mN}q!!Y&L40Lz1} zf@3@&;ecXRQj{uIo8oLL+XhVmG0{@S7EcBYmkc4xRH$OZgCz|JK@tSqYpd^rHX%i( zOox}o@9T-X)5w8C9TaXBi`Oa`Ya*JV!gTWb;Lt!|1i&qrT)8=Rz_b>{@@xI2mr3xI zjmnz$lNX7NX1EO>WO$9y!eB&TjLD)WpX1X-N>ri9_dra~Mj5<3a@VgkYXlkya4Q6H zrBE&~3Nm*9QUwQ@J49w!w|Ksl*9;JHdVrY3aWhs8>cv2ok;Liv$@jxRq(f5#&atoK zd}2zkNLYU*^W8DSG^8Lw9XRZD>%1l%okYNKU*VTZA+xYujLePy09*mVV$iE}Wwe8Y z;S)k-085KRPe9RZ-C$ZJna4HxUu8cZ9jmctVG)&Apr*d;!5HLvGef}MB{+w;w z)={c(R=5Fokqd_A62bs>ZA3#wT-uR+MiuG7>RmjeFc2`2d;^CUzRQ(ZSYg08JM)bD zjM|u^SQj|)(V((__kOsFw!xhT`Srk76u#_R$_C02A}iOPLM=R&>VG^z(nc{XMbQG~J~&0nm3t}PB3=MNNz#>njuJcCI8|zWaTh@XD^_FPXWtM+iJ?q&>BOy% z#e(tvoKeSIAw!bS1OEV~r!UkPj$+}vGwaWe0w|=N0?gjuz7A6AR?j1)^9gYfRS~&A z9x>!k3cbDdH@+ZIvJ4rC*O~a}o=? zH9U}GKb~9~&Nvm?Xjc$fbZ#mH4ubyxxkf*0%7nPXVve4%)7Ee z1Nh>N_sntj;v^YB+%X(Kd_y28aUBG%R~kQO1qurSjrTbJ0K8)beG=qnr26HkN+YCy zi}>O?O%^67uZ|yE0Z1{}>3#Rnx9Ge}FRQBajT^X-#}Tz?3>|_-`_3E#Qz8&I1!<#C zp&YE?C{b{6ik~_?ajJ>%hcg6x+6RH_JXSL6w7F0}z<-91EbdaAD&Ht}_86LMk*lOVsMTxPJN8)j_t{ zn+6^@u87G>n8Z!AtUwYXO3KL6L|a9bmEz?FMyMAOWYQpbCh^<7jJ+YCjuFBM>KTX+ z25HxSlVkw)T>1EM$0OtV;o^aW0V~od{GVJGCAHnI0P>AKQ<&3=Q)apCug>?yRY|}G zF=Lwiws9zdE@US+gbYQ&iM}yp9;A&=Mj=Y-i^Z`69qdsi$LB40a}^Dumi>lzFLQui ziGH|852B1m8Y8WU4*$=KIS&q;KOR>i#4IW@31fj&-WzxVua=%l>-Wu zAjx|kB?nv$aIb*;Bf`DClI&MtP&Ub1!K9IhA<6=wN->JLh0-v$HDm#}IZ%%TXsm%J zK!6MKoL$8O;H0UZ>z~oCz#2+YV*C7CjpVbplY$hy379>H{_qJ?fJstd&~ef8!Ul#W zrO<{(SB`R0q;QFl_IUd22dqXqh?&vm6XTW%)isQC8&X2}=?PBcZc<6){ca9m(yEG1 zm-^A-^~@K?A>#!tn#M+ED4ZY;X-`6oxor)Kxf={1R&W&E=pE-=MFr3zEjJtnwH^pb z(m-giv;6U%S%PttX>p&q-uM6tUXo|@f7|1oTEqy2himsOIN3VI4H_!UgW6_IETmi< zkLLC9#>*2NIG3^+W?W|mdmyJV$r4e+RNyAQF&r!LBJh#G?$p65N|L4`)dt52vMiM8 zIs=d^M}akZ7U`{3+-Jf?-4L%Rm@v$Ja+144OuqZi?}x4m-ElLRYItJ*0Cb{5&WoUH zd|HDVH7A@{aj#s182p-%gET(*lJq}C7X3HD=|s!8yLEs=Qm#k<#d4!`{{YeR-!lw^ zxWRhDzilOK&l?X66GA<^@tDh!mnvAorCbic6v&H*gQW4L3$(Vd`f#ABKtu!Aa{xMkl7gm7BRu!>lGX7Wz*r9EOBcbZZ&g@eR=o5_+A`P45cH=@zO4^^;}R;R zqh2yk)x3taC?1U2y}?d;9)}!y& z47rAFNpb$XVL;t5IEJg|&mqz>fPvXbz0z?(p@SPX3l`)D#@-`c&LO2{jz&exstB04 zJn;yIXnTcozZ^qpG(=*G8_)p?R)CC1aj+eqH8(hm2O7|XV?-@A?}hP%1l;oSf45-R zWGK;Uos0hfIYNOF{2#-^%so1;LU$Hj{{T;H2+$f}gzT*vxWu3u+2Gp2mVV`F3Y+!zQ2Ra><9*m3ck{EgdnNbMak$5u6 zZpwv=@Z_u#lDYc${P(_3^OauB+kNLA-UYoLIwm^k`6$PkpzAQ~Q!n?%Y7GqW6G>0l zpM2l($zlM3LrCQXB*HB0@T`in8R+^Njd9ak&}>O2s1y^4paH^$VBgeWN?D?{{Z9tILOE$$Hs=X?;+x@q)i2OB4Z411|UV!vkG-6=zINM zH8GUTO`U_QYaGgExY`xjNnjM%2OdI}4w)Ur9JI`ngAx|dqy`YUIneS%#He6Vg%n`f zgeHeIJRJ~5Ak;~f!x*g?63XLeD~ZSl17J0^{mx(x&S(>egWX;x$Y(t=hk#1(v_dKM z#*lGj1a>1szkt^wh0GKY(|WHJa4adF$GwUBcC#r$A}g~Bv$;&>Y~vacVGL(A-zz%= zIo1%3Pj#I&|q8b@9xoznOg2x>R+34t}oVBx#6`h1%E|RrV-!e>qD@xN8jypO> zko0%~bBtk`ef#Z+GS<(BdG(F^<3e1Ek-S;n{{SC6G7w-0wftyx+2S zbl5m}ERc#wbAW04*pT)}LM=uDCcBBgcQUVEGljA&e}|7ImNT#``r^4FYF+S% zUHRe$(8^N-n(zvP3KLxpCDj~d`B(lpqLfJ65kKpM0cl>>C+_hf6(I7X`{sI!5iSuS z>&r|6vu}AHB7V6ipyHwhAQwLs(O1VCt0M@6MiRrL^75jBvU9BOfiQ|xkg1<-fAQl^ zasgA}jiH>v+JywYt91Qw6q`_K>^SxHc~!Jb)z5hM{{XyLjOc-EmTw!@5d^hCgyizL^JU)2BMu;j50oL1;<9%XS5pO?FjwSF1BbEKHEXheQ zx*vb1I`beIXIK7ycqkw>=>@Cc)qY%JrYIz?Z>t}8#plbzgVtPyr3p%s8I{g25#^Ae zS3QtoYM}E?!!!`=K+-L>EOX2NfoKk)9XJgx96$s0&91P5ic%yB=`2nB!;Oy&($XjZxmp_sGGcM$D3~p+hmab2bvRa` z5l4VkakcLTX~K{ONFV^yM|(^hquHjUy}H%pTDT#u@^mdM0pj<*M$x%@Iu>BfahCB- zS;?k}QE}rVM=T2FMNCBwEX69+Qt{4+z!-rtNPm8wGMG0Iuqp%C(gCDqBHa*Raqc-T zj5ENaMO6o5E?5jX-tFSTveJDGN8zJzNaq zTc_{(=G)_op-$3NGcN~XRiGtPpvvH>X&qXFhJ2eNN8I~98#jqlBbYK4uwxhu=QR8P ziUb3xhxqr}N$QH$3|G;==m1PppSj<6*XNZ~6HrpNEbMvMcZBe$=9CSItGm&u!4-A2 ztW95j9R+0;^+6blOus=QAt0(UI0iry2_G4+9KjF+1Wr^lY~qWbScEufSR+-0uOV_Y z3RMVB2nDLA2t*)JHbBQQSB2V2T?-bSF38?wOOU=HKTj05d`*i5bi0_yioMP;up&=~ zWa>L}>EQKIf;v^nd_~d!Km7NNw*xL zjjE@zt3d0cSfB_)O;ZW3t@UvTW7`NNScmW*U2#%%>K6`QKfeCO2}H)^C=%hi_)i!B zG9nKk_WsH|OM;`7a44CptBzBfC13K$b8dp`4VWag>P=yKI;a%#Q2bodqn!8q;8L>iTQj0Vs0DI0>z1QL?R2a#&fN28kkVK~&S&uB zQHe+h0ncrW_VA{}z)%hn=M?_{=N3{0i-x_YZE%{aHbKdOFQ1KY7`s3s$1rk!{{W6K zid3{d)8x0~K58wet7pSn;9A9PppHgkKRDHTl~E#YRuBvr&C$p-hiE}7@FDom9s~t0 znXGvQ*MqJSC`%%UXS)oTSKkR$XMfcm^s_b~N*;J=xwr4d! zZ_sl96mn`|I}UuYo=80uEdrp?e7dHT(-=D@Mu1#GgLqp;>62_65zu1yo+!~m`4`WQ zgVzfPb#siCJQMu{_^m_WKkwfZVj5JiuC~7}nDO8cR;H5~OL;TV!^=8|B=b=iZ@XG7 z#fK9k1w(fHUCunTWr3jyj5@$UVByhu7h6=i)6LHKfw58`0w_#S#K%xf_DHd9qy!Mb zFf1|_`eiE~sBR~wNY#y%DMXAX?Tv4hdM!L$ciws6?}o4jl)+2e9A5r;QCqyBEhk4? z;&B0TE|1FirpjF4cV}xKe_VvlC}670d-vzd!yE;WIGl~qyR03AT{{Ib3#JzR`>zDU zuv}1PbAlgS=uPtY9;3vO0x$@ZI}D(p+=IX#S|wA$S^#tu5xx`RVk?Bbt?L+^fQw*( zYitpC&>18OFh2v9qI-}N~9(oh8o6EFM3%m%25oIZX2_s1|)7?QJ(h3dEn43&Mv zs2u0o>~^z&X)&cO%#Tm}@qy$(%qlWqUOsYi$~G-WFdRCCE~=#hYAQq_X_4Tuqz2XU zFrq-DLOT?T0h-_g23-&e;f=yyB7{aFTH~ClO2sx#SakHv-|^*yGr2#96Ru~)EXWe9ylgay{{TYv26-BU}Q&6;cRdSp6eJ zdFkdO6O!qr2&DrJP{`c(Ib~}J5sN9`M9<;B5UeYf%puovf#;gXa7bfSg06FT4y8jd zp$uBVYh#s#hU@_XddNG?G)^Vr3hHHQASsaVW;igGS{4{u@bveQ$04UdpP%{6QS1Kr zm}M1tS^fUGfGtZ+XEP_C{Ns{+f{XtES^aU6XpzTaoqw~!Xkrv8OuX?*VDu&B43|6` zT_X6;3=9)4x_R~R7&tSBH(l_8$kJfKr}M<7LT3SK@L!%F&(6tzBF6w3J{C_H_TreV zN7YaF%z&f-r8a)wTuRIo#umR9v-;o{5j4{B#oZ6X#9`xnGFc=5t}gUXZR6-#d_n;T@IVh6 z9GnYY`0hfM+n{7!Flz+XL>Yxf>N&xjuYcQtoP{u~{IB%l9+fN3&zs2V6baIX<2U1g zM{F%LBbVdrTjlUARR#^Ow*LUWV!&fTnEo#a2-WbP^xy!|6$BD&$-cA30XnE)A{b}m z#yA+4MDx^~nG4tHx9xPfONlRT zjCnw?p`lk&=7@0V&zvKOQlnK!V(i9dIZn);0_@c77|w8TIZM(4Dv={FK&S<(6Nm|N zXkYh*6+W**^+JrP1~GO;Ijl4xv^GvgEKCuA2uv#i;z3cR{{W3bghk4U^5Jhe@y9{^ za2eTHEL#-s+ovlFG%$k(Og+tTLjqu69G)QldgYdKCI+mV1^DZN(Q$GlkeM*u^T@g} zLS_-8iaohD#S|`;v&#_sdhr0GKwQ7B0st8lhl{WFwaj|hy#c7*@d!aEAPfz}r0tFr zGD2*EjvEXoV8!APN(NR&bBdDUkoV@l$r|RuODu{ zIgCabGBauD-`TzkX0{On8saio7yvml*OrQ4KwcMbz6m;kL5|R63tHl_S0Vt>jIg1W zfPh-Oqn z)N&I!AE0%}S_BLjU8jH8Xyd~GA_oTwnZx(ROCk|cHB%qAyTQyYWUZ}|!T$hGlqm#V zRLuAP0G>#xYf~5@S@!(rgH@!Xhn1GwJN0Nak~0B}Bm(D&hgskW6=OEv3)k1u?c7$9-egH$mf@&^+X6{UbC z@PF8KoJ)YPzz}zxgbqE)4h?2CNIoU51vqt>m|=1oWkFUo6)+SK;@O0nT^$&Mb|Ew| z>DAdOql{ioC>aAu{*MXGh?S+5j*fLmkVUgGpBpGLE?ss3SbA9 z`?Tg@e$Ww@==JCIpdL@5{{UYu z7;w$v<%CQeBp2>Mcm|VAD>)n|ram&|8ne}CRHjtAW-d6n5)&GmgOa!1>mx90w#CdG z;n(XI4m67h{;Y^Ti5Df$9hAJdvh?OT2ZiQj9Q^aNjeLbpVR^_Ii0{ zfQ0M`kAs*NBeiw(==^Zr3D!A@f-~oqdP6TQ7Y<%vGTcAU1B#mEYvyw!n-|A~+(9I= z8+t+b=V^09GU-nV`Q_(GrgpOvcaU@|lx;9@38f?xet%r;gf}N*{{TPv%!&X^SK0IX z=1*ni+CmDUGLf?~J)8haib99yKW@)l4-z;?0|RGP-^+p^W>5lv3`Q-#F-a5X$aHJG4P!hFVr^lSU z8C6SQC@C3lqrE~J#)H#@rZ8F5haBM$lA^$EY2_wA4B|ATL1;`*sa`7zF{rZWxXLF| zb;=xU&mrr0m7xpOCE`qijm=~<7bjcd3JI23f~sTSH2R?@D7I{>+< zlNrJUXdugQ;$E?weP*o_bG-gIA_a(T+R^^~@RLfWivAk?=ZSeB zp&^JweIGw&2#u7I(df?@($N`_XV!)-(Y zMMr9DJsX)gp^&N%aK=!+iY?^(XeP3qkf%@(pp^l#6k4>Q9Ti?R+d(v~XFx&t>$Y(S zRfm?k!w~tEbl)7N6go(2aE+gzz|44M375A@$ z91tR~!y)!PW>)h+2%tdB37)n!#rGA)iX*1f4_>bx#3lhC9WRad;Lswd9fEska0-Sn z%7GgNu-J1vg8m8HCumRG!BP{djuuLLMYf~Bs@sbenQ{{S35&SHx*Rd)8*o<3BrH@v{blGGfrC}P>QEgOy%kFa^b9% zU162U<60hC5>l*zCHEdt$reTn9l1B*;AudR6rClGcjECzD7ESvp7uU&S$M@U!e9pm z!msuR9r4663UH=@!E;*FU8J;xftYYsqEehf8)gTOC4c%)T-pP@5P}5Pkn5sy*#*R- z9%Rq_b;cmTV(k#^xIF&=Kmt))E!&R!$9^-x!WSXE3N1mU-{1{jpj`rqfiT#(MdD)> zj0CpqsQcjnEER&pmvBAeaOPa%r7=v+S0+L(6S21>EOR9unl(5?0};dwKw^&a24qs; z;Y3v|8B#F!aFhAkvnlL*xh6oVWzq3ImW?g|j!taU7NGA*Ti?u4|a%n0$qV1cJ8#HR)Uq zDtp{4GN4;x;1Q7Rm_RKE*BuKkRXpDT1njMw3klvS5=>Euy9g)q$P;S0`2PToW}pJh z!j;#H{{WldhyWBB+vXk)2!|-gd&V2r>z4-rttu*hCj?{%BYDmZZ|UOUMZOLajquJI zqDHxlJX4ANIoo-2j=B4MdU=-72KiB}g$x1Papcrc9VJz(yT=28PSG!3FD2U$MuBMG zhk(CA{{W8hc*lakz}w5=`Q@4nksJK9aOF5jr3lgX{{YstzFSrbn4pcl@Dqq;LU9FD z%x7Hd^~l2*hui28KZhw50c|M12YAOc zAXs^Hvvaen$4twx-GBFosAUkt5Mv!w-l)EZrk@cTeDaK?scD$YWy>-gkWfQ)--^N;}tjPbR$`vCyi zC2-?_seh>ybT7fBvot$jAJ_!I^^YRKg#y0 zI7o7g4x3CkGzI-J;1FFH`=8Dr$bdjcD+uK=Iu~Kks+7qU8YEj%3CAr;@ZgoegDp4@ zht}+2vOtQc=&ldB!y^Dh85KiBwB@=Pj!;p4uSa}a0-I&2=iqYBzF1KD!I~-?5B53O zu4UqDG`cW7@P_&cKxBsKeSL7Qk2YZ_o-X(#6$-(Vb-l^`x!@WB+6~0^AB4vV95QMa zS9=}5THwKH3xU0tx@LHmJm1UcfD9D0Cc_x_K59fl1p+Qll3Emy=NlRZi)CSkbcXt~ zfLnt^Bd1q8a)LO%Ba)le@9*c03=}RAlR00+*2$45it5c7FTdA#i&}ME?854g$6Y!k z*^nAY3|Ho=C`{1E{UhSixz`97DO5x{fRfKWyl}Z-k{qGfpw-#n=trjnWx)W^InMYR zNQ+Mq;R2xz19&)00B%keaXptkfj-f)9Sh_x(A8cH5R?$igY|&_0AOUfFig>E}UtoaSPTK~<67Van5kqy=Gws3#Bd5frze zBuK}=y%9CU)n6c>wkhyOU4Tc>LIG79&!6=;#zm4Hs?1A&k9brdK=;WtmTSiiOi(58 z@A)5`voYEG2H776%Zw|OAbD>%#W5jgvpr8fmFyejlV-R6XKbyruRK^%p6Zgp-IEp+~O&U1MFcW>} zkhWL&AM1*{?akf{CmGsTh5=Md@ZlM)Hgvq?uK>pyjFeW6gW~gMT1Akh!AK$~T960v z#_*N(EBYR90|YQ_l&6;@I|Ne*F#Z1k92rj>Ypdu`Iu{x`{J~%hoQJ1N-!9C*~1<1 z8jc^!W9{+GDWHdmA0D|y(Gnes9RpA8F7Gwy!zwE4;LZ^0;SgXS9yjE@@uY3&!rgNf z&ag)ghRCT*uHNvjJDqTl1cCAgXK222$^3IQf8XoE>;=pPLf^g(bR4znoOO5WookG! zqs~J&ufP<802u`9-taEX3can5&c1l*lAY$r{ipR@akru^*ccc{PKdV{aTZ4twwSiK z=et9ZgHYm6lvRWTQAqX>xeLH-_VUiE#UQQ*Tp;Ncb&J3L+;<>lBnBToIpUD@$1ua#a0saFYWI%D zY_sY_Nr7AOhB@Q`aN7g3>TmCeiEV%eR_;mrB7l!&lGS9RB}Swj!d3Nx#~xPZPvC-9qW&| zSd}5I{F)}W%DHR;(P^fK{?0x3Xi#ZgIX^Ya$RR{15Yi@#pBd4_DvM5r2t!s~Vol@< z8U)!FurHBCqO@fV6n8M&WV_^k{)8_|2-xx_985AaQ{3DLiYx|43ofDv+FODus0eoe zi&z2{Cs+#}VU8)ekR-W`fOwN*=|Q!n!JEqXBjqL@-nxP@%ykBOJL7}vfZ&XtKFudj zz6>KcSY1u)cax8HmT(QoSSQ5mG%22B;a^?O9j%a~fnK*RXKOjGVyrVfTCSbrA>c>> z0}w20$j<#{0S+PT<@g%1XL;|F#-piBlp6GBm!Y*OZWU8aP_=$ z?u3niG%0g4(cbmNxnpUQOl|c%@RX6N2$9U*AHFitbU(=RbYER?j#UN7GQVzA)1IBb zau}$7`^n_{%&V}~$qNYIeO>X@vy4V(&LhJ-)0uogNwg|v6e@TBk84cr1w2S0K7%<2+EyBB4ln)xrXp z81RjNc0mw=o{eJea&Q=iBBBcb8fq>HI2o|5N<0j$?>WxLD0ssT01X8!kfeDQ`fQ9=j)AIZ#ua3&QGo_nmxc++AqB{4}IZE>7&M=Kjbh%F53 z%9sm@m2-=TLt-puQ9th;P zsL7P=)Qwy9TYy}`7TFvm+1A{as5NC*>ub*5D z>l~=kjvpWT73C^86DAHJ{C^y^T%cSKDTSk%rvFm&$Bu2<)ulwIOV>|(ZK_s1Oc4t`m zMVo)3@XTxBZJ z%Aywb3|KCzQ6^O!!0R1yh9Qwf0>7)qoF$!iMl~$qT^A%}gdrTR(WCZJfTD)E9t{Ky zYVnKPQoE2>;iGBmBC4lYBAZD^Bj5hGz@Q*PWB$k2QgY`ggwSTm;k&<22w*ifU;>Wf zZnF!NylX(FBa z%LEtB8Qyy)&{Al?#(llx=V;i{+DMq^8vg*pMbzb#L;#7vDEy`Z5zOfv*C16)18O=V zDVW3YRb=@mS;jG+Hv<>&02#jnKv4};L>AfgKj$8@bg>aKSKohcNUAWKBy7&I`1-5Q zO>iiNF^v4TTHY$kVvJcJ_MUD5RNaaRnYdFFeSC2N=k-=VtCvF|@xp3--V~vsq7;`b z8Xp;%1Vv<-?3w6ejX}P0xyVu-l8iKDj85UhphIMi2DniHH3Y0`M@AD&aG3=Ow8}4e z&V6ru&b1IWSN{NbE3;fUE@%UJqxSqkop84R`p;^E&F3mDEB65?djJ#s|(1T$wq z{{ZGVcwtAAR~vNtd~$|o45VQi zH-ddv?=cqSp+J0==RCp;RMoF+IA`BW8WaO;u{8^9#?0X4I^7^>Rv3|AmR>eOi-Hew znJ_bx%yg5ECMhhhiN}a|4Exbe!s$}f&a&d6$WbaNno}+;>Nh_i7X<)_kh{!YxhTYj z1B)nnNsJibl!8m+_~Ibp?f(FL^C57;Z`btx7m%8WLDsKde_RaU4KTpZ;o%LC;XOa| zo&>DQe}(2|A1lJhQ^IeC)xdZ9=R*#?^1cn6*zbZzw_Z4*8J1h8h)AW7fR6khj(nO! zA|S#40LK?5I3FJ`PxRrH2w+VL&y$wb0*w=YSB?pQ7KuCU*!$(GXktt*K9iUkpy!N? zkL7?n4?5tYM?er&2Kn*=2@NZ{1zp+YZ~`ir##l_!{q@Ck0zfj3oj=aFQ*1yNytq1l zez3Kg906Eg!JYs`BX*>?g5CI;D3Vj5vReND9`i^pmqtGi=kcB#>CF`8$y+}dcOFa7 z7{yzZVVFBcw0WtNg`g4Cz)uu$AYOzq*%$(Z8TA849pPOwQ=KCNSkbH-tpX* zhfj`m{PDCzC`yJDNuF9WfWvEB4|krF2C^bLgCw>e&nQfpLjwW%{hVM*KY{-M@81T% zmT`+R^}j!k0| z;n(0Z@bDU0kWg7NC#nxL;jw8OqPVZH_ulzQ8X7G5gF9|=YG4IQA~aKwVlykn;|Aj| zkm#3Ia}oe4r_Tez2j-Y3a@xkK>y4 zP+PbSeLt>wwZv1N4TuI%ra#Mo`NJ<*=7v8L#Nu`^g8&ol_~NEkx>O@dR`+{gkcqGbo^gemPnXgngalnzg`2%z%8J*Vp0D9HmeTA0JVoDZ}b$L#iz;DT~D<^59M?v-z4-7wLOBz$*7xcGaO@mRzO1{fUY*Z95A#{1ZG1FjR+(t4vGT;WCEG2Fd^nQ(F2B|jqUHpdk zb@HFCVna9^(nm%yIf+^;(q^0My?4Tl5E+*YjRH+gYquxD8<1ZjY{R<`kd7b^EOr|v zaWcvhqKx!pAyuvrsW-QP`#jVtP&+-+MLXkSi9odaSNof;GM*h=SG?Qw$K!)|L`_`} z_4wjpT9i3e;%9ep&JG_yAPC`CIvaI{)WPcz{`i-{-Y){h6b?p}E(jGHjga~T!N_Ec zO}`=Gtk?obFg6gfWs0SH#G(Ws4YWh-5#{lQHjRMNWRSpb0&Z&$mJLQvN|<7z>HvI+ z#hjO6q#-?H$NvCz;Q8Uv9J?cwv& zk`EPND9YLAPy45ds8~QmYjsRX4;xXSLWZQo#!tSlC$b6L7gibpj<~?GfDTAPgF5wx z99+efP)H=6BdEk7U>kz0k_oWc9QcTU1EVr^fK6q9$BQv6Ye2dGC*YAuVzcnYvRwT6dU`lVG^6i=6A$Iz-#*=540Fkz_~PK5@h*`uVTF$Zj`J43S969h zQ3n~#Tw@Q<7_getVfXv-kzq&5{& zLjbU`sR1;?YT}+wS~7@x2$v()b2F*Hk%Ts5@~nW);4RHpNMS1hCOT$y_!nyCi&G-^ z6nMwi9DQ)i`()roWyDGgMDxJJIz~ze=1X3Ec@QSx1y8Uo{{YG!u=EO_?~?a@_PIDt zUjJ1lk>wOC#y_T;mUF1 z#1&zCR#2tPp13b%0_d77EFDxvcvT|upe#$=AN6=ZutC(2KurGt9=ILd{BnyRuT-bI z!5laR2+j$goVOVequmvtfiF;309S!5f;tM&gh+-n3~_lFp%Fk55lzCNJ5|If6Dw9z z7=AuDaPW~jSp5ez$6Pp|`+%zf?ejT)IpvmiE8u7P$!ogCG&(=@b*@`Tw#kl3yas%} zo)raP5Kx*X2-Y8^l!L;o$C?BZ-8@o=j1?5-;Z?WT=K}H!mu)ZK0*DAC!BophzdS>6 zr$^A=Wz`iZy0rrUMRzVG7zQ$O78Irq!wrWFV|+Y3S3hT!T(FMA9p*CS4P|TjdEKHF zj3IHfW`Bvk9k((h{!lva;Nh@gLZWkI9>!~;yxIp(CPmX|=ceZIbU|loA{P!npBZd1 z!2&TYR%OKCNDw6jjHE!tb^-_q;$MzH6svF*9YIPgB~}sz2A)Pl+(iaTG*yj(nzH$h zT%1^;BO`)u(DC(~P}oZM>#z4=d&+VleL zkJruO>t;R4EWN>c*SpIl&;_OW@)`NK$0xpxkTqDiduFBE!iHA@I%YD{7UwM-&atu* z5l)~_uY3Vxaw3h{W7?Ft=sAZB1OOt%gs@teoV(}>e4WwU0u_Yf+JeJHBF1OgoOOA4 z6hsXr9WDY7pfKTkEVvQu6X9T66qHg|NA%^~VaANK-q$fcxtyjT6rDWyezC(lI=CQb z3u*}QPj8C=1}8*)ThBbFX%CCz{{XEji7@8DYiGaw@JnTtVt z)$igV(G~`vZMC15-#btX5e~1{$BqRm2^vi2ciH~{oVt~TghUI72lx@{{D`DKAC^z1 za!A}jQiz4^kGF>G&W#MX!Xn+{6d5a|UTZN1%bSD>gv!VYW|hDdbCU|V6$vqh4h$Tq zxK^WXq{<0Bw1U?geDFztploO`J_iuprf<{&O3GKRA!# zeeh8L!T}1p^*%MsV!;MP5iYZSxEQWl$YsC}jdLksCQe^gz49W+l+@$Z;Bw5r{{Rfl z;@op2Nt1KSfrT2)AXBf03^*dKV14%a=59!az?;v4=26XESQIrp;x$#JDzlndUymVA(B)mnPU-1k=d*$vWl+ zRRTFL8?{4|QKBXj;F}}!=awuZN0u(Vf2RwIgA!JUg~9tic&NBjWPgFbT)-*^>@%~= zz)3CuK#B_0VCB>niiz5yA}f$uyaR!w&=JM|06cIm2%L(c*>WSL)F&D>wgF5e0Lnsq z-XNmpCuJXXf4uO{xU0S2=bJnb)XMaaUU^A7GT5PWSNVPNg~n|PB{FU~XA{mOo?D?>~k5nm)L7i%J{yBYe zq{sa8#FPqB6)RaTzX_L(sg?m;)(|vWWX1x7L*6eXCH2g-b{U}-AA*9L1@f`u6i5|{ER%2EYTUuNtD`LMh@?{ zMSY2T{+C;;^~8}0ajA$N*+^Y zQ%c5!QK%9Y(R34)Z~&eH;evd51|n4>blTu#o(|q4$O@x^j%xRQF~*o105f_&Yu^pX z&(JFMw|{Gl(G>AyFYN`swx5@k;#+^jh!N@smpgP{?q0>Np`E;4Z* z5jJ5L39tt@SjXQK$-LFFf4y)HWU6T3Cak1DE{2yLe44UAhQB%F>EnYUWQ^y=55MPz z#R$rPSrJxs`_}=1O3uLkzrTC}ibV<@9p$}i&NvlZYRn>vmJ z;f(p>wgX351Ggd_pvcw-IvvCnnBd9jlBAIV7Q!AI$8o*#snfy$c3={dI=2T66h;!8 z28aIu9dUaJM@M8YpFf#-m!ZBWzW#rFc?c8%wCRVVwVfka0CQM`0x|EQw3NNK`i> zqa@=IfQ4sPHgJ%X^v$+|I2Zv`(?R1sm?y49peJ1?_rlIxf|E}Qo`vi0f|7JjvBJ~P z$1=Zgm3B<+fBC+61s~t+Tc5<$ITHgIa{<|%U%p{T^io;Bm!Ccya2SB(Q%9+fL-WQ( zY6&&b4yWVmiGo|xhKQhGL)Z|Ak-#wUY?Kd+G}vXaOdUjqjUdT}lS9>*Zwm~lHsO*l zf#Wkp8<4dk9Y&<-_TUQ|`ms;!cmDu2!$bfWy{eC|w|UPQ&@E{=V%?|9Jv>Qz;pDqB zvHHgL@^GgyY-Y!{^gj3m1sNpTgH@jk*9PK*U_fj@=mt0=!uOI8#xw#hK~?4E2HJxl zM=Y2)aF&rT2|_Rovs#YTYJ&h&N}0zC`AX z76sof@AJbFfmm8+&Ym$r`gtm=0h1HV95{%}IEvAs>(3;t1c1PbZ&G3WPAv3bkk@?! z!0}Lwj?fwO>p!2!Y(P-XPMFkq6?ForZ>P;?Uz36+6f%c{qe=}bAHc!h-3<&9ejIotEvE5P7G>st#3SR1C``fW%$^?d*GwvfshPVtI28@PGs`%{v z_rZ`XH-GwH{NVzM6l7;!jm;To$aMruv8>mDrMOd-u?Vg@L6fgB;x7O-y%msX_+O4B znLR>uQsv}Oj03^qBTC@409Eo63=|wC9X0gV*AQ{12{3 zxT@4GS#y+UwtNC`OHn6P&J*E7z5v9nH)Jb}XN>2i6%`u{BiacLbJLqvT1*<$#L<&l z>y)0)WI>Wl+clpV;MVXtkT4lW4ZW>!sFO}JKw=G7Ma+!r6?6$h1i8mSdgWTk;tsZ2 zvy02UPK?DqUkV+B!I28WVm zR?gA=M+NLGp|hVJ_?85BVes4vh%gE(`u;Ksfq)B_s3J5m;ug*asn7wV_!|yR80r!U~!QAu()yeVn+PINHnvnC1a^7y^#;N~4^F z_3wuG6U8(HVo@I+xVHi7m=}6sSUdN|JsnLjqvZpqUpyo*;4mYdt_}xUg$Q&Mi#rEl z2jI>)2BYCeDyLMPcEQ+`UQ`?v5C(BfCON=J;hP&Ime7&8rii4h?rB#E3@>T91MR{A zhLT|@1TygJ5jZP4Ed>!Kj}KWl%}n)8BLz3)-~MuV7ilmR_sNbnYlM921v}Viy97jp6y^@K)qC{1ZGS zPFcSd2pHYSUFLVfZmz__BAgii0De)o!vJAt<6eWJMv0>cHesosFL~r^ODbxJCtYxE zLX3#)*>Lq`@}Z5D2pWw3PaAianFx#~7o>M@{n`*LBIC=z;%1wea5h{t6MUxf3D8Ag zqrlGv5XBIJgQ5?CyU=fhgsw4D1fv-fBd+R5f?&?<6T$xg;Bi2M6@!D{c+Rzqb1*WX zuq*F(_FQqMItn&Co=XO5ZNpmuH4`f!?9SVU%!D{W8MV;e{{ZI^FJ`P8YA|G^`6%W9 zZ{CYi6KSN=ctr!462%*l+8~f}0YHdn5)_W(BohFh004{!Ik1Y{zFr3tZ55#3VrCh8 zw~|YP=J)I8fHap6_um}!PE``TAWa~h7+>!}&E`ea${vZFaA^X%DYQ^~yjEA_{1DH{nzELiAD;9N8-aZpAFTB`oU6jaH&@Z~;NmGzVS2bb`ElA@A%(c98?XLxvxUm=aRe$7 zf(1Hc$XXCq6ieTON?m(0faaSO6XV&Z#qsq@xgq*la=`@ zD+0JaIdi&JfmdKx`Q}(gASV||lgD3NNgT7bf$gSx{BZ;TmaHfCxJ_o<%zU%0xZ(jq zrQ8U)YX)N82^NAb2*Xg2B$`??g1HkLl0w9+gSAws zXK}wgl^m)N3Pssm4m#|X78bb9p5jmE7Y3~A!G-?-KDi`gqnVZcP6U+8KqjIe4+{O? z0oQ_BmI4_tgmB}y5Q;&_E@MADj)f4uCDPX?$!|I+)C8?qnrs&mL3IX5@Q0KbvfZ*( z%TTd|%-|5?$*>+e%E%iUa4Ga(D~6s0FhZ=V0TuG|!tMZ&ggvKNd((x=2{Z^8nd{q& zP4JJ7E1Cd@s824QGHq9otx53P-z(6)h{C`I`>wF z3EyQ}&M$(1Aq9+NL+!1(;zSBKO)fvl%3Ud6ut@0syzx}b+TloMUB;fW;3t?ULBO^+ z*csUGe9bNblIQwH{5nCJ|9_Vb}<7 zL_8tF2oVjh9&_O$yAcwsp~s0dQ1t{VCo)%KNnpq7MCDn|WU!82MKm(BCF>tNV_G%L zf!NusyjW*1$J#X$}yI{(=>~wJc3q`fz5dGv~|}UM2c5MtcP#D^0nr!1?E)>3R(ai zt|4^|K{JphFlv;eoLiB^wmlT1bW5I34>gAsOnc;##kt#_RzRQ<4yWJ#@{W?@P>eIZ zdiuAE;tbdrxR@q7>D1z7!x)7!nbtjI?P+LGO++$@_|GFGW+Ta@A>Y;Y^zCt_ACgYen7!6x*LGbB7EUy0(gu2)#K_DvoTT zfPfKMq+H^OOy=23jg@IMcxQ!;W8m5SuOK`*opcpAPKL_3oBsfO!N8DXVnr7Ax9yIln^qZh>-^Afwm)6%ahl>Tf#6d@bSR1T$s=U`Z(bO68RARI7n&?1@5Lj zeKqd^weZ*dtN#Ew*zbV?n<;3yhqarI4NMSg1Ch{TNxor_5|bcS{5J7}r7%^pTwTq) zaR#PfGBeW**8c#BN(k)W6w~Uzi|3jojEGdx_WXM0a;#K6GpXbJ@xdV6S^-y-&+*0& z1L%GF;-w3-xaKUs{P4edT4D&U6<;79QCUEdha~cUrg%a?U@k(Lj;h~2b;q(1VNNZ| zA)eefh?t#;E@k|^eL@`c;{5BynxYgrqE61|e8p{oH5X;TpQufo29gGC3xKo-sfuM* zTBrp|%c2~O!l845@wkw#j_sP>&z=HC7CAsUB^ zb}(Ujq+22+fl9aJl<6eO04#+QU}6XKylrHq3hN!1W7n=ivnU-)FF@^ou3S~Nj+@?f z(Z!86?lSv({qY1{c$KjhFiPvFg251sf`h(A&siK=04@@Z0dWi9S>+&zkT3vTX9vwM zPZ~v$1~7v_&Q9E}K_x*#R!M4fa!m`&@XOglYY4J++6S?=1X2vtjF)+GD=Ps*1c6io zRf1pxcFiopD{asC*NZh&p&IQ>@0&h2nDMhF`2=w})>V~<#n7l>97{ca~D*+}iW{%(8X z3nv#;9i0z+8j{Sc2^T2}f}X(P$d;fSb4*$K#TDH`WlqSc)4@&nMI^PR;Z)3W?q>#q zbRrK2wkf{vMRWv*WEt&Gf6dh52rpf9Xuh4lWJTD31&fC?R_pCHSO{p!~(lpwM$^tB5-gp`f9a z%y5)WcbXc3CRpJx=F6@NKy^T}9R@g9M5y3E=0hQinE1v4^PU!?XiP;>MDX8^9);96 z?d5*`SA06WY;ekwUcVnaVyqdo68GwS`Ekl*FXR|(#lQaW1PK8FM9&YTzg*4InIO>S zN#XUJaa4kAr1vSNA5NfQ!4F}R8G;`Wviw&J)J!7PkryIlx@J%u016G+EHIAG2PEuJ z7+~f_8m-CKTyaAu1`e&)#LS$})l* zVA8ywF>pFL5MhE5l5t-1w_SGt(}2cPxk6FIcFqJ60u-VN5KFR@aI&B2n53e>)}<>` zkI(`#-+w6OvAde_VgR zBm6c%LNpkb8MDtEfWw9YEy@La{tt-QvuqyI?>8&M-7p-{kSAk)@y4bA#?+cql_?#- zl-u4`Js8u-f)i6YhyC%Z!!%DJ<}fcw-lnt&G%BYbYd}f}0g=3!Dwl~a6hM-#7-Cgy zj7~XJhZQiHUh9mQ_*4Og7pJlr4}I(b!6rvm%wy@^xCligP8J!@V~=h$@dgY^rNMLK zzWkaTr%OTr$1nFYJI?}wiim(1>hvCFuyDY(m8JFR$>qNVR)A<~l9?pMfy^8MVL~&( zbDiyD&71%cL>FXm`E*B=a6%hER7{rUD=@JsGb*wW+`uqbB?pGo4v~am{q4B$BPG!e z_%ewxzb`OQV%IdkIDf|i?IeE6tBd}}9w7*XJfHW4cqGs(UyAqN0vM(O08eSBt~&$k zdgC)=%f?j(xC#Uk{aDjy}zzrwG;+IAK~6-9BFr~;lI*w!vd8+h)No#XALR=jG&lJIeLElROwQMCBuBaCU7mHb{iDx#rs)@5Ag+m`X+ zgJhf%xzA4j0Q5s{xDt`{3mc-=pJa<)jq380Fe!5~EnF~N&WSySVh+8j#q-M z&vz#gDICcZ%5I6g&pczeo~a-z2SxYFH3H6^nMjzKf-VeaVWMK-M7;nmZ1IRiDgcy4 zMiycUgyY%GPe_M%q$yrPz-)yarL3+v72!@(MJVpe?tkajf&fVd?&s_G#soX#266_A z7fgYzI#A|GoCY9?g9pskuM(7Qh8RTsKhup!FR7#61MTCOyX3SLi>5r9CyLr7fVw+( z#llQf;!Ne3fDUcw{!TN18WN@m{Z1mM6exm^=Y%fc)zl}V%}s(nv1_*R+y=+MlN>|} zC?uFL1G@I%a&&? zhQ=~!xuUOo%7AoL69w5V368hIzzt}vm*sKpa-1s-C}`0l^Qtu#fID5sYi0K8(M|c2B!Wu$vVH#tx;5#?8hMo&Fd9%R( z04FF#-DmHFNq_{wo)T%EBmfQY^Tfn&jgUkl1IU!wk6(d~1w%&{zWzGJCm^L6?>|iW zI4GH5s>G74bDpulUk(nH1@svC*98ezbp&8s6F?rja>I$Zfjm)!8nAfH2;U#DQxFgv z8n72ZA>$E2$n8umiP_V8;+ok4QzN-2LVHsL8HEWHI6uzLJ3EP$p5t!&{p*O#L7>q= z^zv{+6taP$L!9gexGYry%!upHF1|;LrjUm~cJ=yjn!s+1LoE$6liwOz!bk(~5f=#L zd`(Qn@p~#pZq@@uWRy^4Mo^$Y+*3G0iKfjJqq-VpFbJ}tnV^*!(W9; z)4@bg%NQ&2kMQe!-ceZ4Co~w{4(|90nQ*~_KR;f=sR-oep(fKR`_~-kn9N}&21QTF z%yKHU>sj{DT|I*)K>(l=0PN5?gVVGDwTx{ zBN5kc6NnySl+QJZPx^46WL#Py?>Ob;)sGpijr-uV6-nL$S;PC_gCGZH63O%Yyk?ma zxHnwkwAbg2i#69b`tOPXO!Cp+2j$F1<-mAxY1alElDsdAif}EO7MCeApO5+D@R7kG z8@Y#X9AGme=|6yZZlGT-U*0~r4`OS;SM|!ZfJDZBkp5gkz`So}*W1G|=-(mC(#aPP zyA9$vivj~wFsadNHQzF{wzo>(RDEzprdn301p7NqY{38p!W|Vy^m^c%2{v!rA87}! ztxA9j0WCcL08c-I2`g(JSHmIrd}f*@0y?mzgug$>G8L*98rS@JNP!sjxv<|Xc$bce zNed@6{MU#oRv_ECpDUk@_CRZEi44(iOnC2=6-pKndcvQYdwEH*Qko9AEPG+cbL+Hq^JXLp1CAZE;MKc+}fJpat9_k&0UE|)ER(m5!Q+% z0SZ8qJ-k-x2pu)af|ORUj|O)%X>e{#Zp+!DjTzE;kwqb5q^MmS0IX{RG%JrsjK7)D zk%h1U>-_JA9Bpcb5WmZgQ5P89vWy> zq8(z>{{VWaa(h=O=WKFJ%-$Ek$b;LcAi!cliOd5_YC=fk1v98nIBTf1`Y2*I@%DwkW1dMjcW-aJ4B$=Fm=o@an(*#ovr-Uw4QibZBFPjjgacJ38B~`X$m&cO)L9a0Gtvk| z2r3uB*l;K$awM)&V!)UoW3Z7syjrQDxrv3}_jy%BwV7F*{Jc2Mxf3~uX~5%QQ$4wY(Qk32)4NCT9)&rBb9@0~)QMlEQOSCZmhz}tXW2qT2v)Y_6E%p@hYcM9GoNFkhR ziABu4#L7VninM6KG6RsP3QoHYpWxu1~i5`QmNH7*R$40Nwy&GO%Fm zas`Pq!4jWblD9YSmVT!RV|=fCHuJP-o^#DrJcf#yc)q*oU0482WZ%E%iyV?EHhTR2 z{c|g*tp5OmJV2Eb3baq(0AO_O7taAl2%yEGRlYrpDyLbF>{nCQR zAVD2$V>;gvX28oW&*1swuo+CIcIuh`0MS@<1o$@|0N7_Kg6b3{^;%^Nwbo6|F_f)*R1U`6yz3L+NtDBq?F3MG`ZNHYPKy zIW{u7(N;T?7Y=qLB#Nw)5lr=<-SDlHx>41}Mt$=IN6i~7*RR(Ho?+PD0Ze4Z+5Pg} zj|`OApgLdX(gQTM8!{eG$IluIK7=i|zvpwAWyFx7==tDE8yCrcd?sm=;517L2UyJB zRxrUB_aT)1chECEaNW`3rLdNKhakoRZ(MNOtO8jLhy@WH-5-7k-Tt#)b#-I%9 z46&H;`HfLtMFlsqlrptrM{^GnV#!L1|H0C!pBGkF8J1u0hot6lVOo#+JFc(2zivkU=b45&~1xE zh!P7DX|=#l2L#aowM3HwySV*zHzMI^ikR2?csu~Cz(D8W{dva%h$0m)v(JKUNktIj z)I^#RPJ$SjoDr(ZNdncq;@w*1MhM34n?8?yo91y@Bam7}Mfn>`LNtal;Wb2Mw;AE9 z4)EABRZAk)!UBw7uc5QZL=<+BN5nvBG@$XxXhjub6f-q3(OjJ$91`1Y9_J7F_`;aD zCls`?Lg=Pw-Cz@^3$#NWMb z+7d}qG8>twaOcnkfoZXnSK*ZW=3XS%NhpRooK}8$N%~k4RUAk5S6O6+6%^}_7zeOm zn^CS|F*Q&kZXlM>n?*5&pe~MxsZA8a`gxc$;lSS@EUGx|E5NowenE)B5aQNVB^gtB zDn0(WhKT~isgLWC&wjW?7DY6-K!wKM-Z+@iRkFtnAWgg6;ZPA|c4Ov*dV9waMgRy8 z=t19~fHS;_Q#yCne|!)e9nO+0YY*2}7=@t4OyWXje!JkVnLc=H>wWQ9&`KW@fi6jQ zZU>Jo7{G8rhM7j3PL8ZIn2J3Qh*Atx!`zwW6`+*RTphON9Yr7t6bS9>#ts}b;1eWF z+_Cw|TZw`M!-j4fnmXSUxi(#<_fO%(X-p66^JB0RpYfFK0JB6f2D(c=QNG*p0r!l!`7GQg;w zLtugv`Mx+3oND+#e)xtLFZyvvH7S!fAAD0FW-_Ah@xY?(vn+Uga*$y-V<_X^{{S3a zC<^!%fpfR>#2Ox3e;joVo>pWP!gCUOKjF^rd_Nq|o(1oKZN_iU5II6GMO?J_Hhl2l zo>2OCVde_}@n!|wJ$|?h?(=2<{2T+E6=$a6u9+HQ&3~)$$1-t2%}(58-#xs-7{@JY zN0tCdsqMbFREE!By29nXXU`DMaRC)iE8F{Tiio^}h>c*s4t|H76%}ZK*MSc&o@V?K zJliH$r^hOQT>!t(WINQ|;Y3XG5f)U9#~yXY2w_aLpnX3Bjq@Vc09BgueDQ!4Q@jg- zzcFfHco8JLsNhvWPU=HE8!EJ#i`q_c(3o7Ut?RoImz1|Aun@V6KdiIcr>ue{{Q zBsx>+=f^W0dr1=!-FDl2-Lf9|;EDhCOJbJh9N=NEVgG8q92k!F!jkz1F6QF}VOzHaz~ zh5%ngUq(Rb<9w_K8XGcWyR2f-U5CKPFkmhslE5f<-o!+LC^Q-7Y_K+Nk-S1pn5ZnJ zA$BagPcvR98020*xz zQP8pLJ`jq55f7&${Qg2p2#0HSw8bx8l5^m57|!Eg*VeeuK$4OztLTRC=c1A5@EZXU zl@7amz{on-zc#asV_z1y7@p z3J9^X77 zs^ol|{PNb|7$})L>~f@u63-}4e4`8zAe4^%`0>h5OShA>qj2$?GWOu%q`Ch9PrJqp zY`EvA9dhL0c;f!}M@I#0x@p1rKU}GeRx+=Gt*tMfIRe{S82nx-i={qO`{XeY8vw?7 zXBJwZ@%*SvI^Yal5ZEVc{{YVgVVr+lTH!>hjJKkG4h9}-Ae=Vg55G&`8WUgDhCO=a zPU)x$!7yTE^~}7I$p|>WW5NA$SXd2oV9$|$z0Ocj0AsM5@rOP(93V^#0LW}t1&Qyj z9aQc48LhNme$Eb76ocO0JqOwKQlu3~I4wSx&#J-60EHpCM(j$aj4Fcyg(M65!}#Gd z#L7AWd~_!OV4wxGb?E;9cTzAYpC7LeWP9eg{%-k>rh!TdTsX6?CUq4-gs)31{Zyn3 zlVLo42iFqLfk(3GbqD$2yhg`r{{ZLL7Gr6yjdlH>I|`&s{Ru?&V{m)~0FY9dMG&63 z#(P_4n&jxBgCl;JW2076p+4}+4%3+geGPlufqO-T_DqO-L~(?F~l6KDa*xZX1|<`$w7 zt%Gcb>)_$GS0)&Mf#hdj7mVo%RW05Jv;G|?gNB4vU=3n8==(*P(;=2KnArCHI3Uu+ zX;>h>Hzdo8V#6aI{tW^cgvNk0bt1GHDTRCes%_m14nP8m-3pHhoQ2b`Sa0C#zu1_iD`FQYt zx@k%@09*^-3{YAtAy(Zx{4>I!w{r6k#$T<;#blNksTVq(dp)IWs0suwHJ7-Vc={3S zg*YA0ZnNWpp<7ns{9_9Scb*gFN*@6A>wW!mU%p`LLIr$~%4O{+o=Gb!ODa=h;Zm{= z9x`13W)_h-^t#}cG0BL`81YXYGcy8~yFfU~-;H;^G$cR~Ay0$&*BQBx)!ayB*0uC; zol^ybU3CmtWmteL;ffHl3})kVM+BU-l|n+wPIdd`M8JvAfL6tj>%Js_0swHelbUIh zIPL^m!@mQE7|57$3gAtNZ6Kpa@}iV3p`xe&DrjV2L6Vao(80M)=#;r#XB7k$vJCut z^J|C*IoN+LE*Umw#(3f&fl3w~8t!4%c`y*|n~0OOLsKMp@QT2Dr}2~X%*Zw9VFtW) ziT3d}8Nverg8u*qDr^W=7Z>yT`DPF=FfIQ88}?{JkYJ`0ukrr?&I#X&>nAWr97h6& z(s5>k8A;iy6qPfQs?i+q1O!5LJp2^npx+rGi73T_=>bzxbGq5M>1msf&VJkUDr?t% z{{R@)k>)|b1@(^Y`_Fta9*vA{O#>;09}zhQ*q)khfg>)TbB)1Tz|{*>!uV$iLU5rJ zi6XDQ@wRtE6yQ1nnN)`Zl#x0u6WR#ewG((y!@I<)0x*MGPiHT-1OYU|Ghs6%D5MVF zMpmJsD8?@;tz7T#&(A2iP_6gK6u{`g&WV+Qz~lb&6p>AtQ_pWq8Wg7%RSOg<$c(jKnGT!6E09{{Yb#?Ee5fBL-9;EpWO&4k8C`xk=I3 zYp<>dm5fzWv&7;_Wj8j!zoUf&2(@tA^?KtqB0W#sGq3Y!5mv+wW_{}=Z>~wRth^Wz zMUZ0$fw4+g=vozG0u>gage>js;}Ah%itjy-o>PG}fT{`^t?vEcGmNyx790=iIH1Vw zD0J@c`uH&msVyu~4;a^*_juAMqome+cIi9P2+$pc4&OeX9AH`j*3>nRd?e1uEU~HO zet7#;p%F7dLryC&bcqQP2n|*7{CWI2^}$%%qdAlJym9O@sNRYvgYuY|B5}bq0tv4d zUGh2Qi`#%*K9YeLJF{G}z%v3PL5D5+q6fnOKqvro9srmnIaw^PkMEWPpGJv8@i-Xp z@Pa9Sf36DUz(QvvP}s&kcgh&jiQ%L(=k<*MSKnL}Y{v;KqDqR65TtN3z(^!GLnVp} z0z-)|=RhNJbK66+j^?l|B+`gjT~b~@#V|3Tal4&YkLtWBP@(obb&e7sV-%%Nz<=&| zsT0*Y4vp-zp>q-JV`d-~XcHD}5CKlJ%Iglo0tET@#rmG4NF=I^G{BApCU^+4DC8KY ziUtujiBKao1Ou}Xr5O$!5HgBoNCBv_25^)xffy4omGq=8I5WqWO4{!({psQ+MgtWN zzMXBy8<+~MxqA%n{h`3|d6RhdtYPH(bb97w#9@K0k}CM}JT2f$qJ4Wjc;v+f$fP;x z_>MQlPIIN(;WIbyT$P37AOHyJZFO$K+mu5EBDYu0W@duSiY)WV4nx>TSAmSZZ;h*P z6f{X%CmKwgh#%P)1^ilyhp_<5I;uDU(f`;fop|sCkPIfk80#b{n>#xrS z^a7cI51#$LR=i@cM58KD7*ifCE*MT%&QK1;Yxt1`x!9YLQSx`3jdqX~D!QhmeLS2F zD6BHI0F*xJRGbjG5@DOlCNy|NAl3OP4WOA+8l};qQca;qJRnu1)630bgji-_a)%4L zZxh&hMNJG8$=4AHl}KpZ>-4=0Wbh7564iO9V|Fp(R*)4&L%E-B@uPz1uStZ&^PAFWO~f`xGGjDr zJY_maR^gk6as8Zra-cyaa$%L&DG6y_2bVU(_~D*@7VAcb>oLlM1&}kSBA};KOv7Xr0Kif z+;MFNMA0G@x-ScB_b z;Qs)e23iQXk>lft@T_2}yH8HI2NanVz=?_4emdxZ)bVc+1(|oz#!{L)_sK&40O`Yw z^73PtYljkJp#J!ZLNqc16}9~F6djf&C(8VJ;XxM^JA&u@d4`xGcwGK?&$aV-4B6w! zz(&WZAY4g>{y2!-3w59PZ<(_gtLqBk&+^sgHH;C|+k&63u0;^s zC@euKGIz(i0LV1?os0LS1raW@$^w=TSRO6qmc@hUk=Ag#;o(Iyi6uaM=Klb>c#1+V zfay?td5i(rxbZ9fxthd8Py^7l%5>aOaZ!wdFhg1Be7(GefMx_t0nmBZzO&30o|Yjk zGj{pm?+7_Jr)O&a0Jae&DMZ3U5c7OzhFt5GcGX;b@HS9+@C{rGiGI0_E+Ay$U%NPmkv-(e8Cd`P?$whXwikCWfyP;Zh zvYC#TfYj|AXIwHxLb7HMM(}2_$pbM35WbyU4+gMM*|fj7KbZ%%RW{AcZ9uN`&7QB&~=<1gcS_!zVGma}m%0 z73ED}h&&=e32YOM77S7(I6%Q6z#NrYs--f{SOy~E6b7MEyn|L9IRVH}n|UFn&{QRF zgOksmU>eL?4Mr&@`goKmyuZqFOOnBi2u@_rx4K;4(xX zX3V7*LEH?QS=cEz*3qWN_4C&U0E7~invic1wtI#g)J9f`(p*vvo!1Ro}S!3jBx zTJyG$xVe+M&gD^v>k0feceTWUa?wd(JiqsR44@9C7PbCD^al-=0~rc4rI=fc(iPB* zX1VDR2L`Wl1`vhdVcbqTC$2fGs?3JUEfjm}d;#3BLIyKgGR8Uui zFYZk+XDQKw$|b3QX=y=KC~GNHslk@$#Ssvc#NvSxF=J#rAu_tboc#B~J`g~_MiUn+ z5Kh~@P8_qivadi~esdj|+Rp(l`h7Wgarp`ovh9A3xb3pKbw8Kye24&+NSjAnKJ;`> z5Y$qszSXlo1mR&t1yle}nf~l)wF-q`F0`}Vnd0WA`{r~P8>@39dY#JwAtGJ{nSr*E z2-svSobwuGCLHx+91&vDXMyEOw4Ahvih(LOfrq}jnan^dPz(HjrxdUX5F*}>ANzn7 zVWwIUc3UmB?KvgNS8xiM^z2tRl98K|5vo=K zff|5N!#E`w3tDDC5@`S|jWVf3XkHmpmF-0vDRgFzQEmYU+|VJDqta*rIZYuDK0oAs z9u;E1$YB1b*DF4Gqk;huN4GZk&y0{uZr&SRcnakyShMm7>7U0lPztmAxerBsO_ zeh&Kl$-v%@(8Np{T{G%Zqn1{Zo1_@+zt0LO!KDH&S6#RP$%-(mp#UNaCImPD1-g)e z#sOE}z_CXn^kZ49*yak30j0QG5j--3h4~?Upp5FJ0syT(_8=rljtpaK3hj2$M5iOL zLOoJPfuM?CTrw#HDMCx`r}xMMVlkp0@%!KglCR;wVM1mrU+ewmQLX1f!+UbQbawe>{4^%ti*TO~<|<)4B9A7_GuP>*I{F6GFNP6CP$}cr&F#w`Y!l z$48Li-62$x14Z71{{W|lIY60Y`#S8;0UXDmcYmz&DhOp|HAjEBJRsHaiKu{sHraoi zu&ojz!20<0ODaMgb$|-vg0R znX%DyR~gB|7;sEvz`6Tx-w-iv^ajshd7L8%u%uRJ_VeRMHyb{dSTFqXi-Cg*jNoRHehnEHwfPhBDml|5TX!;au+8T30R`A)Q~LQh49&@#1_WA*GhLEz&vnSK zhiU@m8z%8kEQSgJROs=XN5hCfwwtvy^kTfo*hVOvjS}u7C^#dei>etoNCXJr#vxdh zQ(GHdRpH%^ntR~%hD}H!DGZ!XQ4JiF7YJBdiZY52-+@FRM3~pZ`?G5eR3O>w{{Rhf zC3;RFffNeOYWV2#XS6NAgbsE?jH2W4Jxk~g7JnXic|Z*UIN_t47&LiEk+x1Dj1bYs z=ad=**0zUbMlrJ6z(xolwIC77GkxO|VF6GPlfPU(VEr-yN@*{7r5Zt_Ry8DYG=QKX z$%#%Uc&fv0X}*q0EIb2zi2NPC;KamPIvsi#@!gy`t*x{bA`Wo>05GtJC>bq1yx(}M8$1q|=Yi31I9a18Ohpgk{e1A`a!&RzhX|WEJH;_UlYUS0*9RJvCM7dp1N+ATfI=H2o9>_V z-zOsVsvB=zZ0qNl01P_gtL|m;nS#rfhYGZ4hzW2xNOMuDAYS78gu;$pYG%!C$dG^82bMJ zdkm~)fJ(4Kr}kjmHVv9wT{{a6!mxRCAu`3#} zBpA*5{dfR7f{s;R+VEAIc?I-N-dL1VU;ta!`>ryu2BZ>< zZh3h#XB+XpARocQU4UVPPL|y>_PtpHnZ{Y;@=ud?h;~DOfq>DiX!te41U@zlDeiGh zq(;+J6Gu0(LBY5x!~kD{V7tP^AqQCDD6&LXXO6bFB?i&aqBnJf-a)2bUQHhSZ$VgczwjE>2B zo;YvDGU%XV;^n!|2s&WiJa3C8cJZn~s+xz4W2@gBydr(0F}}Z!HK$^MG2g?O$XOvN z#2cG_crIC#AcXrH(~8UB96SLK8`lyBKyBj$xl{AR1n%+h{{Zpk_kqO*l_V=+!-zf) zGnnBqhMcIjc6j0v21xdZe`g5*gUaDw2NmFE#xP&&mPra1tnTra{{Z^t(EyaAiM+pi z<&>-Whw!FZ^hT;6s5&HgE0IiXtEcNsKi1-Z(C|$W#ED8lL@e z07ICh2RZ2Uo9^*I$5QF+sIG^g(~(n3TJom8XEU@M1_ZqHr@R54WY9!|3+nmPnFxk8 zxdHb$%KSVi>EHYK;5kB}P)1(i$a@2(Lf(y{nVRChuY`c*B*s|Row7#qrsrRQ=iTOe ztz+0P^ZMYcVL41B>($~~0t0fZFn@z4uoplS!p#JPw(Ie(PPyci*wy4xX<{r=O7Ofn zjwwLYz|yTE@i_BjftIOuD?{YwP|ye|$cDqbktIl_iBQ>TisydkbBMw$a0Oi5YdF@6 z!N7nP8;X6nY62FzJ3tRZj^=7zsGtfZh?m+EqVTZr}3fG*hkpVtGE9Ww_>SE~O2-X97I@-PBp z!};R|vqC_zPdNT~$?OXzOlibg{9}top0&z=BtA(<9^Jj;d=1=4s%d$<6C&*)riGAnoP3T67pjEpME>@4hHNumXu&?>gL5tZ}rFc+F!2 z+1JF|3Teef(BU2v(&=v_Gl5>GgKLk5v38Z=Pjq{&YM1yqw0YTP4<1>=A| zVP;15=Mg0Nga*a}B4gEW{m@eYfg-5Rf=2q_H~`STAN!4OZFo4E_qGISgfgbRI9hCF zj|9gL5Haj{dtktYwj`l>&m5AVk_zH-XPW-_GKdLaP*0Z=%8mwX^%7n}7)er%M+A)2 z5Ru-dw8GMyioif_Km*u=uR?)@ngb{>oh%fssJw7F6odsAdY6#N1;9e0W5Z4}1$WAl zf(V@#xp{i&oXo7DAOSEm7<3+ayBI8gMAO$?4oq7EU0H)>?fZ;a6#}jh?;GVUp+g+` z*?Q``9B~jXKn8ZdFUNY|omPmSTsfJ?1%dKs`#w%1W=FMH1w}?mF%)r$3b7p4DV%y8 zDyf%D%tA|wa7-hE8F*G>*<94FkN{)H$02DnEgiHWaaf6roMF6;%sm6%Yopf`rOXgQ z!{AqW-@DDmf&^Cuj3VPsT(!lxigak`Qd+i*Pm>?@;tp{iEQd#3yzx3+XNd~U1%Df%I>gNFj#~_v znN(i+aQeaNSx`Eb+k7R4Cl^c@p;abRD)`4Dj0z%U-Pi}$$;$w!j*t}8$%B4;@fa2? zj<$Y}`3aK5y3nr2p}u8$xg;1s7XD1H$En8(g(2vVhr7mAV1bvaJBQ-ll%%vJkOLW^ zoU4^@EKUoC?HJCm{{Y@3%+3YOJ^F9k)KFteL!qBD8u5>nQUn(U0%h^>;%FVRW0~CV zd=y!>nTRv)ah1so0xBU0O+6i2BiE9ALIW3m=aJH zBv#0z#+kB*g=fcZDTAs`%)KrUW(DEN3@f(&8{<^b9oQ&HkY?{V-gTCln86^4*>(jy z5t7Wi-VVWATb#TdMzCR!P9*?fpr8+f&EqnkI|w4zkTJKINKBp`fq`Nw)=q(E@;}UQ z&5ET-Rj==fQ1T}d!d{8LK3zI7*BiD-Q#}W9FMNL?D2S}Tw;;SPTVpPKnBg*3WQ$1N z=dHbQl1&G7i5<~}Uk*+P8o))aY~123>hC-vkX$;?hIJ^68ro7iUwF*p?AD|R0#zHM z&wl$f$;}>lxhM z&iI9Zz;GyAj4dErjBsJ-ijbsGW?sk$W`Pv1E(a_n8Cg>jajUST=@b}d^e$W}#Isby zAas_~Ds;)F=#O+|V~9{<@@j?x&ui2%VfaMchJw=1kN z3nmG3)GtPjaodwBFbnhi?=<49temQ6eos%w5c*1W4)UcLPkgY!N03-NseJvsx#t_; zS(cq&9`nNajN(&lajvzj7#A8^6@&BE9^EifHbO^-QxA{KyBL5$#axED>zc2J21<#7 zrg4Hitx}vcda@x&OoPg>TGd>PI4ExV!qyH(B#@rjRa~uB=iHDGsQck_4Zt^K z1s&hLGz-iLk!i65MBJwW!yqCCNG=eW%9ohe5mF=>cF|#i6tx>uKy{QtDq~z?uW=9t zgiB#s3tWss99=+439NzDpRPz|*lQ<=oX!L5g#A-=Hh%Si_9a-wH4Q{ASE55 zDv9qLQvulI2KH6HW~UK>Al3zl^kj1POT)l(3$@R8(|=@FTRKn>uZxy`)r_^87{XWI z@!*q^JE$gxVoja0+%E|117fWZ zrB(L{60ZnL6afmXfsAGhMrVXUazCD4hH~MM4C)hOXZi8TV`eNn@`q0)kx@`#gYDAz zU-uLvp;-dPqW6|l~;q~(~RKq8BPILAqg}G zqWo*h9s>yBB`W-n(UB;235t*8IhqszNuvI^3`ZL+qJFs4xmpuWb{7n zi=FR|3FD8JHoz#PQZpI#z5taVAe#$V;rVYNSOyr#^c73n-_I=yt0aST<{3VC&zLA! zi`PnT$J5ta1(tMX`TXO|3%WB3F1}yO$PF1(F~G(6f0vrdVK4wb;qh@pa0*<^d+c0WKevQ9(^3fLBLuwd`8n84H&YYc#nyw(13iN|MC9&lwNkMDqZC5H8v`#BNK z5=EuIvw{Bryw>ZDSgL>tHSr!+N55)71zMkxy`*W030X6F!smYvEp9ms`CMSHo8ZW5 zBo!a_6NvzfHA5U6h>W9ChAim2?)jR?Caj?I?ELzu!|UG)SfbUIW9}NqB)EypF+van zu#`(09xwO{D*$k>YJ2=}t^lC|YAeU~RFC6Ni2Z4J3 z05$7@gysyfh!wsLF);8|lSK*Dsi1}&GlaX!A*58YL~lPFauCn~5d_weaa;qygbfiv zku5{R7*RVwgrN-KWv8z}1t~oOaxIQtsSot-Qcp_o)1~EPR84h`Oh~iwf4Cx5I9i{x0A-orm|Ow z3bQw?2y7+*!m8vEou?&4fo)aYDYKODJo&szsS6D%>AC6s(FLH5;-f$({rARA#V+4z zOsDst<1}8DPg%wg!|z3S#4wo5*w6y{b#`7Ogexuv5cGsKfs7n2Gd61obUQ{n=-ydz z0SHYX+9IYyDkf6UG&!P>R$FokJQ5BBXqjBEwMyAIx@KGew&nr?k^q6ok)7Hk2nt38 zwS*ia6_!AmOkg}WgSAtDOxYtu!T!<2x_nY|W?TOF#hB($0qtM@JdYrPrF`QVHJdJQ z4GIB)sRPy=_4LOEHM&uob z{{SBHKBbY!8-Fgd!vT<@6!G-yeG1vDCk3%}s_ zCkWJ4)HK4^PbgE0RWMU&U<_rHw`9l_+ypg+BEgi*z!c_55ENAnFrp;cSG6IarG^zi z?SLvO8`|PmHuajraPAz03uO$sUq3HlCeo*_{a>XLZ~ZvCC5FtZ+4 zg~Fo=mZ1;NFJVBi+TF2apw_tYD?$x|2Sr=+peVQyacI~ey+<8qg~5VIH;T$%wa;tl zfW%EAPla)D>l}sv&LoW9J>Ktn;()H=g{$-Wr^yA*&Qikec^ur#)TbP11mvZFY%?8x z70w9^(ptI(eI|KFAfiDCs>Dxy?Kl|+)#wn0HcUV&IjR~I(N;nVLSZ;#@G_Q)!C;nA z5U`+OOu&JKfyh)I8=ftXVAK)encMTeWMz7LHP_S1P~2z9#+~PrX^$RxOoeD7;fb$a z@!thNh+qH}pKdzq9BAM!C9jBFkS#z*$OPi} zN^wrP@BaYDU#A+1v%?9n`s(`PG(5noHOY2%x#A^Bpnw8H_VLsq5=jj|H;xt2kW6tv)OSIrDVE|aJpF4}3Jk1M0w<&?9HemqMIDx=JRKn$Rv;P1&m}Q<+DObp* z5l6i5{xc>bPi^-*5)fgWnbn-(9sW5kq{)H!f1j5vjZ|ARP6~KSXoyBGq9`4b6C;xa z2-GfCT4e;tzMl9fPUr?2E@Jv(jkGc1|x+;#mPXS%A%;n*MTIGHEu(h{&r`9!GK5vLsReMIC6L#S(+ha2_@{@IanAKxDB4D{{Wm=Xw3viOo_Mk)!+;YVyF{c8fod@LNKP5 zste&>1Uk%|A+KI5ETCrBELU1NrTe zQ<4#x($-Fk9u{U;P{|!3v(uEp7-E6RwT_&G%JN7+)UIvl@b70Dxjh;|a3)a9OA<0M z&&HxCju=%(qLo+;kRiODWI>D*Dzqf-;OIC4Swgty^2&o&-7*550nx%m+if7^-EN&C zXIx)`jbOnIO}aicj(*&frYKpWI8wC#03i7&jI6L-RAuA`T2?kSxl>E)`J|zVk!l~gFQdua{(+&eDLu= zYC0X5PiiF!5HiG;V3iGkkZ7voPA}M$#JWzLXU_q+$xyTfRZA1i4XDBeRM#>fYoFdY zK_iXgt*hS6C0h#jsrkx`Qa1TY@*-;ZzC`srms~<;or*3+X^Zcbp(-Zp4UN zf|CXGJgio6E}A%JN=EPFi$}KJxgF~AWK&4V9G99L^m^h-KoXUV2ABAqaRtJJbPt#N z*C6Ox?DRAFGIzyBQ(>L7{`m+61OcJ`_)Ivl$}WY@O&(YME#$#gs2fi~#X^+88Lrdg zGnVKEmK6a|XnQPgE;25S#3+smbc2)zZA6GocKw6AIpF;>v8b_PwClWl0TPh69kyfd z!}ocY5YWquU-!=VtFab?J{fDMolZDev81lp4ro(--jGGX(+9RX%ys4pOymg_1Xp2> z_r_>ggOs#ZD!CAgo)Ef_El_2W^`TRO&S6AJLg5V#f>Vu|0fwj%4Rt{of+)eEi2&H3 zHIrQ<8yzAUj0XYh+lyX-EE-Pne&f&PPmW1K#KYyY**D(|p@Ik`F*Sz$@+^WwV&-mR z41MvT*dSTjoo`a-i2UF!w-X&#{G2X{F8NEE^&oMA%-;IoFtCf>2bV`VFXNY9Km2$L z@*Ey>Cm<|Vr{!}N<`*)#07?A6Tq1Bm;u${A6Bbmj{{TSo3?w(jPu1oHEN}qTTut+> z-ekc&$`-veet50pTw5Rrs+5zwekWW-bCEPbNy0!4xSDQIO^`>=>z?=)Nh+lUX<0L$ujk}&NErEbcd zIs9?lY8c7?0Mo`RiBXUf7n*a4c-tRb1Ar`II}EflGe9jnY~}Ddiqk4;swep5GDSgI zm6t#1_Bmu~+gJYp1A&%mabP>i;KLU51XZUBS`TTYfY84zxyKCP0?Mgs#5+%C9#~Rl z8Xb6Y3WNfTxzB!hj|}Juiy|~eYrZvzG7@1=vWuMSIIyDvy@Pd6`rm-J$af`NHpZa1 zBEG@m*cdT7$jqVv3RU4r6_{|2%@?HS8$eL70#O7nPEdr$OeA!&2x>=2{+W2GOdU@D z01W%&dn#BLJiF_J46ZQu7_ys=ji&*%gB24Z2(7m}M0!{f5X$4>AemVJ6w5Ba<(uG)*x(6JxnFp|!;l(h zgO%-Ej~FC$TDTR~>Gbnp#R$-^6Vn{T4c{8o%o$Rwz8u{2@v&syTdY1=-;BIb0Kn40 z{r>>-VoI9#2^QVu>#xeN>;plCFQ#X%ryRr}GB&JszcObaor8e|KxXe_ zX`#h#R#OX=){eUj)3~rS*S3#3*;G)CWbQ5+!TQbRE&|}BNM?l!(Jd2>+YmtUmk6OQ zHNG(zO<0ObW}b2Hf+qW9(*aO~2Q?fN0+0oxlMqj&D5_myf>eUoU}r2La5lKkj35eE zBt}Iuc|z(O3YpFtH@TH^E}Eelrn;!czNZ~1dsZ@kjPqshk?0i!QrxDq+F6ckQ!ot( zrC^MgJM(2w_0hofkK4dbZeq%W4y>Ew4vH^gU{9YPP{q%Iy8Ntis6m}=E@eIsQ$xt+ znhGEcol*O|LsmwKx5nscv@N;cP2xAW&czzAjnu@%y+mo*y>x@7+D8NN(2tBdt2Mg3hF*q2Z zYPek$ybywoHAKLZ(Y`hsoEvEGBBV>jQdcla2tp$S*Ee+N++a~d0EqY)Bm>JBNea{e zsSFHau$&@kf$8Wa#^)tSM>3drOV^j z2JX+an&l?VMiz$SSHcL z4Hkf8lwm3xe~B|&0}F6UV^b@5L(>u{j5rh<;VdD*j8PO*B$*6CI}Md2J|eKD)&R~b z9T4zaj9?^p6!6H%lM?+DDKMqe7{qW#f^e3Krj)i3eRs8lGJ9=CGw+G54mRfJlduSh z_N@IMt|es11chD${P^Vz0ItRiRdW3J;MghUF+<&$53T~XM^5Rp1+nz-EmqZi@vK1p zju{JV*AltC?daw+aH@T9Z6;FQ9J%=8#xTwBn;upqnp~Lg&os7oY%yq#@xVyNC_=bEvQ6>xvsQC*AuqhpR8dsJ-YgJELm)s3gxyZVvZrde z0x;cS-gZ-mO~`B}WZ{NfNxd%jB`P2p)|vRSk11=*BnZPXIt?3?rGf$*xyWuY2H3G= zQN^$<%uY$tBAf|BeUKYAH>`=IrC6m$*lj@!vXrh1hzjgbQVevNQv$i^iWfuh2;$=c zHw!EnB%lzerGo`vQt-rNLuzYkEIsjTGL%AV=NS6<;cSp`*a!ILjO93_Us`CwBGG|6 zz}^-q=!Tgw!ZKR#97D9oG>kgPKHfp3R5B6Zs%0;iq^LJtBN>Kj)&36!2c8v@B@v{q zYT&B1Fb2?yEtPz;d+no9cmm{1<6)Gzc%|2TQpBJmk!MCD5o^Nq6sjVm1onki+F0EM zQ&2}HG99|iUeQ!l5CW{hy_4T3RKSEBOv2;xeDE^TqJkn5%75w+C}&f0E$a=xen(ga zptjAx0{2E#T?K*`LpRj1a%ACD5O&EKjuJHWtZ@*87=RFf(v9XJ#5+|)1c8Gll}(zL zU3?H>N!}XwjCc-UkQkU`1O-)G2%`Onw9hl1Vi`&q2Z=y*MX9e}$M?t>0D=dD0j4xr z%Nh+|p9u$wV3JJ+T&iO`o@UU1p`odY@3(w>g*4|S>&pKC?;0%{VSr6(2>s`dnI$tL zF?(0_SEm%fhUR7nvY>sS8(H_0re_|v2Bq3?U*twdc~ zFTC$wKDfI>IC24WVOizIa->o0Y%M}up0b)P)BtMSFdJ}w`7F-`QdkZoN_7dtcY;V% zUZOoK>Avm#d~0oVeNktTivel^E{`v zb+?3tF2>v!9v}dYKuOwr+~d@XXn-9qB0zT&Lk!ep!Q{$UF^@vBn@p_~-Kn=3J5grh z2_#tcaNZrxDJoFIs_`)(nLKj@05TyN5@UV;0OtXu@>UV?5$IgyL84o;QDzEt$_s50ZAJ_i?gU|1ajPT%d<`Jf&Hw&+YgKjV3l zD^1-DWlw{1hn5M}N-6-O{{Y7MM98ZOFfON0?m!Y&07@q2jhQ;oR~8=hY3Xr{-26MR)zyU-vhAX17j2w8%Hn)s$Wc$gpMKvCJ(rJ zcnT^8!4WXhySdjd+x1JX4C&+BgO-Zqs!-8{xsLdtqhzY4>P3sL5oN6)KnS;Q9Y$^9 zIM5;ygXmkY!&n3#O%feO2^!r+BbFlk!YJib?yGMUpp~vDFrl+1vz#wCbjXXy!#Px= zoAMMGX)zM5RZyFVsyy!T!bJ<^W)GhZY$!s8UXI_>gkml9*zeEYPIUMahoVi+x96Dv z=NigAtJKM#9K3J9fij10-w5X@p&d;bI)uGBL~f9>D2046m*z3fRb;HRR$J{iI^dca zRW|}nbPtyJ@R7nw8q4XnC3srPQD~_ucO`n$%_220T4tOK003i9hBS%Ty83;7FEe8z z4J`(H(k)a%StC_N1&fZD&R0+ZAQo7|G1uBChG|m{3dLc;Jhv@uz`^nc#WM|au|i#9 ztwZGbtT`Ki$xM?~lnr+4gy7=kJ%BEa#%ndiufJhI+FIhKJ0fr~0*f&`TL3NtkHMj4 zn+*eq6ejAD#NQzB;Gz(UIL~vCE^g&^FgeCt?Rk-YE}1ZF8Zk+b;0Rm_o2(T; z3Y6j#BwH#%I9FlE=5PUk8u-fZ#rd@GkkG(S>y;owOUY+3KJJd}W3tmsaX9FxC@6zy zh9)vG!U>#107jf+@8=v(+Bh!}I#++kAkc?pZT|qAZkf_3DN0O}qc{!{jATYm>4-Zq z=8p;DV1Txdd>U_s=#^4$&lR7z_rItjp z93d&rVe!eSwCu~7k$_@10;-vK+~L6?f;&n>Ba1S2@DW4+=AZyn{cA=UaGPLBk=VQ- zE@W7@F(MNQj>vb2D-Z<=&2>KfxYmyxm_-&cBX55`vEz|^MxFv^@01xIryO8H`VXy- z4!6Y+qC|?SPuuH_M3W`x=Pyt3%&qKrkImjecq)`jCq`Ju z{cvc3wo0)vy^pSB{F-W|n-2Z_ywwd;8E^cxKdv_J5DHL$WGJ!!0O=qarx!WmIevdU zu`pE-fcXs^ZTcHzItswIcJE(QH}yxFlugtHe&48p>|cL5fsck{Rst!+zyJs%*-2-u0dpOJ?LSBp3PR z@08z#71&Vgy&{KPwFI(Q5|L=fO{c?}#_=l9qq%(0*7zX1Z3VY ze|knT0WPvEtcDd~mTDM7LW+%$oypwCNg+-H0Ky`5G5-Ln$xDSq#xH@%_VAPmc+HP7 z7;hh15*)8CM;G8`_3_MLIp{5)9-eVFafpH_nQE;Q^Z8v2UL&j!7Y9Vy*0`uY2tA_A z6StpPBts|wYc{RfJDu>%DZ&U#3%0)p&m4(`tT48k>!Jb3K@pUo5=%2buP+k~AcDAp z`?hW05FtUP1+c4Kn%PKIh{**Y)TgNHqg)K_w3QvOHmi@7-wL;6JGO?M%HmhJ@Ztbc z48^iOD(B2HnN79Io6`YaD}LQ%a3lVi3Nq`=Y7E><{ z!A_AH?D04_C8Z<>Id*io=YJ|7=10&v5gYV7-pe^ZDtix_te?|q-&42zyx&t~l7{{V>?5cWgm z#?9hIX@D6Kb5lo8Ubs;Nr3$n@m*bQuuA(Tjeo)(=JQyayg0GR)hk5Gbmlbq(^p!CB zJ~*L3s#|vR^4A?=B0Z@bo66oLLcz|Fmj3?$d{O`>1sL|ee_T_*#vwq2_k)ME%$AH8 z9pW5<3l`m#qHxGcB{>KOs|W{X#+K#v>iwG@X!N6I~N+toL`F!@xAOAi zp`H(sJKyJqaFD|YHu(WO0m#669ejL!b3G0#22UT;ezCmMEK@IhnIXC#l^KJ@y8;tW8Wr8YY#vmbo_n3^A&(mO14b^{Bq-!2W}jb50`z+BKKfz0D#(qBjlOPLNHr^ zJf?pj4iI3J0tYLz98Vkw%@n7xa#9?6JO?6sO=$)J>mTMhotY?81lt|A>#oGCBpL`e zPm#IzG2K|Q;yS{wm|?c_RjLg%nk>xh{{YrPb+2e$re}w$ddbKP(it$^_;=ou42YMR z%`fKV`Q+MpAwY6A7|Ga5@Q4Hk*b-@ToFd$EZq0BRF?#G~YTc(0Q41EWt*_+kL4pjb z7+>8=XC}DObA_atwC%mF4eymE6&EN@-zNKdrGdlxii|147@Cg-)CJjScVtCdO6-IFCL0mZ^c&411ivSEci=8uPdEY3r z;qc^%JQusIKs2p|z@w?L{{R7tOd))Ygc1g_<=zl%7&Z?FsJv8iaF;W1P>j%am5+Y` zj0eb;j%%rj5m#Y-N9jH}F(_`#6vCQ%=+=13 zz&<&Q1`J``{U#4;P6!c$a38DSET)xQu#Q#nPX7QbF@lK)u};?i0J9!zaL~yx%$@IU zrWyh2?LoN2#5}-4??Jeov||9^Ly2J{gA$(&G4f}}31E7_hXo<`_lj@~th5?XiO|G_ z?(qb<0+r?=u2a3eG;BKx#UglGt~JM&LqtYN0rCF;w4#~-xNsGuGIc!g7)J(#YWOuW z{bz^)aOzWCARq40Fs!KNcc=@G94XIGpjy3~y=zs65@1@wC)s{4CDGX8WaV&u8s$I& zn*@Oy`1F046(Q*0YJZP>1`$evPSBF)Ghaz}fq^0+)?o@zCNvLVh$w>y+fRs~z@y0TtVDJ4nuJHK0eEDaTZ8CrJjXxX?2$25x#hK?x zaC10CwBe5eJ#jR>;;$S-(Ehnp@OS#NTkEl+(j~PpHg3T}a%wDjcbN)F( zNPrTiGR^`kC^n9p^6qi_3dOlGUoXQPA`HmOqmy}4gi*;Ky8h=H<6y)FY9wcVd|p0T z7`6r6=3)HufC~XIFt`4*$smReYn>EnJ#Z(!kBmHYGyQnu8{xfhhWT(f43c+n z$V_oOJXOg!!b!tV4h0+k0OP(&bY5t9{{Z{0{{a5%<>Tv#gPZ>V@=a%tI=pV_ybs%g z1Va_M@&5pvg`i<)Tu=fpUnBi;Kx`fOPsX@)fIb{G@(&`F5*#aOAE)!i3Y1MjF};4C zxF`@&gKo23Kl{rc2@?t9-m~}Xg&|X+S^HhQa0;ma0H9~aPxx^%oS$Q<&a3xc2qQ=e zVbwo>nZbFK;Z3~~Gf<_16^B}nC%89jNt12Q(& zGcG(fzdC7jh6{}i8y>pN*~JBP3RbEoy$|n#!bG5kP~$k9_4~^tNP<8la1i_3$Trn8 zU0dK?_4siWaFD35%nm_iXR=p$lmhA_*N3M$q<2uTK1w$yON?JoLqUNm)N(JzGdO8! zzzCSJT%<17=IX$fP*sX|l0Vp#Saui4_iAg;(XmB9SqM0}BAYkb2r&c^dBO1-o)?Fy zA@6eZXD7`i+zg{MsK}x)+4Awg{DHhP7UNyB-kvhL2+Yz#HZ9+!LH7-VXOayT&~w|E z5M`UpA|qwpIxa!M7_h{-_TAFS(Z`<5MBI>OlPBeV5Z+p2k!_OA&Cd0}=gfi%LLU$5 zy4w3-+d*Dp(4{T`%w^>Qs#7H)l3q!M=7tW>5h~FJBCKZ++g8NhuPzw~}bPGcm3p4@5APFzi~+CSJLKSfdgc zV;Kbea9Bx|G~0{g7`yL;ZWOc=JFS$;c;So#G7^|*LSWDC69WPQx%^9SD@>3gHp~A2 zt^m@o@TNMO&*y#h(K zmQYcON}l6R7?`^nEo}AlXBbN>LG92UV*Zzo9bcXe5_SEYz8bmV1W3J3vHt+P zVgR<@`u_l&iU3u0!{A`g-zvi(>>~0N8HvIwL;yAL-@*CfHYRIRe0>QhJJ|U=oPbKO z90bQ^a&QRE5S`X!-e1=g*h%{--k0<9#!s?DL$zJ!^m1Sd7dg2feY`X?FPbRt^6&fQ zgU3#bq4a(@0i7@|3F3K%Zux|b5fYM|o0OI7Gafd#4d6r%UbEAb`26e!WQ7*YM%$|8B9rJhp0LG`sG5-MKPEH@s zCV&1WfBd{#um1p7IO1T}{{Vod&J;1%3RNHupYHfz;akEWVHU%G>BK5jjESAU+j$tK z)o2%Y(EkASTZ{&4vn%oM`0;|08b}un%nOw36_f%p8T0!+P!3c9Y!Wl!!8%|mVUTMJ z-=23FKxelK-5=gG6T=wi&^&mx-O6IhnXyH_*XMkRLMvtnGaE9G=R%Mgiy&PXqW<^Y zMVWR$A>CZ~-p+Y~s3B&{+uogj<>*^5Q}=;!*A*E% zCNN2#O>HNR1!*@#X1%5Sd+&%U;-P`Ww4il|#<GBJEnWKPK74c?yWYRiM@xPdL2LGS?=Si$*^Kgxhjd5K1=~m&30xT*Qe05Lv8= zjotD7NT?YQ%nX4S)+U7tDkjzZ7E9M&aIm##E%pwux~{o%)T9TJO_TkLYzYP`4%3`9 z-@I_A3JRDD=LtU_Y*w2XK)tPePvope1#;1e;Cb*ol6iO7No!9P#GVc<*zin>TOZ5% zpt4e!gb+qtKL^hUE*1p}n=|Ow22>Tr8?&Yo^}7?UIP6e+SCdXgj{UrpbFE;9OQFA1 ztl|tDG6Z?Qqu0_k6{G|KSq;Brvvn^2!Ty z%(r_4LYo`*c$~QcX*>wO^U=*A0|3YELr1Tmf>0ubdlyjglINJoi|7zl5+nYsAOuCk zJjBwkqmXog0=Q;8`1r{PO$p!xr$g_iX1RoTS%B=BnGAnCV2qLgKXB2nd}BfwmEco( z-0tVkE{uzLKtZ#)vCmusR~7(@bqufh%uWhTZ%)~?f7XZ$i4X>y<)ky(EJPPDzP5tuW%E-x@@$KR)g|Nv%pO=?{3i-v)+Tr=AqvuFhK@?m6-I{{ZfZ$wLC)lK%jF9DVb`{c|tJBy+&J z&pY7jjPgG`Yc9GxaXDNsj`;iI%Utp6{{Z2zQyhJAUH<_4A zJvnc*>ya#)myqL@JDtAtRW+i*CS&tEA2{H1FoZElsC5i=q0tP80$vs(ILFT)gd8$l zPjMK0=2wauVR9-3XP3SzPzrEG)?p=_wt47)1dfdz^6=VcM zs<6N}vNMsNOvkjhvZUS;RI~>`gw!13FOHnD@vWi;G9_!Oj=pg40j01A!<)5sW#Eo@ zOoZ!(>oxn@h0*RVGz$5d8gAxXa3diRo{hTM^~UR#XcV^@!xQ+aSu#SEP|I5epPpfi z>qQYW-Ve3&#N#p*L*voh{x}PbKVt&av!dprGyx+RM^rV9yZS-lBzzV20~qyTpJvGoITryqCeyQX)hW%-1*rQ!?~I z{lnxHS_z-m%FM&04n&BDXZX(~lu?0@%|yZT#mEvB0mxV5^gem1(_3l7D)-ZL)ySXP z#iTjLAs6G<42XqYMFAc991wv-90i76{(5NKFquxVHG5|IedmXB4KS|9d%d&5=RTYx zc$Q}lIy2IRnK_;JC3!j)2xZp;|Jc*_hm2%`aC55JCQ z%Oubnk@! z@(i(joOxpnxGb0P#9(sAcm@y05CJC1xcqtGKu{af_&>?WTc!)x)A%?GxadRmc&Fy&fl|u8AF>Hf$O-O1|UDaOd9$Axi5)x$^#^~ zwjYdfSL+Tw4sChgG|wL0{-S?erVr0F@g+Pnd0^v_@^c5P?~2>I!KOIoalrM*BInOC zXPzd_UIN!%Jn}#OPyYaK4z8X!SYBQ){{WN6{{W8(-QWu4{Bd=TPW8c!yhA5D&_ef!@@ef zz!l+j8}<7M3^ojzC67<#oJtW30kk*TkFH4YiZmEf2gCC79B?SaC3A;$e`h0X5b{yV z%z0-WXNYSevLG!zhkG}U9*SWqsg=~osQ2FwIAAqo6K;ro`iiAPQjn%&RY2D6tTb3f z2ZBZ!1|B}Rmr6k@rc2iW@=+~H$9nDc_rlx*I#ywzeo(%-3udeue$AFIkDeH?q=<$& zK9lxvnDBs1rg-m8IYeMkh*@G|2S;6{6ypH-7DO6)>*tE9k{*lP+c)QYkeB^9d-&qcl3?I2ZsPfM)!^g@b83#WAF6UEh9&`-j1rgm$p}QYQ-M|P*JXZt9|FV` zYAwf1{`j&bVz5UpE!)y$HG_#m0-{ESBp=(52^9_{AKGW;6{k@l$C7iJeY|k07c@Za zL%Mu+(5M*ID7pG>nB|zjg<2+OBwPbikf>5@&N}b(ts9GGgL6~#b?B4lF7r3rp zrzq;r9W4PsBwWi};r;LspnSp`V)_TJH}K>s5$R29NP6MOI0QhUQCyGD9{2)QO9*7{ z%)Y#L5tPs-g~JANZ+wKZB^{an0Nq|@TqNf%T>g0_toX1vz4gffj1?=ZJa7mDL69(F z-h=w$FzMzMnb7#+P0zRcyiRsLk6&W;-oYic>bJ$335%FW7*@sr53fZ^qApLA$#yY%Z-X6+nt;LJ7 zk)Iq^$P$Wz1>i^-ADF-Iu6Rd_&VyF<$1Rv6t|IXnd*_+;`*Kb&Cj;LY^T6`{L;dgp zh2jsl9*+kSn%{iCCox{QH7VEUhIQ93zCIi=#s<%>VcR&DUN0PRGyeeL{{Z8@S54P?zH$jvy$Ef(8TSzt?;*aw5#&!E>Sh z$bfLRt3#|%YpiEyaZ06pOw?r`nfm^MJPig#n-uSd5m7wnLD9Nx7^soK~L%iS}|kr z!OXxyBox`!?&0$9o8%;l$_Wz?d{-mQVoaq~TG#sHdgcAGK=Nd{?7!K;EmRQ{WPz&u ze5(pXqD&(>&Gq(y!N;EDGE5KPyX!n4Ft%$%(DL&q&nHGKgi^)HGqBD$Bx?*(;a}vFRX6 z0^v_r)?O=$#295Sd1QwjC|AKn8Ffp)*y6ZYga$72aXowFlp=rv!@YRJk{&Zkk8P1D zuh$_Mk|0BS#OogACcxJbZD~L28ujI>j?P`JfDi0}+x@ zy{~5X%1rQ?252@Piu44U4B@ALx;ivt&BBmUfQ)6U>s;N1SXc_@aJ2J>{{V7v%m9Zz zVC%N3XMmh5%ma<4-@k$^g^5TQT3ocwr??xm%qn9sGcY^gk%5yHB;x$Po-zuE3g9=t z^T=>lN{1lhTFd?MFBNi7Ff*I8{{Wm}0a{^592R1*b>2CotsUfw{@LYdrK2$p&!h11 zEpSG1<5R?r_3^~*g%pG%)Xuzh*CiL`=z%!zImTVNuKqt9(GCUur}f4;VjtVVC2n$F zWr4i#F}ddV#;k3RGl^=hPnVm1{{UR?IlLiPHxI`*Q~+ZEO#Zmi!Z&kC@8g!h2r?cg z{NQCWiGv_x@ceS})`)3i57#AGg?1_9{_q&XfCqKtVM1A$r~-O|KAZ_<>@PqozMY#KNH?S|`bc;08tk)u8?kA{4+b z%k$&p3MfX}{{YTL45u(QO-=xX-uU#7N#g5x<5}hZ0P%6pOb>pX2_5n(9r@&$XYZBs z$-o(g@q!fv%;vb;#m0K#UU+xskHZ|Q#?CfgSBVSfm0C%y&2o?`P{9~|p1 zCOJ9t&bg=0GUVXLGonw9374_g4#!#HA~B7ZzP}G6grh#FJ$&#G*#mAuehJK>yl7Nm z@o(pjVpmqRX^-vva>78EFdKhw8sa1r2?0h)-W_@6NhC^aIUHHz-v$aQBA^eikDdZ% z51i#6t)H9M5l{vYq`zu<{x}8IOIbkb{{ZOY04RJJ1hdClcAHGFe0a1e3 zwjI5z-zE&4nE?eH>28-jaWsMjpF?_I7nE$mwsk#4z50f-S38n^Ga?nnWjLu%3Ov%~L*=*5;KjK8TT;u>J7P;pSxKOdx+ z3Y8Yp#!uge}CX($o2!vv;346M#xWB@sRPL{fJiN#Yfv`~A?A4*f? z0wOrRXm>Gz;Q7-ZaeJfiQe4kN=rI8BWl2V-&;I~#3Is^)w!uFaSQ{0+)d4c2vb8Ro zn+S6$sELymr%|0{t`xychhvoo*WJf`jp9>Ou!d_LoXw#zVM7PY*M&JjQi>UO>}%h< z&|s033Fjnhzlz#&futd?CXc^|JQP7f!=V2F>B~T1Gi(U>O`Dx;Epwp&O?jahf5SjX z5HgM7ae;N;P!z3JFfN)Ijkxi_h!KF<131Q}eEsu(6V?Exn1)Q}7oa9aEGZ{uI2kFP z3jmsztv6;Fn%RyLfGt5Q6sGd8jB_yp14PqPB<%M$(icD|2t&aw;3;nR*yjV5?0lD+*zA_r3R7RNJ)O}>U16s^GcH;cLGTFofN(wTSU1u0) z#tzVs#sNiclquJvvE|C3o63#j`~Hv+RlwT0=P+b{47)HuG@10OnfEGk27pD898^B`TlPk12j>c#eFPO`Qi;XvdeaV_tzi+jmjyQ>L14;ShlclH30O06d0(7X#clP+eB95{?3V9)5VJ*)$K|LAJKP%Kmu(NFc(35A6JLCFMqQh4<@{2Z#r7nw~H|_+pHXWSE(<-nZWu zjGR~$OJ4H(ykRW?rb7lLPDh6&ad)`E@s@IAK__);TK0KfS*#Ht2DolNlg7>jEP`Zf z&YrvCwJIi7o+bykuKGzU1-3eVu1>kFY``h^bN>Lv!B|#Q#SoqPI_i*Gn5JAoK?_ES z*Uk;LVuT{o%74yDYEx?lJ?Jar@grP`y#jonueU&|F~Fx-m7M-^J7m~Ni>P)!Cyrvy zMzV!_-Ob<2%-x~@LM7HC9O`k#;zGiMUbxQR7m}JrvXp%2*K^MSCJL*et@G>W!NOR^ z2_^?D^OL}PrGY%NFR&*;W-Ac9jw z4rf_$J9pK*85ImJH-u``UW}Wb45(1agFgIyp=Rb-Vg_YVaZdO*M$07|*}s3o1+gem zOqFJlIW>&wH((To5V47WiSSsWOe=|_k-=E{d@xj$D#WnLWvqPnV23$DLm5X`&v>BJ ztx*E!?DLFxxB|;1xuSKUSB)?y2yuyy13TuKp0&$DfJkI$>3Ut`q@V~fB-{^v9=!FQ zA&HKH4o9o|@VG}QM5|@i_;{(%I%5W=pxici<_qk-DTN|IvBmeo%FYBH-Nu&OH{+7@ z19n=c=V!-xp$iED6oGD^o$&|~K?sD!J)aMbPYSOKlwsaH9=PHKbs}c;nIFe700M*n z@+@2WyT=eYgTxKV{+YZ&ASmYvgXi4;0K{qnz_|(C{QG@zcny=lALVd$aw@G5CIznr z^Igmij@2Xr?eHH*gexgsY)O%ozUw9{7yFfm}exoHNs&j#!yF6^gj({@lzeC=qDxeKpL+2t+9o`D4XE z%&P5&k8ga-vp<0U0K6brR{Z=tyr{&G^B^1b%NCIN#wNaau!+3>Ki_;A60%25zxRVu zKAZ8ty5#SVOp?piC;jGySsW|ZDA8CF{BT%#co7qA9?ky%-YV$Jc_}H_+j{+Z;I2ei zU@w2ZB_MOWdjA043P`NS&kA1De;@aRCb2vAfA1`Xq<)d{-|LB53QLua@4){6o+M1C zzeoE0@sI_Oz9;-}QQ!m@0|Vy|-yy_c1{wbV9wWL&yA}TcyaYxwcmOsX@&W`*WOt{U zM`kDc+6su z*NlLL_*{dTBq3K{{qFb1XsVm(5T#(J_rz|c0Z=b^G3kd2N?RyW>vFo;-vF&vD9O|{ zZZ#-yN2BQh4rQyp@dHE*IJr2f3FoPna}l*I*qC)y@r&L?D?HT>I($Fn;~+J26h)uA zmj&SpwOWVuN2_lr5XK~V;(GnMJL!ssI2Ay0W2_zp&)bm zth$m{bGsa2^4`;OLSRq=#)05q3)XP%e4aJb!;`M~OETmcTmW&V6JDIAg>0~4hMs$Q z_<5AFLKQ$BbK=v&Bn{LPJNMG->wt@l21AvIMh40%AD_kb$!AN2CPSvc>-*&ekOV&mDKF^n9C>b{QG+(PzpnUT z2p&cbb2DRoYi9wNDLe(K@nUf!rK-pwz{!3+UU%ZNMdu8$9^B+;UKE0 z!5;A=?|h(ltgQ=xoer_obyl_FoaBve|;5IgWK@82j0K(4|fcY~S2U#ePQ z?k;-uj`?#*&uA@sq~DLFmP$Q`4UIT`-njV#6>?Bp^xv&$;N&1DV#R0EJxj=WKdNST zG+cQ6)L{azJY727kFW*Mi=3#|gz)QJj`CF^q{npkeYN4Pt#Gzm_#XJ6!Z8lsGn^^& zu0$B94WOnUpU4G{p95%Zlo&UogqVijB;j^FeOL0&Pb zlFaq|$9C(D2Vtidj1NbZ$=BB<2%>NZ{d5l?MNrMhd;%*Br;PXbyfgtsV2=1JPysGY z@BP;yr+26Q>w_WLvDbg|jZGzY@bL*2Nn9J@5-Dx<{thXG`h4&t2qF1yzkG>CU1J#; zeP@lbs^CN5eY^%tiAp%b8yL{qaGdf@Pt~41tVC zf9b%gYF9kdvG>f$6fS;e9fq0d;JboK>pa8^7Y-y#*m;BNo8WUtz8-@Yo93q+&MDsv zM(lrFNW2W;&9Lj5--BLJt^}*m&4GwK$pqpsV(9Qt7@a{)ZS~>;bjk-|qXO(MTp? zx8pkX!3c^1n!m{>21c3;E!8a2 ze?4)?ggTHse{bu-#W+S8HccO%TCr}p5w_RfSCiLM>M;{N~v1+IaoCC~X@ zXFCQsVzu?iL;x`}Y3;-Zd2l z54Gi=g#LMvK6yw(dww2nJXRk(!1IIejuy&et?(OWhwVS_Egj$c!ItR3_x}J~!UVKa zy}zz4AOu^X;&JlCw>jwl0GvRO2GZc~>x!FE0zXgu-~%hw{(AlM(}GOEF8=__!V?0P z7Yucc=;eJ?u6MpBkUQU>`^L!wqe`B5*}+Q>X!6jo&-NUu=ui%&KREnx2&ZHD, C4<0>, C4<0>; -v0x55a33c667000_0 .net "b1", 3 0, L_0x55a33c67aa10; 1 drivers -v0x55a33c6670e0_0 .var "clk", 0 0; -v0x55a33c667180_0 .net "data_ready", 0 0, L_0x55a33c679960; 1 drivers -v0x55a33c667280_0 .net "display_valid", 0 0, L_0x55a33c618fe0; 1 drivers -v0x55a33c667350_0 .net "g1", 3 0, L_0x55a33c67a850; 1 drivers -v0x55a33c667440_0 .net "hpos", 9 0, v0x55a33c666b70_0; 1 drivers -v0x55a33c667530_0 .net "hsync", 0 0, L_0x55a33c61b7e0; 1 drivers -v0x55a33c6675d0_0 .var/i "jj", 31 0; -v0x55a33c667670_0 .net "r1", 3 0, L_0x55a33c67a760; 1 drivers -v0x55a33c667740_0 .var "resetn", 0 0; -v0x55a33c6677e0_0 .net "vpos", 9 0, v0x55a33c666dc0_0; 1 drivers -v0x55a33c667880_0 .net "vsync", 0 0, L_0x55a33c6190f0; 1 drivers -v0x55a33c667920_0 .net "w_resetn", 0 0, L_0x55a33c607000; 1 drivers -E_0x55a33c6121f0 .event edge, v0x55a33c661160_0; -S_0x55a33c6340b0 .scope module, "sprio" "spram_io" 2 55, 3 11 0, S_0x55a33c631fe0; - .timescale 0 0; - .port_info 0 /INPUT 1 "clk" - .port_info 1 /INPUT 1 "resetn" - .port_info 2 /INPUT 10 "hpos" - .port_info 3 /INPUT 10 "vpos" - .port_info 4 /OUTPUT 4 "r" - .port_info 5 /OUTPUT 4 "g" - .port_info 6 /OUTPUT 4 "b" - .port_info 7 /OUTPUT 1 "data_ready" -P_0x55a33c628ba0 .param/l "ADDR_MAX" 0 3 108, C4<00000000000100000>; -P_0x55a33c628be0 .param/l "sLOAD" 0 3 85, C4<001>; -P_0x55a33c628c20 .param/l "sREADY" 0 3 87, C4<011>; -P_0x55a33c628c60 .param/l "sSTART" 0 3 84, C4<000>; -P_0x55a33c628ca0 .param/l "sWAIT" 0 3 86, C4<010>; -L_0x55a33c679570 .functor BUFZ 17, v0x55a33c662b10_0, C4<00000000000000000>, C4<00000000000000000>, C4<00000000000000000>; -L_0x55a33c679630 .functor BUFZ 1, v0x55a33c663cc0_0, C4<0>, C4<0>, C4<0>; -L_0x55a33c6796f0 .functor BUFZ 16, v0x55a33c662ff0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>; -L_0x7f6164a754e0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; -v0x55a33c6618d0_0 .net/2u *"_s10", 0 0, L_0x7f6164a754e0; 1 drivers -L_0x7f6164a75528 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x55a33c6619d0_0 .net/2u *"_s12", 0 0, L_0x7f6164a75528; 1 drivers -v0x55a33c661ab0_0 .net *"_s16", 16 0, L_0x55a33c679b40; 1 drivers -L_0x7f6164a75570 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c661b70_0 .net *"_s19", 6 0, L_0x7f6164a75570; 1 drivers -L_0x7f6164a755b8 .functor BUFT 1, C4<00000000101000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c661c50_0 .net/2u *"_s20", 16 0, L_0x7f6164a755b8; 1 drivers -v0x55a33c661d30_0 .net *"_s23", 16 0, L_0x55a33c679c30; 1 drivers -v0x55a33c661e10_0 .net *"_s24", 16 0, L_0x55a33c679d70; 1 drivers -L_0x7f6164a75600 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c661ef0_0 .net *"_s27", 6 0, L_0x7f6164a75600; 1 drivers -v0x55a33c661fd0_0 .net *"_s32", 14 0, L_0x55a33c67a050; 1 drivers -L_0x7f6164a75648 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; -v0x55a33c6620b0_0 .net *"_s34", 1 0, L_0x7f6164a75648; 1 drivers -v0x55a33c662190_0 .net *"_s37", 1 0, L_0x55a33c67a2d0; 1 drivers -v0x55a33c662270_0 .net *"_s38", 31 0, L_0x55a33c67a370; 1 drivers -L_0x7f6164a75690 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c662350_0 .net *"_s41", 29 0, L_0x7f6164a75690; 1 drivers -L_0x7f6164a756d8 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; -v0x55a33c662430_0 .net/2u *"_s42", 31 0, L_0x7f6164a756d8; 1 drivers -v0x55a33c662510_0 .net *"_s45", 31 0, L_0x55a33c67a4c0; 1 drivers -v0x55a33c6625f0_0 .net *"_s53", 3 0, L_0x55a33c67ab00; 1 drivers -v0x55a33c6626d0_0 .net *"_s55", 3 0, L_0x55a33c67ac30; 1 drivers -v0x55a33c6627b0_0 .net *"_s57", 3 0, L_0x55a33c67ad20; 1 drivers -v0x55a33c662890_0 .net *"_s58", 11 0, L_0x55a33c67ae60; 1 drivers -L_0x7f6164a75498 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; -v0x55a33c662970_0 .net/2u *"_s6", 2 0, L_0x7f6164a75498; 1 drivers -v0x55a33c662a50_0 .net *"_s8", 0 0, L_0x55a33c679870; 1 drivers -v0x55a33c662b10_0 .var "addr", 16 0; -v0x55a33c662bf0_0 .net "b", 3 0, L_0x55a33c67aa10; alias, 1 drivers -v0x55a33c662cd0_0 .net "cin", 3 0, L_0x55a33c67a600; 1 drivers -v0x55a33c662db0_0 .var "cindex", 3 0; -v0x55a33c662e90_0 .net "clk", 0 0, v0x55a33c6670e0_0; 1 drivers -v0x55a33c662f30_0 .var "cout", 11 0; -v0x55a33c662ff0_0 .var "data_in", 15 0; -v0x55a33c6630d0_0 .net "data_out", 15 0, v0x55a33c6612e0_0; 1 drivers -v0x55a33c663190_0 .net "data_ready", 0 0, L_0x55a33c679960; alias, 1 drivers -v0x55a33c663230_0 .net "g", 3 0, L_0x55a33c67a850; alias, 1 drivers -v0x55a33c663310_0 .net "hpos", 9 0, v0x55a33c666b70_0; alias, 1 drivers -v0x55a33c6633f0_0 .net "index", 16 0, L_0x55a33c67a140; 1 drivers -v0x55a33c6634d0_0 .var "nwait", 10 0; -v0x55a33c6635b0_0 .net "pixel_index", 16 0, L_0x55a33c679e60; 1 drivers -v0x55a33c663690_0 .net "r", 3 0, L_0x55a33c67a760; alias, 1 drivers -v0x55a33c663770_0 .net "resetn", 0 0, v0x55a33c667740_0; 1 drivers -v0x55a33c663810_0 .var "state", 2 0; -v0x55a33c6638d0_0 .var "tmp", 2 0; -v0x55a33c6639b0_0 .net "vpos", 9 0, v0x55a33c666dc0_0; alias, 1 drivers -v0x55a33c663a90_0 .net "w_addr", 16 0, L_0x55a33c679570; 1 drivers -v0x55a33c663b50_0 .net "w_data_in", 15 0, L_0x55a33c6796f0; 1 drivers -v0x55a33c663bf0_0 .net "w_wren", 0 0, L_0x55a33c679630; 1 drivers -v0x55a33c663cc0_0 .var "wren", 0 0; -E_0x55a33c612ef0 .event edge, v0x55a33c662cd0_0; -L_0x55a33c679870 .cmp/eq 3, v0x55a33c663810_0, L_0x7f6164a75498; -L_0x55a33c679960 .functor MUXZ 1, L_0x7f6164a75528, L_0x7f6164a754e0, L_0x55a33c679870, C4<>; -L_0x55a33c679b40 .concat [ 10 7 0 0], v0x55a33c666dc0_0, L_0x7f6164a75570; -L_0x55a33c679c30 .arith/mult 17, L_0x55a33c679b40, L_0x7f6164a755b8; -L_0x55a33c679d70 .concat [ 10 7 0 0], v0x55a33c666b70_0, L_0x7f6164a75600; -L_0x55a33c679e60 .arith/sum 17, L_0x55a33c679c30, L_0x55a33c679d70; -L_0x55a33c67a050 .part L_0x55a33c679e60, 2, 15; -L_0x55a33c67a140 .concat [ 15 2 0 0], L_0x55a33c67a050, L_0x7f6164a75648; -L_0x55a33c67a2d0 .part L_0x55a33c679e60, 0, 2; -L_0x55a33c67a370 .concat [ 2 30 0 0], L_0x55a33c67a2d0, L_0x7f6164a75690; -L_0x55a33c67a4c0 .arith/mult 32, L_0x55a33c67a370, L_0x7f6164a756d8; -L_0x55a33c67a600 .part/v v0x55a33c6612e0_0, L_0x55a33c67a4c0, 4; -L_0x55a33c67a760 .part L_0x55a33c67ae60, 8, 4; -L_0x55a33c67a850 .part L_0x55a33c67ae60, 4, 4; -L_0x55a33c67aa10 .part L_0x55a33c67ae60, 0, 4; -L_0x55a33c67ab00 .part v0x55a33c662f30_0, 8, 4; -L_0x55a33c67ac30 .part v0x55a33c662f30_0, 4, 4; -L_0x55a33c67ad20 .part v0x55a33c662f30_0, 0, 4; -L_0x55a33c67ae60 .concat [ 4 4 4 0], L_0x55a33c67ad20, L_0x55a33c67ac30, L_0x55a33c67ab00; -S_0x55a33c62ae80 .scope module, "spr" "spram_sim" 3 34, 4 11 0, S_0x55a33c6340b0; - .timescale 0 0; - .port_info 0 /INPUT 1 "clk" - .port_info 1 /INPUT 1 "resetn" - .port_info 2 /INPUT 17 "addr" - .port_info 3 /INPUT 1 "wren" - .port_info 4 /INPUT 16 "data_in" - .port_info 5 /OUTPUT 16 "w_data_out" -v0x55a33c6405b0_0 .net "addr", 16 0, L_0x55a33c679570; alias, 1 drivers -v0x55a33c661160_0 .net "clk", 0 0, v0x55a33c6670e0_0; alias, 1 drivers -v0x55a33c661220_0 .net "data_in", 15 0, L_0x55a33c6796f0; alias, 1 drivers -v0x55a33c6612e0_0 .var "data_out", 15 0; -v0x55a33c6613c0_0 .var/i "ii", 31 0; -v0x55a33c6614f0 .array "mem", 15 0, 15 0; -v0x55a33c6615b0_0 .net "resetn", 0 0, v0x55a33c667740_0; alias, 1 drivers -v0x55a33c661670_0 .net "w_data_out", 15 0, v0x55a33c6612e0_0; alias, 1 drivers -v0x55a33c661750_0 .net "wren", 0 0, L_0x55a33c679630; alias, 1 drivers -E_0x55a33c612680 .event posedge, v0x55a33c661160_0; -S_0x55a33c663e40 .scope module, "vga" "vga_640x480" 2 41, 5 11 0, S_0x55a33c631fe0; - .timescale 0 0; - .port_info 0 /INPUT 1 "clk_25mhz" - .port_info 1 /INPUT 1 "resetn" - .port_info 2 /OUTPUT 10 "hpos" - .port_info 3 /OUTPUT 10 "vpos" - .port_info 4 /OUTPUT 1 "hsync" - .port_info 5 /OUTPUT 1 "vsync" - .port_info 6 /OUTPUT 1 "display_valid" -P_0x55a33c663fe0 .param/l "HEIGHT" 0 5 25, +C4<00000000000000000000000111100000>; -P_0x55a33c664020 .param/l "HSYNC_END" 0 5 36, +C4<0000000000000000000000001011110000>; -P_0x55a33c664060 .param/l "HSYNC_START" 0 5 35, +C4<000000000000000000000001010010000>; -P_0x55a33c6640a0 .param/l "H_BP" 0 5 28, +C4<00000000000000000000000000110000>; -P_0x55a33c6640e0 .param/l "H_FP" 0 5 27, +C4<00000000000000000000000000010000>; -P_0x55a33c664120 .param/l "H_PW" 0 5 29, +C4<00000000000000000000000001100000>; -P_0x55a33c664160 .param/l "VSYNC_END" 0 5 39, +C4<0000000000000000000000000111101100>; -P_0x55a33c6641a0 .param/l "VSYNC_START" 0 5 38, +C4<000000000000000000000000111101010>; -P_0x55a33c6641e0 .param/l "V_BP" 0 5 32, +C4<00000000000000000000000000100001>; -P_0x55a33c664220 .param/l "V_FP" 0 5 31, +C4<00000000000000000000000000001010>; -P_0x55a33c664260 .param/l "V_PW" 0 5 33, +C4<00000000000000000000000000000010>; -P_0x55a33c6642a0 .param/l "WIDTH" 0 5 24, +C4<00000000000000000000001010000000>; -L_0x55a33c606800 .functor AND 1, L_0x55a33c677c00, L_0x55a33c677ed0, C4<1>, C4<1>; -L_0x55a33c61b7e0 .functor NOT 1, L_0x55a33c606800, C4<0>, C4<0>, C4<0>; -L_0x55a33c618ed0 .functor AND 1, L_0x55a33c6782f0, L_0x55a33c6785a0, C4<1>, C4<1>; -L_0x55a33c6190f0 .functor NOT 1, L_0x55a33c618ed0, C4<0>, C4<0>, C4<0>; -L_0x55a33c618dc0 .functor AND 1, L_0x55a33c6789f0, L_0x55a33c678be0, C4<1>, C4<1>; -L_0x55a33c678d20 .functor AND 1, L_0x55a33c678f20, L_0x55a33c6791d0, C4<1>, C4<1>; -L_0x55a33c618fe0 .functor AND 1, L_0x55a33c618dc0, L_0x55a33c678d20, C4<1>, C4<1>; -v0x55a33c6649a0_0 .net *"_s0", 32 0, L_0x55a33c667a80; 1 drivers -L_0x7f6164a750a8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c664a80_0 .net *"_s11", 23 0, L_0x7f6164a750a8; 1 drivers -L_0x7f6164a750f0 .functor BUFT 1, C4<0000000000000000000000001011110000>, C4<0>, C4<0>, C4<0>; -v0x55a33c664b60_0 .net/2u *"_s12", 33 0, L_0x7f6164a750f0; 1 drivers -v0x55a33c664c50_0 .net *"_s14", 0 0, L_0x55a33c677ed0; 1 drivers -v0x55a33c664d10_0 .net *"_s16", 0 0, L_0x55a33c606800; 1 drivers -v0x55a33c664e20_0 .net *"_s20", 32 0, L_0x55a33c6781b0; 1 drivers -L_0x7f6164a75138 .functor BUFT 1, C4<00000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c664f00_0 .net *"_s23", 22 0, L_0x7f6164a75138; 1 drivers -L_0x7f6164a75180 .functor BUFT 1, C4<000000000000000000000000111101010>, C4<0>, C4<0>, C4<0>; -v0x55a33c664fe0_0 .net/2u *"_s24", 32 0, L_0x7f6164a75180; 1 drivers -v0x55a33c6650c0_0 .net *"_s26", 0 0, L_0x55a33c6782f0; 1 drivers -v0x55a33c665180_0 .net *"_s28", 33 0, L_0x55a33c678470; 1 drivers -L_0x7f6164a75018 .functor BUFT 1, C4<00000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665260_0 .net *"_s3", 22 0, L_0x7f6164a75018; 1 drivers -L_0x7f6164a751c8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665340_0 .net *"_s31", 23 0, L_0x7f6164a751c8; 1 drivers -L_0x7f6164a75210 .functor BUFT 1, C4<0000000000000000000000000111101100>, C4<0>, C4<0>, C4<0>; -v0x55a33c665420_0 .net/2u *"_s32", 33 0, L_0x7f6164a75210; 1 drivers -v0x55a33c665500_0 .net *"_s34", 0 0, L_0x55a33c6785a0; 1 drivers -v0x55a33c6655c0_0 .net *"_s36", 0 0, L_0x55a33c618ed0; 1 drivers -L_0x7f6164a75060 .functor BUFT 1, C4<000000000000000000000001010010000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665680_0 .net/2u *"_s4", 32 0, L_0x7f6164a75060; 1 drivers -v0x55a33c665760_0 .net *"_s40", 31 0, L_0x55a33c678870; 1 drivers -L_0x7f6164a75258 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665840_0 .net *"_s43", 21 0, L_0x7f6164a75258; 1 drivers -L_0x7f6164a752a0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665920_0 .net/2u *"_s44", 31 0, L_0x7f6164a752a0; 1 drivers -v0x55a33c665a00_0 .net *"_s46", 0 0, L_0x55a33c6789f0; 1 drivers -v0x55a33c665ac0_0 .net *"_s48", 31 0, L_0x55a33c678b40; 1 drivers -L_0x7f6164a752e8 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665ba0_0 .net *"_s51", 21 0, L_0x7f6164a752e8; 1 drivers -L_0x7f6164a75330 .functor BUFT 1, C4<00000000000000000000001010000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c665c80_0 .net/2u *"_s52", 31 0, L_0x7f6164a75330; 1 drivers -v0x55a33c665d60_0 .net *"_s54", 0 0, L_0x55a33c678be0; 1 drivers -v0x55a33c665e20_0 .net *"_s56", 0 0, L_0x55a33c618dc0; 1 drivers -v0x55a33c665ee0_0 .net *"_s58", 31 0, L_0x55a33c678e30; 1 drivers -v0x55a33c665fc0_0 .net *"_s6", 0 0, L_0x55a33c677c00; 1 drivers -L_0x7f6164a75378 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c666080_0 .net *"_s61", 21 0, L_0x7f6164a75378; 1 drivers -L_0x7f6164a753c0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c666160_0 .net/2u *"_s62", 31 0, L_0x7f6164a753c0; 1 drivers -v0x55a33c666240_0 .net *"_s64", 0 0, L_0x55a33c678f20; 1 drivers -v0x55a33c666300_0 .net *"_s66", 31 0, L_0x55a33c6790e0; 1 drivers -L_0x7f6164a75408 .functor BUFT 1, C4<0000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x55a33c6663e0_0 .net *"_s69", 21 0, L_0x7f6164a75408; 1 drivers -L_0x7f6164a75450 .functor BUFT 1, C4<00000000000000000000000111100000>, C4<0>, C4<0>, C4<0>; -v0x55a33c6664c0_0 .net/2u *"_s70", 31 0, L_0x7f6164a75450; 1 drivers -v0x55a33c6667b0_0 .net *"_s72", 0 0, L_0x55a33c6791d0; 1 drivers -v0x55a33c666870_0 .net *"_s74", 0 0, L_0x55a33c678d20; 1 drivers -v0x55a33c666930_0 .net *"_s8", 33 0, L_0x55a33c677d70; 1 drivers -v0x55a33c666a10_0 .net "clk_25mhz", 0 0, v0x55a33c6670e0_0; alias, 1 drivers -v0x55a33c666ab0_0 .net "display_valid", 0 0, L_0x55a33c618fe0; alias, 1 drivers -v0x55a33c666b70_0 .var "hpos", 9 0; -v0x55a33c666c30_0 .net "hsync", 0 0, L_0x55a33c61b7e0; alias, 1 drivers -v0x55a33c666cd0_0 .net "resetn", 0 0, v0x55a33c667740_0; alias, 1 drivers -v0x55a33c666dc0_0 .var "vpos", 9 0; -v0x55a33c666e80_0 .net "vsync", 0 0, L_0x55a33c6190f0; alias, 1 drivers -L_0x55a33c667a80 .concat [ 10 23 0 0], v0x55a33c666b70_0, L_0x7f6164a75018; -L_0x55a33c677c00 .cmp/ge 33, L_0x55a33c667a80, L_0x7f6164a75060; -L_0x55a33c677d70 .concat [ 10 24 0 0], v0x55a33c666b70_0, L_0x7f6164a750a8; -L_0x55a33c677ed0 .cmp/ge 34, L_0x7f6164a750f0, L_0x55a33c677d70; -L_0x55a33c6781b0 .concat [ 10 23 0 0], v0x55a33c666dc0_0, L_0x7f6164a75138; -L_0x55a33c6782f0 .cmp/ge 33, L_0x55a33c6781b0, L_0x7f6164a75180; -L_0x55a33c678470 .concat [ 10 24 0 0], v0x55a33c666dc0_0, L_0x7f6164a751c8; -L_0x55a33c6785a0 .cmp/gt 34, L_0x7f6164a75210, L_0x55a33c678470; -L_0x55a33c678870 .concat [ 10 22 0 0], v0x55a33c666b70_0, L_0x7f6164a75258; -L_0x55a33c6789f0 .cmp/ge 32, L_0x55a33c678870, L_0x7f6164a752a0; -L_0x55a33c678b40 .concat [ 10 22 0 0], v0x55a33c666b70_0, L_0x7f6164a752e8; -L_0x55a33c678be0 .cmp/gt 32, L_0x7f6164a75330, L_0x55a33c678b40; -L_0x55a33c678e30 .concat [ 10 22 0 0], v0x55a33c666dc0_0, L_0x7f6164a75378; -L_0x55a33c678f20 .cmp/ge 32, L_0x55a33c678e30, L_0x7f6164a753c0; -L_0x55a33c6790e0 .concat [ 10 22 0 0], v0x55a33c666dc0_0, L_0x7f6164a75408; -L_0x55a33c6791d0 .cmp/gt 32, L_0x7f6164a75450, L_0x55a33c6790e0; - .scope S_0x55a33c663e40; -T_0 ; - %wait E_0x55a33c612680; - %load/vec4 v0x55a33c666cd0_0; - %nor/r; - %flag_set/vec4 8; - %jmp/0xz T_0.0, 8; - %pushi/vec4 0, 0, 10; - %assign/vec4 v0x55a33c666b70_0, 0; - %pushi/vec4 0, 0, 10; - %assign/vec4 v0x55a33c666dc0_0, 0; - %jmp T_0.1; -T_0.0 ; - %load/vec4 v0x55a33c666b70_0; - %addi 1, 0, 10; - %assign/vec4 v0x55a33c666b70_0, 0; - %load/vec4 v0x55a33c666b70_0; - %pad/u 32; - %cmpi/e 799, 0, 32; - %jmp/0xz T_0.2, 4; - %pushi/vec4 0, 0, 10; - %assign/vec4 v0x55a33c666b70_0, 0; - %load/vec4 v0x55a33c666dc0_0; - %addi 1, 0, 10; - %assign/vec4 v0x55a33c666dc0_0, 0; -T_0.2 ; - %load/vec4 v0x55a33c666dc0_0; - %pad/u 32; - %cmpi/e 524, 0, 32; - %jmp/0xz T_0.4, 4; - %pushi/vec4 0, 0, 10; - %assign/vec4 v0x55a33c666dc0_0, 0; -T_0.4 ; -T_0.1 ; - %jmp T_0; - .thread T_0; - .scope S_0x55a33c62ae80; -T_1 ; - %pushi/vec4 0, 0, 32; - %store/vec4 v0x55a33c6613c0_0, 0, 32; -T_1.0 ; - %load/vec4 v0x55a33c6613c0_0; - %cmpi/s 16, 0, 32; - %jmp/0xz T_1.1, 5; - %pushi/vec4 10, 0, 16; - %ix/getv/s 4, v0x55a33c6613c0_0; - %store/vec4a v0x55a33c6614f0, 4, 0; - %load/vec4 v0x55a33c6613c0_0; - %addi 1, 0, 32; - %store/vec4 v0x55a33c6613c0_0, 0, 32; - %jmp T_1.0; -T_1.1 ; - %end; - .thread T_1; - .scope S_0x55a33c62ae80; -T_2 ; - %wait E_0x55a33c612680; - %load/vec4 v0x55a33c6615b0_0; - %nor/r; - %flag_set/vec4 8; - %jmp/0xz T_2.0, 8; - %pushi/vec4 0, 0, 16; - %assign/vec4 v0x55a33c6612e0_0, 0; - %jmp T_2.1; -T_2.0 ; - %load/vec4 v0x55a33c661750_0; - %flag_set/vec4 8; - %jmp/0xz T_2.2, 8; - %load/vec4 v0x55a33c661220_0; - %load/vec4 v0x55a33c6405b0_0; - %parti/s 4, 0, 2; - %pad/u 6; - %ix/vec4 3; - %ix/load 4, 0, 0; Constant delay - %assign/vec4/a/d v0x55a33c6614f0, 0, 4; -T_2.2 ; - %load/vec4 v0x55a33c6405b0_0; - %parti/s 4, 0, 2; - %pad/u 6; - %ix/vec4 4; - %load/vec4a v0x55a33c6614f0, 4; - %assign/vec4 v0x55a33c6612e0_0, 0; -T_2.1 ; - %jmp T_2; - .thread T_2; - .scope S_0x55a33c6340b0; -T_3 ; - %wait E_0x55a33c612ef0; - %load/vec4 v0x55a33c662cd0_0; - %dup/vec4; - %pushi/vec4 0, 0, 4; - %cmp/u; - %jmp/1 T_3.0, 6; - %dup/vec4; - %pushi/vec4 1, 0, 4; - %cmp/u; - %jmp/1 T_3.1, 6; - %dup/vec4; - %pushi/vec4 2, 0, 4; - %cmp/u; - %jmp/1 T_3.2, 6; - %dup/vec4; - %pushi/vec4 3, 0, 4; - %cmp/u; - %jmp/1 T_3.3, 6; - %dup/vec4; - %pushi/vec4 4, 0, 4; - %cmp/u; - %jmp/1 T_3.4, 6; - %dup/vec4; - %pushi/vec4 5, 0, 4; - %cmp/u; - %jmp/1 T_3.5, 6; - %dup/vec4; - %pushi/vec4 6, 0, 4; - %cmp/u; - %jmp/1 T_3.6, 6; - %dup/vec4; - %pushi/vec4 7, 0, 4; - %cmp/u; - %jmp/1 T_3.7, 6; - %dup/vec4; - %pushi/vec4 8, 0, 4; - %cmp/u; - %jmp/1 T_3.8, 6; - %dup/vec4; - %pushi/vec4 9, 0, 4; - %cmp/u; - %jmp/1 T_3.9, 6; - %dup/vec4; - %pushi/vec4 10, 0, 4; - %cmp/u; - %jmp/1 T_3.10, 6; - %dup/vec4; - %pushi/vec4 11, 0, 4; - %cmp/u; - %jmp/1 T_3.11, 6; - %dup/vec4; - %pushi/vec4 12, 0, 4; - %cmp/u; - %jmp/1 T_3.12, 6; - %dup/vec4; - %pushi/vec4 13, 0, 4; - %cmp/u; - %jmp/1 T_3.13, 6; - %dup/vec4; - %pushi/vec4 14, 0, 4; - %cmp/u; - %jmp/1 T_3.14, 6; - %dup/vec4; - %pushi/vec4 15, 0, 4; - %cmp/u; - %jmp/1 T_3.15, 6; - %pushi/vec4 192, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.0 ; - %pushi/vec4 3840, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.1 ; - %pushi/vec4 240, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.2 ; - %pushi/vec4 15, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.3 ; - %pushi/vec4 4095, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.4 ; - %pushi/vec4 0, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.5 ; - %pushi/vec4 2184, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.6 ; - %pushi/vec4 3855, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.7 ; - %pushi/vec4 4080, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.8 ; - %pushi/vec4 255, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.9 ; - %pushi/vec4 3276, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.10 ; - %pushi/vec4 2048, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.11 ; - %pushi/vec4 2176, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.12 ; - %pushi/vec4 128, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.13 ; - %pushi/vec4 136, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.14 ; - %pushi/vec4 8, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.15 ; - %pushi/vec4 1799, 0, 12; - %store/vec4 v0x55a33c662f30_0, 0, 12; - %jmp T_3.17; -T_3.17 ; - %pop/vec4 1; - %jmp T_3; - .thread T_3, $push; - .scope S_0x55a33c6340b0; -T_4 ; - %wait E_0x55a33c612680; - %load/vec4 v0x55a33c663770_0; - %nor/r; - %flag_set/vec4 8; - %jmp/0xz T_4.0, 8; - %pushi/vec4 1, 0, 1; - %assign/vec4 v0x55a33c663cc0_0, 0; - %pushi/vec4 0, 0, 4; - %assign/vec4 v0x55a33c662db0_0, 0; - %pushi/vec4 0, 0, 3; - %assign/vec4 v0x55a33c663810_0, 0; - %pushi/vec4 0, 0, 17; - %assign/vec4 v0x55a33c662b10_0, 0; - %pushi/vec4 0, 0, 16; - %assign/vec4 v0x55a33c662ff0_0, 0; - %pushi/vec4 0, 0, 11; - %assign/vec4 v0x55a33c6634d0_0, 0; - %pushi/vec4 0, 0, 4; - %assign/vec4 v0x55a33c662db0_0, 0; - %pushi/vec4 0, 0, 3; - %assign/vec4 v0x55a33c6638d0_0, 0; - %jmp T_4.1; -T_4.0 ; - %load/vec4 v0x55a33c663810_0; - %dup/vec4; - %pushi/vec4 0, 0, 3; - %cmp/u; - %jmp/1 T_4.2, 6; - %dup/vec4; - %pushi/vec4 1, 0, 3; - %cmp/u; - %jmp/1 T_4.3, 6; - %dup/vec4; - %pushi/vec4 2, 0, 3; - %cmp/u; - %jmp/1 T_4.4, 6; - %dup/vec4; - %pushi/vec4 3, 0, 3; - %cmp/u; - %jmp/1 T_4.5, 6; - %pushi/vec4 1, 0, 3; - %assign/vec4 v0x55a33c663810_0, 0; - %jmp T_4.7; -T_4.2 ; - %pushi/vec4 1, 0, 1; - %assign/vec4 v0x55a33c663cc0_0, 0; - %pushi/vec4 1, 0, 3; - %assign/vec4 v0x55a33c663810_0, 0; - %jmp T_4.7; -T_4.3 ; - %load/vec4 v0x55a33c662b10_0; - %pad/u 32; - %cmpi/e 31, 0, 32; - %jmp/0xz T_4.8, 4; - %pushi/vec4 0, 0, 1; - %assign/vec4 v0x55a33c663cc0_0, 0; - %load/vec4 v0x55a33c6633f0_0; - %assign/vec4 v0x55a33c662b10_0, 0; - %pushi/vec4 2, 0, 3; - %assign/vec4 v0x55a33c663810_0, 0; - %jmp T_4.9; -T_4.8 ; - %load/vec4 v0x55a33c662b10_0; - %addi 1, 0, 17; - %assign/vec4 v0x55a33c662b10_0, 0; - %load/vec4 v0x55a33c662db0_0; - %addi 1, 0, 4; - %replicate 4; - %assign/vec4 v0x55a33c662ff0_0, 0; - %load/vec4 v0x55a33c662db0_0; - %addi 1, 0, 4; - %assign/vec4 v0x55a33c662db0_0, 0; -T_4.9 ; - %jmp T_4.7; -T_4.4 ; - %load/vec4 v0x55a33c6634d0_0; - %pad/u 32; - %cmpi/e 8, 0, 32; - %jmp/0xz T_4.10, 4; - %pushi/vec4 3, 0, 3; - %assign/vec4 v0x55a33c663810_0, 0; - %jmp T_4.11; -T_4.10 ; - %load/vec4 v0x55a33c6634d0_0; - %addi 1, 0, 11; - %assign/vec4 v0x55a33c6634d0_0, 0; -T_4.11 ; - %jmp T_4.7; -T_4.5 ; - %load/vec4 v0x55a33c6633f0_0; - %assign/vec4 v0x55a33c662b10_0, 0; - %jmp T_4.7; -T_4.7 ; - %pop/vec4 1; -T_4.1 ; - %jmp T_4; - .thread T_4; - .scope S_0x55a33c631fe0; -T_5 ; - %pushi/vec4 0, 0, 1; - %store/vec4 v0x55a33c6670e0_0, 0, 1; - %pushi/vec4 1, 0, 1; - %store/vec4 v0x55a33c667740_0, 0, 1; - %delay 5, 0; - %pushi/vec4 0, 0, 1; - %store/vec4 v0x55a33c667740_0, 0, 1; - %delay 5, 0; - %pushi/vec4 1, 0, 1; - %store/vec4 v0x55a33c667740_0, 0, 1; - %end; - .thread T_5; - .scope S_0x55a33c631fe0; -T_6 ; - %wait E_0x55a33c6121f0; - %delay 1, 0; - %load/vec4 v0x55a33c6670e0_0; - %inv; - %assign/vec4 v0x55a33c6670e0_0, 0; - %jmp T_6; - .thread T_6, $push; - .scope S_0x55a33c631fe0; -T_7 ; - %vpi_call 2 76 "$dumpfile", "testbench.vcd" {0 0 0}; - %vpi_call 2 77 "$dumpvars" {0 0 0}; - %pushi/vec4 0, 0, 32; - %store/vec4 v0x55a33c6675d0_0, 0, 32; -T_7.0 ; - %load/vec4 v0x55a33c6675d0_0; - %cmpi/s 16, 0, 32; - %jmp/0xz T_7.1, 5; - %vpi_call 2 80 "$dumpvars", 32'sb00000000000000000000000000000000, &A {0 0 0}; - %load/vec4 v0x55a33c6675d0_0; - %addi 1, 0, 32; - %store/vec4 v0x55a33c6675d0_0, 0, 32; - %jmp T_7.0; -T_7.1 ; - %delay 10000, 0; - %vpi_call 2 84 "$finish" {0 0 0}; - %end; - .thread T_7; -# The file index is used to find the file name in the following table. -:file_names 6; - "N/A"; - ""; - "testbench.v"; - "spram_io.v"; - "spram_sim.v"; - "vga_640x480.v"; diff --git a/ice40up5k/spram_test/testbench.v b/ice40up5k/spram_test/testbench.v deleted file mode 100644 index ea5adb4..0000000 --- a/ice40up5k/spram_test/testbench.v +++ /dev/null @@ -1,88 +0,0 @@ -/* - - Simulation: - - iverilog -o tb.out -s tb testbench.v simple_uart.v - vvp tb.out - Then open with GTKWave -*/ - -// Never forget this! -`default_nettype none - -module tb (); - - reg clk; - reg resetn; - wire w_resetn = resetn; - - - initial begin - - // initialise values - clk = 1'b0; - - // reset - resetn = 1'b1; - #5 - resetn = 1'b0; - #5 - resetn = 1'b1; - end - - wire [9:0] hpos; - wire [9:0] vpos; - wire hsync; - wire vsync; - - wire display_valid; - - // instantiate VGA module - vga_640x480 vga ( - .clk_25mhz(clk), - .resetn(resetn), - .hpos(hpos), - .vpos(vpos), - .hsync(hsync), - .vsync(vsync), - .display_valid(display_valid) - ); - - wire data_ready; - wire [3:0] r1; - wire [3:0] g1; - wire [3:0] b1; - spram_io sprio( - .clk(clk), - .resetn(resetn), - .hpos(hpos), - .vpos(vpos), - .r(r1), - .g(g1), - .b(b1), - .data_ready(data_ready) // high when ram is ready to be read - ); - - - // generate clk - always @ ( * ) begin - #1 - clk <= ~clk; - end - - integer jj; - - initial begin - $dumpfile("testbench.vcd"); - $dumpvars; - - for( jj = 0; jj < 16; jj = jj + 1) begin - $dumpvars(0, sprio.spr.mem[jj]); - end - - #10000 - $finish; - end - - -endmodule diff --git a/ice40up5k/spram_test/testbench.vcd b/ice40up5k/spram_test/testbench.vcd deleted file mode 100644 index 1686e5b..0000000 --- a/ice40up5k/spram_test/testbench.vcd +++ /dev/null @@ -1,57044 +0,0 @@ -$date - Sat Jun 13 21:56:28 2020 -$end -$version - Icarus Verilog -$end -$timescale - 1s -$end -$scope module tb $end -$var wire 1 ! w_resetn $end -$var wire 1 " vsync $end -$var wire 10 # vpos [9:0] $end -$var wire 4 $ r1 [3:0] $end -$var wire 1 % hsync $end -$var wire 10 & hpos [9:0] $end -$var wire 4 ' g1 [3:0] $end -$var wire 1 ( display_valid $end -$var wire 1 ) data_ready $end -$var wire 4 * b1 [3:0] $end -$var reg 1 + clk $end -$var reg 1 , resetn $end -$var integer 32 - jj [31:0] $end -$scope module sprio $end -$var wire 1 + clk $end -$var wire 1 , resetn $end -$var wire 17 . w_addr [16:0] $end -$var wire 16 / w_data_in [15:0] $end -$var wire 1 0 w_wren $end -$var wire 10 1 vpos [9:0] $end -$var wire 4 2 r [3:0] $end -$var wire 17 3 pixel_index [16:0] $end -$var wire 17 4 index [16:0] $end -$var wire 10 5 hpos [9:0] $end -$var wire 4 6 g [3:0] $end -$var wire 1 ) data_ready $end -$var wire 16 7 data_out [15:0] $end -$var wire 4 8 cin [3:0] $end -$var wire 4 9 b [3:0] $end -$var reg 17 : addr [16:0] $end -$var reg 4 ; cindex [3:0] $end -$var reg 12 < cout [11:0] $end -$var reg 16 = data_in [15:0] $end -$var reg 11 > nwait [10:0] $end -$var reg 3 ? state [2:0] $end -$var reg 3 @ tmp [2:0] $end -$var reg 1 A wren $end -$scope module spr $end -$var wire 17 B addr [16:0] $end -$var wire 1 + clk $end -$var wire 16 C data_in [15:0] $end -$var wire 1 , resetn $end -$var wire 16 D w_data_out [15:0] $end -$var wire 1 0 wren $end -$var reg 16 E data_out [15:0] $end -$var integer 32 F ii [31:0] $end -$upscope $end -$upscope $end -$scope module vga $end -$var wire 1 + clk_25mhz $end -$var wire 1 ( display_valid $end -$var wire 1 % hsync $end -$var wire 1 , resetn $end -$var wire 1 " vsync $end -$var reg 10 G hpos [9:0] $end -$var reg 10 H vpos [9:0] $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 I \mem[0] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 J \mem[1] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 K \mem[2] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 L \mem[3] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 M \mem[4] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 N \mem[5] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 O \mem[6] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 P \mem[7] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 Q \mem[8] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 R \mem[9] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 S \mem[10] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 T \mem[11] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 U \mem[12] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 V \mem[13] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 W \mem[14] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$scope module tb $end -$scope module sprio $end -$scope module spr $end -$var reg 16 X \mem[15] [15:0] $end -$upscope $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -b1010 X -b1010 W -b1010 V -b1010 U -b1010 T -b1010 S -b1010 R -b1010 Q -b1010 P -b1010 O -b1010 N -b1010 M -b1010 L -b1010 K -b1010 J -b1010 I -bx H -bx G -b10000 F -bx E -bx D -bx C -bx B -xA -bx @ -bx ? -bx > -bx = -bx < -bx ; -bx : -bx 9 -bx 8 -bx 7 -bx 6 -bx 5 -b0xxxxxxxxxxxxxxx 4 -bx 3 -bx 2 -bx 1 -x0 -bx / -bx . -b10000 - -1, -0+ -bx * -x) -x( -bx ' -bx & -x% -bx $ -bx # -x" -1! -$end -#1 -0) -b1 ? -1+ -#2 -0+ -#3 -1+ -#4 -0+ -#5 -b1111 $ -b1111 2 -b0 ' -b0 6 -b0 * -b0 9 -1" -b111100000000 < -1% -1( -b0 4 -b0 8 -b0 3 -b0 # -b0 1 -b0 H -b0 & -b0 5 -b0 G -b0 7 -b0 D -b0 E -b0 @ -b0 > -b0 / -b0 C -b0 = -b0 . -b0 B -b0 : -b0 ? -b0 ; -10 -1A -1+ -0! -0, -#6 -0+ -#7 -1+ -#8 -0+ -#9 -1+ -#10 -0+ -1! -1, -#11 -b1 ? -b0 8 -b1010 7 -b1010 D -b1010 E -b0 I -b1 3 -b1 & -b1 5 -b1 G -1+ -#12 -0+ -#13 -b10 3 -b10 & -b10 5 -b10 G -b0 7 -b0 D -b0 E -b0 I -b1 ; -b1000100010001 / -b1000100010001 C -b1000100010001 = -b1 . -b1 B -b1 : -1+ -#14 -0+ -#15 -b10 ; -b10001000100010 / -b10001000100010 C -b10001000100010 = -b10 . -b10 B -b10 : -b1010 7 -b1010 D -b1010 E -b1000100010001 J -b11 3 -b11 & -b11 5 -b11 G -1+ -#16 -0+ -#17 -b1000 $ -b1000 2 -b100000000000 < -b1 4 -b1010 8 -b100 3 -b100 & -b100 5 -b100 G -b10001000100010 K -b11 ; -b11001100110011 / -b11001100110011 C -b11001100110011 = -b11 . -b11 B -b11 : -1+ -#18 -0+ -#19 -b1111 $ -b1111 2 -b111100000000 < -b0 8 -b100 ; -b100010001000100 / -b100010001000100 C -b100010001000100 = -b100 . -b100 B -b100 : -b11001100110011 L -b101 3 -b101 & -b101 5 -b101 G -1+ -#20 -0+ -#21 -b110 3 -b110 & -b110 5 -b110 G -b100010001000100 M -b101 ; -b101010101010101 / -b101010101010101 C -b101010101010101 = -b101 . -b101 B -b101 : -1+ -#22 -0+ -#23 -b110 ; -b110011001100110 / -b110011001100110 C -b110011001100110 = -b110 . -b110 B -b110 : -b101010101010101 N -b111 3 -b111 & -b111 5 -b111 G -1+ -#24 -0+ -#25 -b1000 $ -b1000 2 -b100000000000 < -b10 4 -b1010 8 -b1000 3 -b1000 & -b1000 5 -b1000 G -b110011001100110 O -b111 ; -b111011101110111 / -b111011101110111 C -b111011101110111 = -b111 . -b111 B -b111 : -1+ -#26 -0+ -#27 -b1111 $ -b1111 2 -b111100000000 < -b0 8 -b1000 ; -b1000100010001000 / -b1000100010001000 C -b1000100010001000 = -b1000 . -b1000 B -b1000 : -b111011101110111 P -b1001 3 -b1001 & -b1001 5 -b1001 G -1+ -#28 -0+ -#29 -b1010 3 -b1010 & -b1010 5 -b1010 G -b1000100010001000 Q -b1001 ; -b1001100110011001 / -b1001100110011001 C -b1001100110011001 = -b1001 . -b1001 B -b1001 : -1+ -#30 -0+ -#31 -b1010 ; -b1010101010101010 / -b1010101010101010 C -b1010101010101010 = -b1010 . -b1010 B -b1010 : -b1001100110011001 R -b1011 3 -b1011 & -b1011 5 -b1011 G -1+ -#32 -0+ -#33 -b1000 $ -b1000 2 -b100000000000 < -b11 4 -b1010 8 -b1100 3 -b1100 & -b1100 5 -b1100 G -b1010101010101010 S -b1011 ; -b1011101110111011 / -b1011101110111011 C -b1011101110111011 = -b1011 . -b1011 B -b1011 : -1+ -#34 -0+ -#35 -b1111 $ -b1111 2 -b111100000000 < -b0 8 -b1100 ; -b1100110011001100 / -b1100110011001100 C -b1100110011001100 = -b1100 . -b1100 B -b1100 : -b1011101110111011 T -b1101 3 -b1101 & -b1101 5 -b1101 G -1+ -#36 -0+ -#37 -b1110 3 -b1110 & -b1110 5 -b1110 G -b1100110011001100 U -b1101 ; -b1101110111011101 / -b1101110111011101 C -b1101110111011101 = -b1101 . -b1101 B -b1101 : -1+ -#38 -0+ -#39 -b1110 ; -b1110111011101110 / -b1110111011101110 C -b1110111011101110 = -b1110 . -b1110 B -b1110 : -b1101110111011101 V -b1111 3 -b1111 & -b1111 5 -b1111 G -1+ -#40 -0+ -#41 -b1000 $ -b1000 2 -b100000000000 < -b100 4 -b1010 8 -b10000 3 -b10000 & -b10000 5 -b10000 G -b1110111011101110 W -b1111 ; -b1111111111111111 / -b1111111111111111 C -b1111111111111111 = -b1111 . -b1111 B -b1111 : -1+ -#42 -0+ -#43 -b1111 $ -b1111 2 -b111100000000 < -b0 8 -b0 ; -b0 / -b0 C -b0 = -b10000 . -b10000 B -b10000 : -b1111111111111111 X -b10001 3 -b10001 & -b10001 5 -b10001 G -1+ -#44 -0+ -#45 -b10010 3 -b10010 & -b10010 5 -b10010 G -b0 7 -b0 D -b0 E -b0 I -b1 ; -b1000100010001 / -b1000100010001 C -b1000100010001 = -b10001 . -b10001 B -b10001 : -1+ -#46 -0+ -#47 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10 ; -b10001000100010 / -b10001000100010 C -b10001000100010 = -b10010 . -b10010 B -b10010 : -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -b1000100010001 J -b10011 3 -b10011 & -b10011 5 -b10011 G -1+ -#48 -0+ -#49 -b0 ' -b0 6 -b1111 * -b1111 9 -b101 4 -b1111 < -b10100 3 -b10100 & -b10100 5 -b10100 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -b10001000100010 K -b11 ; -b11001100110011 / -b11001100110011 C -b11001100110011 = -b10011 . -b10011 B -b10011 : -1+ -#50 -0+ -#51 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100 ; -b100010001000100 / -b100010001000100 C -b100010001000100 = -b10100 . -b10100 B -b10100 : -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -b11001100110011 L -b10101 3 -b10101 & -b10101 5 -b10101 G -1+ -#52 -0+ -#53 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10110 3 -b10110 & -b10110 5 -b10110 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -b100010001000100 M -b101 ; -b101010101010101 / -b101010101010101 C -b101010101010101 = -b10101 . -b10101 B -b10101 : -1+ -#54 -0+ -#55 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b110 ; -b110011001100110 / -b110011001100110 C -b110011001100110 = -b10110 . -b10110 B -b10110 : -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -b101010101010101 N -b10111 3 -b10111 & -b10111 5 -b10111 G -1+ -#56 -0+ -#57 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b110 4 -b111100001111 < -b11000 3 -b11000 & -b11000 5 -b11000 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -b110011001100110 O -b111 ; -b111011101110111 / -b111011101110111 C -b111011101110111 = -b10111 . -b10111 B -b10111 : -1+ -#58 -0+ -#59 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1000 ; -b1000100010001000 / -b1000100010001000 C -b1000100010001000 = -b11000 . -b11000 B -b11000 : -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -b111011101110111 P -b11001 3 -b11001 & -b11001 5 -b11001 G -1+ -#60 -0+ -#61 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11010 3 -b11010 & -b11010 5 -b11010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -b1000100010001000 Q -b1001 ; -b1001100110011001 / -b1001100110011001 C -b1001100110011001 = -b11001 . -b11001 B -b11001 : -1+ -#62 -0+ -#63 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1010 ; -b1010101010101010 / -b1010101010101010 C -b1010101010101010 = -b11010 . -b11010 B -b11010 : -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -b1001100110011001 R -b11011 3 -b11011 & -b11011 5 -b11011 G -1+ -#64 -0+ -#65 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b111 4 -b100000000000 < -b11100 3 -b11100 & -b11100 5 -b11100 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -b1010101010101010 S -b1011 ; -b1011101110111011 / -b1011101110111011 C -b1011101110111011 = -b11011 . -b11011 B -b11011 : -1+ -#66 -0+ -#67 -b1000 ' -b1000 6 -b100010000000 < -b1100 ; -b1100110011001100 / -b1100110011001100 C -b1100110011001100 = -b11100 . -b11100 B -b11100 : -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -b1011101110111011 T -b11101 3 -b11101 & -b11101 5 -b11101 G -1+ -#68 -0+ -#69 -b0 $ -b0 2 -b10000000 < -b11110 3 -b11110 & -b11110 5 -b11110 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -b1100110011001100 U -b1101 ; -b1101110111011101 / -b1101110111011101 C -b1101110111011101 = -b11101 . -b11101 B -b11101 : -1+ -#70 -0+ -#71 -b1000 * -b1000 9 -b10001000 < -b1110 ; -b1110111011101110 / -b1110111011101110 C -b1110111011101110 = -b11110 . -b11110 B -b11110 : -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -b1101110111011101 V -b11111 3 -b11111 & -b11111 5 -b11111 G -1+ -#72 -0+ -#73 -b0 ' -b0 6 -b1000 4 -b1000 < -b100000 3 -b100000 & -b100000 5 -b100000 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -b1110111011101110 W -b1111 ; -b1111111111111111 / -b1111111111111111 C -b1111111111111111 = -b11111 . -b11111 B -b11111 : -1+ -#74 -0+ -#75 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10 ? -b1000 . -b1000 B -b1000 : -00 -0A -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -b1111111111111111 X -b100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#76 -0+ -#77 -b0 $ -b0 2 -b1111 ' -b1111 6 -b1111 * -b1111 9 -b11111111 < -b100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -b1 > -1+ -#78 -0+ -#79 -b10 > -b100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#80 -0+ -#81 -b1001 4 -b100100 3 -b100100 & -b100100 5 -b100100 G -b11 > -1+ -#82 -0+ -#83 -b100 > -b100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#84 -0+ -#85 -b100110 3 -b100110 & -b100110 5 -b100110 G -b101 > -1+ -#86 -0+ -#87 -b110 > -b100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#88 -0+ -#89 -b1010 4 -b101000 3 -b101000 & -b101000 5 -b101000 G -b111 > -1+ -#90 -0+ -#91 -b1000 > -b101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#92 -0+ -#93 -1) -b101010 3 -b101010 & -b101010 5 -b101010 G -b11 ? -1+ -#94 -0+ -#95 -b1010 . -b1010 B -b1010 : -b101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#96 -0+ -#97 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b1011 4 -b100000000000 < -b101100 3 -b101100 & -b101100 5 -b101100 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#98 -0+ -#99 -b1011 . -b1011 B -b1011 : -b101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#100 -0+ -#101 -b1000 ' -b1000 6 -b100010000000 < -b101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#102 -0+ -#103 -b101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#104 -0+ -#105 -b1100 4 -b110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#106 -0+ -#107 -b1100 . -b1100 B -b1100 : -b110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#108 -0+ -#109 -b0 $ -b0 2 -b10000000 < -b110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#110 -0+ -#111 -b110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#112 -0+ -#113 -b1101 4 -b110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#114 -0+ -#115 -b1101 . -b1101 B -b1101 : -b110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#116 -0+ -#117 -b1000 * -b1000 9 -b10001000 < -b110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#118 -0+ -#119 -b110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#120 -0+ -#121 -b1110 4 -b111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#122 -0+ -#123 -b1110 . -b1110 B -b1110 : -b111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#124 -0+ -#125 -b0 ' -b0 6 -b1000 < -b111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#126 -0+ -#127 -b111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#128 -0+ -#129 -b1111 4 -b111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#130 -0+ -#131 -b1111 . -b1111 B -b1111 : -b111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#132 -0+ -#133 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#134 -0+ -#135 -b111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#136 -0+ -#137 -b10000 4 -b1000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#138 -0+ -#139 -b10000 . -b10000 B -b10000 : -b1000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#140 -0+ -#141 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#142 -0+ -#143 -b1000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#144 -0+ -#145 -b10001 4 -b1000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#146 -0+ -#147 -b10001 . -b10001 B -b10001 : -b1000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#148 -0+ -#149 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#150 -0+ -#151 -b1000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#152 -0+ -#153 -b10010 4 -b1001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#154 -0+ -#155 -b10010 . -b10010 B -b10010 : -b1001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#156 -0+ -#157 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#158 -0+ -#159 -b1001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#160 -0+ -#161 -b10011 4 -b1001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#162 -0+ -#163 -b10011 . -b10011 B -b10011 : -b1001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#164 -0+ -#165 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#166 -0+ -#167 -b1001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#168 -0+ -#169 -b10100 4 -b1010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#170 -0+ -#171 -b10100 . -b10100 B -b10100 : -b1010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#172 -0+ -#173 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#174 -0+ -#175 -b1010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#176 -0+ -#177 -b10101 4 -b1010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#178 -0+ -#179 -b10101 . -b10101 B -b10101 : -b1010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#180 -0+ -#181 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#182 -0+ -#183 -b1010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#184 -0+ -#185 -b10110 4 -b1011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#186 -0+ -#187 -b10110 . -b10110 B -b10110 : -b1011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#188 -0+ -#189 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#190 -0+ -#191 -b1011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#192 -0+ -#193 -b10111 4 -b1011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#194 -0+ -#195 -b10111 . -b10111 B -b10111 : -b1011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#196 -0+ -#197 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#198 -0+ -#199 -b1011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#200 -0+ -#201 -b11000 4 -b1100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#202 -0+ -#203 -b11000 . -b11000 B -b11000 : -b1100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#204 -0+ -#205 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#206 -0+ -#207 -b1100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#208 -0+ -#209 -b11001 4 -b1100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#210 -0+ -#211 -b11001 . -b11001 B -b11001 : -b1100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#212 -0+ -#213 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#214 -0+ -#215 -b1100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#216 -0+ -#217 -b11010 4 -b1101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#218 -0+ -#219 -b11010 . -b11010 B -b11010 : -b1101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#220 -0+ -#221 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#222 -0+ -#223 -b1101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#224 -0+ -#225 -b11011 4 -b1101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#226 -0+ -#227 -b11011 . -b11011 B -b11011 : -b1101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#228 -0+ -#229 -b1000 ' -b1000 6 -b100010000000 < -b1101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#230 -0+ -#231 -b1101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#232 -0+ -#233 -b11100 4 -b1110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#234 -0+ -#235 -b11100 . -b11100 B -b11100 : -b1110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#236 -0+ -#237 -b0 $ -b0 2 -b10000000 < -b1110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#238 -0+ -#239 -b1110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#240 -0+ -#241 -b11101 4 -b1110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#242 -0+ -#243 -b11101 . -b11101 B -b11101 : -b1110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#244 -0+ -#245 -b1000 * -b1000 9 -b10001000 < -b1110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#246 -0+ -#247 -b1110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#248 -0+ -#249 -b11110 4 -b1111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#250 -0+ -#251 -b11110 . -b11110 B -b11110 : -b1111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#252 -0+ -#253 -b0 ' -b0 6 -b1000 < -b1111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#254 -0+ -#255 -b1111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#256 -0+ -#257 -b11111 4 -b1111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#258 -0+ -#259 -b11111 . -b11111 B -b11111 : -b1111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#260 -0+ -#261 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#262 -0+ -#263 -b1111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#264 -0+ -#265 -b100000 4 -b10000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#266 -0+ -#267 -b100000 . -b100000 B -b100000 : -b10000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#268 -0+ -#269 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#270 -0+ -#271 -b10000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#272 -0+ -#273 -b100001 4 -b10000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#274 -0+ -#275 -b100001 . -b100001 B -b100001 : -b10000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#276 -0+ -#277 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#278 -0+ -#279 -b10000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#280 -0+ -#281 -b100010 4 -b10001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#282 -0+ -#283 -b100010 . -b100010 B -b100010 : -b10001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#284 -0+ -#285 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#286 -0+ -#287 -b10001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#288 -0+ -#289 -b100011 4 -b10001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#290 -0+ -#291 -b100011 . -b100011 B -b100011 : -b10001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#292 -0+ -#293 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#294 -0+ -#295 -b10001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#296 -0+ -#297 -b100100 4 -b10010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#298 -0+ -#299 -b100100 . -b100100 B -b100100 : -b10010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#300 -0+ -#301 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#302 -0+ -#303 -b10010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#304 -0+ -#305 -b100101 4 -b10010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#306 -0+ -#307 -b100101 . -b100101 B -b100101 : -b10010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#308 -0+ -#309 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#310 -0+ -#311 -b10010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#312 -0+ -#313 -b100110 4 -b10011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#314 -0+ -#315 -b100110 . -b100110 B -b100110 : -b10011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#316 -0+ -#317 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#318 -0+ -#319 -b10011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#320 -0+ -#321 -b100111 4 -b10011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#322 -0+ -#323 -b100111 . -b100111 B -b100111 : -b10011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#324 -0+ -#325 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#326 -0+ -#327 -b10011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#328 -0+ -#329 -b101000 4 -b10100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#330 -0+ -#331 -b101000 . -b101000 B -b101000 : -b10100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#332 -0+ -#333 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#334 -0+ -#335 -b10100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#336 -0+ -#337 -b101001 4 -b10100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#338 -0+ -#339 -b101001 . -b101001 B -b101001 : -b10100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#340 -0+ -#341 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#342 -0+ -#343 -b10100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#344 -0+ -#345 -b101010 4 -b10101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#346 -0+ -#347 -b101010 . -b101010 B -b101010 : -b10101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#348 -0+ -#349 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#350 -0+ -#351 -b10101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#352 -0+ -#353 -b101011 4 -b10101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#354 -0+ -#355 -b101011 . -b101011 B -b101011 : -b10101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#356 -0+ -#357 -b1000 ' -b1000 6 -b100010000000 < -b10101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#358 -0+ -#359 -b10101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#360 -0+ -#361 -b101100 4 -b10110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#362 -0+ -#363 -b101100 . -b101100 B -b101100 : -b10110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#364 -0+ -#365 -b0 $ -b0 2 -b10000000 < -b10110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#366 -0+ -#367 -b10110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#368 -0+ -#369 -b101101 4 -b10110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#370 -0+ -#371 -b101101 . -b101101 B -b101101 : -b10110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#372 -0+ -#373 -b1000 * -b1000 9 -b10001000 < -b10110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#374 -0+ -#375 -b10110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#376 -0+ -#377 -b101110 4 -b10111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#378 -0+ -#379 -b101110 . -b101110 B -b101110 : -b10111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#380 -0+ -#381 -b0 ' -b0 6 -b1000 < -b10111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#382 -0+ -#383 -b10111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#384 -0+ -#385 -b101111 4 -b10111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#386 -0+ -#387 -b101111 . -b101111 B -b101111 : -b10111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#388 -0+ -#389 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#390 -0+ -#391 -b10111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#392 -0+ -#393 -b110000 4 -b11000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#394 -0+ -#395 -b110000 . -b110000 B -b110000 : -b11000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#396 -0+ -#397 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#398 -0+ -#399 -b11000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#400 -0+ -#401 -b110001 4 -b11000100 3 -b11000100 & -b11000100 5 -b11000100 G -1+ -#402 -0+ -#403 -b110001 . -b110001 B -b110001 : -b11000101 3 -b11000101 & -b11000101 5 -b11000101 G -1+ -#404 -0+ -#405 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11000110 3 -b11000110 & -b11000110 5 -b11000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#406 -0+ -#407 -b11000111 3 -b11000111 & -b11000111 5 -b11000111 G -1+ -#408 -0+ -#409 -b110010 4 -b11001000 3 -b11001000 & -b11001000 5 -b11001000 G -1+ -#410 -0+ -#411 -b110010 . -b110010 B -b110010 : -b11001001 3 -b11001001 & -b11001001 5 -b11001001 G -1+ -#412 -0+ -#413 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11001010 3 -b11001010 & -b11001010 5 -b11001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#414 -0+ -#415 -b11001011 3 -b11001011 & -b11001011 5 -b11001011 G -1+ -#416 -0+ -#417 -b110011 4 -b11001100 3 -b11001100 & -b11001100 5 -b11001100 G -1+ -#418 -0+ -#419 -b110011 . -b110011 B -b110011 : -b11001101 3 -b11001101 & -b11001101 5 -b11001101 G -1+ -#420 -0+ -#421 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11001110 3 -b11001110 & -b11001110 5 -b11001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#422 -0+ -#423 -b11001111 3 -b11001111 & -b11001111 5 -b11001111 G -1+ -#424 -0+ -#425 -b110100 4 -b11010000 3 -b11010000 & -b11010000 5 -b11010000 G -1+ -#426 -0+ -#427 -b110100 . -b110100 B -b110100 : -b11010001 3 -b11010001 & -b11010001 5 -b11010001 G -1+ -#428 -0+ -#429 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11010010 3 -b11010010 & -b11010010 5 -b11010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#430 -0+ -#431 -b11010011 3 -b11010011 & -b11010011 5 -b11010011 G -1+ -#432 -0+ -#433 -b110101 4 -b11010100 3 -b11010100 & -b11010100 5 -b11010100 G -1+ -#434 -0+ -#435 -b110101 . -b110101 B -b110101 : -b11010101 3 -b11010101 & -b11010101 5 -b11010101 G -1+ -#436 -0+ -#437 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11010110 3 -b11010110 & -b11010110 5 -b11010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#438 -0+ -#439 -b11010111 3 -b11010111 & -b11010111 5 -b11010111 G -1+ -#440 -0+ -#441 -b110110 4 -b11011000 3 -b11011000 & -b11011000 5 -b11011000 G -1+ -#442 -0+ -#443 -b110110 . -b110110 B -b110110 : -b11011001 3 -b11011001 & -b11011001 5 -b11011001 G -1+ -#444 -0+ -#445 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11011010 3 -b11011010 & -b11011010 5 -b11011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#446 -0+ -#447 -b11011011 3 -b11011011 & -b11011011 5 -b11011011 G -1+ -#448 -0+ -#449 -b110111 4 -b11011100 3 -b11011100 & -b11011100 5 -b11011100 G -1+ -#450 -0+ -#451 -b110111 . -b110111 B -b110111 : -b11011101 3 -b11011101 & -b11011101 5 -b11011101 G -1+ -#452 -0+ -#453 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11011110 3 -b11011110 & -b11011110 5 -b11011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#454 -0+ -#455 -b11011111 3 -b11011111 & -b11011111 5 -b11011111 G -1+ -#456 -0+ -#457 -b111000 4 -b11100000 3 -b11100000 & -b11100000 5 -b11100000 G -1+ -#458 -0+ -#459 -b111000 . -b111000 B -b111000 : -b11100001 3 -b11100001 & -b11100001 5 -b11100001 G -1+ -#460 -0+ -#461 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11100010 3 -b11100010 & -b11100010 5 -b11100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#462 -0+ -#463 -b11100011 3 -b11100011 & -b11100011 5 -b11100011 G -1+ -#464 -0+ -#465 -b111001 4 -b11100100 3 -b11100100 & -b11100100 5 -b11100100 G -1+ -#466 -0+ -#467 -b111001 . -b111001 B -b111001 : -b11100101 3 -b11100101 & -b11100101 5 -b11100101 G -1+ -#468 -0+ -#469 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11100110 3 -b11100110 & -b11100110 5 -b11100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#470 -0+ -#471 -b11100111 3 -b11100111 & -b11100111 5 -b11100111 G -1+ -#472 -0+ -#473 -b111010 4 -b11101000 3 -b11101000 & -b11101000 5 -b11101000 G -1+ -#474 -0+ -#475 -b111010 . -b111010 B -b111010 : -b11101001 3 -b11101001 & -b11101001 5 -b11101001 G -1+ -#476 -0+ -#477 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11101010 3 -b11101010 & -b11101010 5 -b11101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#478 -0+ -#479 -b11101011 3 -b11101011 & -b11101011 5 -b11101011 G -1+ -#480 -0+ -#481 -b111011 4 -b11101100 3 -b11101100 & -b11101100 5 -b11101100 G -1+ -#482 -0+ -#483 -b111011 . -b111011 B -b111011 : -b11101101 3 -b11101101 & -b11101101 5 -b11101101 G -1+ -#484 -0+ -#485 -b1000 ' -b1000 6 -b100010000000 < -b11101110 3 -b11101110 & -b11101110 5 -b11101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#486 -0+ -#487 -b11101111 3 -b11101111 & -b11101111 5 -b11101111 G -1+ -#488 -0+ -#489 -b111100 4 -b11110000 3 -b11110000 & -b11110000 5 -b11110000 G -1+ -#490 -0+ -#491 -b111100 . -b111100 B -b111100 : -b11110001 3 -b11110001 & -b11110001 5 -b11110001 G -1+ -#492 -0+ -#493 -b0 $ -b0 2 -b10000000 < -b11110010 3 -b11110010 & -b11110010 5 -b11110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#494 -0+ -#495 -b11110011 3 -b11110011 & -b11110011 5 -b11110011 G -1+ -#496 -0+ -#497 -b111101 4 -b11110100 3 -b11110100 & -b11110100 5 -b11110100 G -1+ -#498 -0+ -#499 -b111101 . -b111101 B -b111101 : -b11110101 3 -b11110101 & -b11110101 5 -b11110101 G -1+ -#500 -0+ -#501 -b1000 * -b1000 9 -b10001000 < -b11110110 3 -b11110110 & -b11110110 5 -b11110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#502 -0+ -#503 -b11110111 3 -b11110111 & -b11110111 5 -b11110111 G -1+ -#504 -0+ -#505 -b111110 4 -b11111000 3 -b11111000 & -b11111000 5 -b11111000 G -1+ -#506 -0+ -#507 -b111110 . -b111110 B -b111110 : -b11111001 3 -b11111001 & -b11111001 5 -b11111001 G -1+ -#508 -0+ -#509 -b0 ' -b0 6 -b1000 < -b11111010 3 -b11111010 & -b11111010 5 -b11111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#510 -0+ -#511 -b11111011 3 -b11111011 & -b11111011 5 -b11111011 G -1+ -#512 -0+ -#513 -b111111 4 -b11111100 3 -b11111100 & -b11111100 5 -b11111100 G -1+ -#514 -0+ -#515 -b111111 . -b111111 B -b111111 : -b11111101 3 -b11111101 & -b11111101 5 -b11111101 G -1+ -#516 -0+ -#517 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11111110 3 -b11111110 & -b11111110 5 -b11111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#518 -0+ -#519 -b11111111 3 -b11111111 & -b11111111 5 -b11111111 G -1+ -#520 -0+ -#521 -b1000000 4 -b100000000 3 -b100000000 & -b100000000 5 -b100000000 G -1+ -#522 -0+ -#523 -b1000000 . -b1000000 B -b1000000 : -b100000001 3 -b100000001 & -b100000001 5 -b100000001 G -1+ -#524 -0+ -#525 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100000010 3 -b100000010 & -b100000010 5 -b100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#526 -0+ -#527 -b100000011 3 -b100000011 & -b100000011 5 -b100000011 G -1+ -#528 -0+ -#529 -b1000001 4 -b100000100 3 -b100000100 & -b100000100 5 -b100000100 G -1+ -#530 -0+ -#531 -b1000001 . -b1000001 B -b1000001 : -b100000101 3 -b100000101 & -b100000101 5 -b100000101 G -1+ -#532 -0+ -#533 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100000110 3 -b100000110 & -b100000110 5 -b100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#534 -0+ -#535 -b100000111 3 -b100000111 & -b100000111 5 -b100000111 G -1+ -#536 -0+ -#537 -b1000010 4 -b100001000 3 -b100001000 & -b100001000 5 -b100001000 G -1+ -#538 -0+ -#539 -b1000010 . -b1000010 B -b1000010 : -b100001001 3 -b100001001 & -b100001001 5 -b100001001 G -1+ -#540 -0+ -#541 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100001010 3 -b100001010 & -b100001010 5 -b100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#542 -0+ -#543 -b100001011 3 -b100001011 & -b100001011 5 -b100001011 G -1+ -#544 -0+ -#545 -b1000011 4 -b100001100 3 -b100001100 & -b100001100 5 -b100001100 G -1+ -#546 -0+ -#547 -b1000011 . -b1000011 B -b1000011 : -b100001101 3 -b100001101 & -b100001101 5 -b100001101 G -1+ -#548 -0+ -#549 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100001110 3 -b100001110 & -b100001110 5 -b100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#550 -0+ -#551 -b100001111 3 -b100001111 & -b100001111 5 -b100001111 G -1+ -#552 -0+ -#553 -b1000100 4 -b100010000 3 -b100010000 & -b100010000 5 -b100010000 G -1+ -#554 -0+ -#555 -b1000100 . -b1000100 B -b1000100 : -b100010001 3 -b100010001 & -b100010001 5 -b100010001 G -1+ -#556 -0+ -#557 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100010010 3 -b100010010 & -b100010010 5 -b100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#558 -0+ -#559 -b100010011 3 -b100010011 & -b100010011 5 -b100010011 G -1+ -#560 -0+ -#561 -b1000101 4 -b100010100 3 -b100010100 & -b100010100 5 -b100010100 G -1+ -#562 -0+ -#563 -b1000101 . -b1000101 B -b1000101 : -b100010101 3 -b100010101 & -b100010101 5 -b100010101 G -1+ -#564 -0+ -#565 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100010110 3 -b100010110 & -b100010110 5 -b100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#566 -0+ -#567 -b100010111 3 -b100010111 & -b100010111 5 -b100010111 G -1+ -#568 -0+ -#569 -b1000110 4 -b100011000 3 -b100011000 & -b100011000 5 -b100011000 G -1+ -#570 -0+ -#571 -b1000110 . -b1000110 B -b1000110 : -b100011001 3 -b100011001 & -b100011001 5 -b100011001 G -1+ -#572 -0+ -#573 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100011010 3 -b100011010 & -b100011010 5 -b100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#574 -0+ -#575 -b100011011 3 -b100011011 & -b100011011 5 -b100011011 G -1+ -#576 -0+ -#577 -b1000111 4 -b100011100 3 -b100011100 & -b100011100 5 -b100011100 G -1+ -#578 -0+ -#579 -b1000111 . -b1000111 B -b1000111 : -b100011101 3 -b100011101 & -b100011101 5 -b100011101 G -1+ -#580 -0+ -#581 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100011110 3 -b100011110 & -b100011110 5 -b100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#582 -0+ -#583 -b100011111 3 -b100011111 & -b100011111 5 -b100011111 G -1+ -#584 -0+ -#585 -b1001000 4 -b100100000 3 -b100100000 & -b100100000 5 -b100100000 G -1+ -#586 -0+ -#587 -b1001000 . -b1001000 B -b1001000 : -b100100001 3 -b100100001 & -b100100001 5 -b100100001 G -1+ -#588 -0+ -#589 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100100010 3 -b100100010 & -b100100010 5 -b100100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#590 -0+ -#591 -b100100011 3 -b100100011 & -b100100011 5 -b100100011 G -1+ -#592 -0+ -#593 -b1001001 4 -b100100100 3 -b100100100 & -b100100100 5 -b100100100 G -1+ -#594 -0+ -#595 -b1001001 . -b1001001 B -b1001001 : -b100100101 3 -b100100101 & -b100100101 5 -b100100101 G -1+ -#596 -0+ -#597 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100100110 3 -b100100110 & -b100100110 5 -b100100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#598 -0+ -#599 -b100100111 3 -b100100111 & -b100100111 5 -b100100111 G -1+ -#600 -0+ -#601 -b1001010 4 -b100101000 3 -b100101000 & -b100101000 5 -b100101000 G -1+ -#602 -0+ -#603 -b1001010 . -b1001010 B -b1001010 : -b100101001 3 -b100101001 & -b100101001 5 -b100101001 G -1+ -#604 -0+ -#605 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100101010 3 -b100101010 & -b100101010 5 -b100101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#606 -0+ -#607 -b100101011 3 -b100101011 & -b100101011 5 -b100101011 G -1+ -#608 -0+ -#609 -b1001011 4 -b100101100 3 -b100101100 & -b100101100 5 -b100101100 G -1+ -#610 -0+ -#611 -b1001011 . -b1001011 B -b1001011 : -b100101101 3 -b100101101 & -b100101101 5 -b100101101 G -1+ -#612 -0+ -#613 -b1000 ' -b1000 6 -b100010000000 < -b100101110 3 -b100101110 & -b100101110 5 -b100101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#614 -0+ -#615 -b100101111 3 -b100101111 & -b100101111 5 -b100101111 G -1+ -#616 -0+ -#617 -b1001100 4 -b100110000 3 -b100110000 & -b100110000 5 -b100110000 G -1+ -#618 -0+ -#619 -b1001100 . -b1001100 B -b1001100 : -b100110001 3 -b100110001 & -b100110001 5 -b100110001 G -1+ -#620 -0+ -#621 -b0 $ -b0 2 -b10000000 < -b100110010 3 -b100110010 & -b100110010 5 -b100110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#622 -0+ -#623 -b100110011 3 -b100110011 & -b100110011 5 -b100110011 G -1+ -#624 -0+ -#625 -b1001101 4 -b100110100 3 -b100110100 & -b100110100 5 -b100110100 G -1+ -#626 -0+ -#627 -b1001101 . -b1001101 B -b1001101 : -b100110101 3 -b100110101 & -b100110101 5 -b100110101 G -1+ -#628 -0+ -#629 -b1000 * -b1000 9 -b10001000 < -b100110110 3 -b100110110 & -b100110110 5 -b100110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#630 -0+ -#631 -b100110111 3 -b100110111 & -b100110111 5 -b100110111 G -1+ -#632 -0+ -#633 -b1001110 4 -b100111000 3 -b100111000 & -b100111000 5 -b100111000 G -1+ -#634 -0+ -#635 -b1001110 . -b1001110 B -b1001110 : -b100111001 3 -b100111001 & -b100111001 5 -b100111001 G -1+ -#636 -0+ -#637 -b0 ' -b0 6 -b1000 < -b100111010 3 -b100111010 & -b100111010 5 -b100111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#638 -0+ -#639 -b100111011 3 -b100111011 & -b100111011 5 -b100111011 G -1+ -#640 -0+ -#641 -b1001111 4 -b100111100 3 -b100111100 & -b100111100 5 -b100111100 G -1+ -#642 -0+ -#643 -b1001111 . -b1001111 B -b1001111 : -b100111101 3 -b100111101 & -b100111101 5 -b100111101 G -1+ -#644 -0+ -#645 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100111110 3 -b100111110 & -b100111110 5 -b100111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#646 -0+ -#647 -b100111111 3 -b100111111 & -b100111111 5 -b100111111 G -1+ -#648 -0+ -#649 -b1010000 4 -b101000000 3 -b101000000 & -b101000000 5 -b101000000 G -1+ -#650 -0+ -#651 -b1010000 . -b1010000 B -b1010000 : -b101000001 3 -b101000001 & -b101000001 5 -b101000001 G -1+ -#652 -0+ -#653 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b101000010 3 -b101000010 & -b101000010 5 -b101000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#654 -0+ -#655 -b101000011 3 -b101000011 & -b101000011 5 -b101000011 G -1+ -#656 -0+ -#657 -b1010001 4 -b101000100 3 -b101000100 & -b101000100 5 -b101000100 G -1+ -#658 -0+ -#659 -b1010001 . -b1010001 B -b1010001 : -b101000101 3 -b101000101 & -b101000101 5 -b101000101 G -1+ -#660 -0+ -#661 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b101000110 3 -b101000110 & -b101000110 5 -b101000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#662 -0+ -#663 -b101000111 3 -b101000111 & -b101000111 5 -b101000111 G -1+ -#664 -0+ -#665 -b1010010 4 -b101001000 3 -b101001000 & -b101001000 5 -b101001000 G -1+ -#666 -0+ -#667 -b1010010 . -b1010010 B -b1010010 : -b101001001 3 -b101001001 & -b101001001 5 -b101001001 G -1+ -#668 -0+ -#669 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b101001010 3 -b101001010 & -b101001010 5 -b101001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#670 -0+ -#671 -b101001011 3 -b101001011 & -b101001011 5 -b101001011 G -1+ -#672 -0+ -#673 -b1010011 4 -b101001100 3 -b101001100 & -b101001100 5 -b101001100 G -1+ -#674 -0+ -#675 -b1010011 . -b1010011 B -b1010011 : -b101001101 3 -b101001101 & -b101001101 5 -b101001101 G -1+ -#676 -0+ -#677 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b101001110 3 -b101001110 & -b101001110 5 -b101001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#678 -0+ -#679 -b101001111 3 -b101001111 & -b101001111 5 -b101001111 G -1+ -#680 -0+ -#681 -b1010100 4 -b101010000 3 -b101010000 & -b101010000 5 -b101010000 G -1+ -#682 -0+ -#683 -b1010100 . -b1010100 B -b1010100 : -b101010001 3 -b101010001 & -b101010001 5 -b101010001 G -1+ -#684 -0+ -#685 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b101010010 3 -b101010010 & -b101010010 5 -b101010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#686 -0+ -#687 -b101010011 3 -b101010011 & -b101010011 5 -b101010011 G -1+ -#688 -0+ -#689 -b1010101 4 -b101010100 3 -b101010100 & -b101010100 5 -b101010100 G -1+ -#690 -0+ -#691 -b1010101 . -b1010101 B -b1010101 : -b101010101 3 -b101010101 & -b101010101 5 -b101010101 G -1+ -#692 -0+ -#693 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b101010110 3 -b101010110 & -b101010110 5 -b101010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#694 -0+ -#695 -b101010111 3 -b101010111 & -b101010111 5 -b101010111 G -1+ -#696 -0+ -#697 -b1010110 4 -b101011000 3 -b101011000 & -b101011000 5 -b101011000 G -1+ -#698 -0+ -#699 -b1010110 . -b1010110 B -b1010110 : -b101011001 3 -b101011001 & -b101011001 5 -b101011001 G -1+ -#700 -0+ -#701 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b101011010 3 -b101011010 & -b101011010 5 -b101011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#702 -0+ -#703 -b101011011 3 -b101011011 & -b101011011 5 -b101011011 G -1+ -#704 -0+ -#705 -b1010111 4 -b101011100 3 -b101011100 & -b101011100 5 -b101011100 G -1+ -#706 -0+ -#707 -b1010111 . -b1010111 B -b1010111 : -b101011101 3 -b101011101 & -b101011101 5 -b101011101 G -1+ -#708 -0+ -#709 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b101011110 3 -b101011110 & -b101011110 5 -b101011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#710 -0+ -#711 -b101011111 3 -b101011111 & -b101011111 5 -b101011111 G -1+ -#712 -0+ -#713 -b1011000 4 -b101100000 3 -b101100000 & -b101100000 5 -b101100000 G -1+ -#714 -0+ -#715 -b1011000 . -b1011000 B -b1011000 : -b101100001 3 -b101100001 & -b101100001 5 -b101100001 G -1+ -#716 -0+ -#717 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b101100010 3 -b101100010 & -b101100010 5 -b101100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#718 -0+ -#719 -b101100011 3 -b101100011 & -b101100011 5 -b101100011 G -1+ -#720 -0+ -#721 -b1011001 4 -b101100100 3 -b101100100 & -b101100100 5 -b101100100 G -1+ -#722 -0+ -#723 -b1011001 . -b1011001 B -b1011001 : -b101100101 3 -b101100101 & -b101100101 5 -b101100101 G -1+ -#724 -0+ -#725 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b101100110 3 -b101100110 & -b101100110 5 -b101100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#726 -0+ -#727 -b101100111 3 -b101100111 & -b101100111 5 -b101100111 G -1+ -#728 -0+ -#729 -b1011010 4 -b101101000 3 -b101101000 & -b101101000 5 -b101101000 G -1+ -#730 -0+ -#731 -b1011010 . -b1011010 B -b1011010 : -b101101001 3 -b101101001 & -b101101001 5 -b101101001 G -1+ -#732 -0+ -#733 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b101101010 3 -b101101010 & -b101101010 5 -b101101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#734 -0+ -#735 -b101101011 3 -b101101011 & -b101101011 5 -b101101011 G -1+ -#736 -0+ -#737 -b1011011 4 -b101101100 3 -b101101100 & -b101101100 5 -b101101100 G -1+ -#738 -0+ -#739 -b1011011 . -b1011011 B -b1011011 : -b101101101 3 -b101101101 & -b101101101 5 -b101101101 G -1+ -#740 -0+ -#741 -b1000 ' -b1000 6 -b100010000000 < -b101101110 3 -b101101110 & -b101101110 5 -b101101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#742 -0+ -#743 -b101101111 3 -b101101111 & -b101101111 5 -b101101111 G -1+ -#744 -0+ -#745 -b1011100 4 -b101110000 3 -b101110000 & -b101110000 5 -b101110000 G -1+ -#746 -0+ -#747 -b1011100 . -b1011100 B -b1011100 : -b101110001 3 -b101110001 & -b101110001 5 -b101110001 G -1+ -#748 -0+ -#749 -b0 $ -b0 2 -b10000000 < -b101110010 3 -b101110010 & -b101110010 5 -b101110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#750 -0+ -#751 -b101110011 3 -b101110011 & -b101110011 5 -b101110011 G -1+ -#752 -0+ -#753 -b1011101 4 -b101110100 3 -b101110100 & -b101110100 5 -b101110100 G -1+ -#754 -0+ -#755 -b1011101 . -b1011101 B -b1011101 : -b101110101 3 -b101110101 & -b101110101 5 -b101110101 G -1+ -#756 -0+ -#757 -b1000 * -b1000 9 -b10001000 < -b101110110 3 -b101110110 & -b101110110 5 -b101110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#758 -0+ -#759 -b101110111 3 -b101110111 & -b101110111 5 -b101110111 G -1+ -#760 -0+ -#761 -b1011110 4 -b101111000 3 -b101111000 & -b101111000 5 -b101111000 G -1+ -#762 -0+ -#763 -b1011110 . -b1011110 B -b1011110 : -b101111001 3 -b101111001 & -b101111001 5 -b101111001 G -1+ -#764 -0+ -#765 -b0 ' -b0 6 -b1000 < -b101111010 3 -b101111010 & -b101111010 5 -b101111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#766 -0+ -#767 -b101111011 3 -b101111011 & -b101111011 5 -b101111011 G -1+ -#768 -0+ -#769 -b1011111 4 -b101111100 3 -b101111100 & -b101111100 5 -b101111100 G -1+ -#770 -0+ -#771 -b1011111 . -b1011111 B -b1011111 : -b101111101 3 -b101111101 & -b101111101 5 -b101111101 G -1+ -#772 -0+ -#773 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b101111110 3 -b101111110 & -b101111110 5 -b101111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#774 -0+ -#775 -b101111111 3 -b101111111 & -b101111111 5 -b101111111 G -1+ -#776 -0+ -#777 -b1100000 4 -b110000000 3 -b110000000 & -b110000000 5 -b110000000 G -1+ -#778 -0+ -#779 -b1100000 . -b1100000 B -b1100000 : -b110000001 3 -b110000001 & -b110000001 5 -b110000001 G -1+ -#780 -0+ -#781 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b110000010 3 -b110000010 & -b110000010 5 -b110000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#782 -0+ -#783 -b110000011 3 -b110000011 & -b110000011 5 -b110000011 G -1+ -#784 -0+ -#785 -b1100001 4 -b110000100 3 -b110000100 & -b110000100 5 -b110000100 G -1+ -#786 -0+ -#787 -b1100001 . -b1100001 B -b1100001 : -b110000101 3 -b110000101 & -b110000101 5 -b110000101 G -1+ -#788 -0+ -#789 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b110000110 3 -b110000110 & -b110000110 5 -b110000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#790 -0+ -#791 -b110000111 3 -b110000111 & -b110000111 5 -b110000111 G -1+ -#792 -0+ -#793 -b1100010 4 -b110001000 3 -b110001000 & -b110001000 5 -b110001000 G -1+ -#794 -0+ -#795 -b1100010 . -b1100010 B -b1100010 : -b110001001 3 -b110001001 & -b110001001 5 -b110001001 G -1+ -#796 -0+ -#797 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b110001010 3 -b110001010 & -b110001010 5 -b110001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#798 -0+ -#799 -b110001011 3 -b110001011 & -b110001011 5 -b110001011 G -1+ -#800 -0+ -#801 -b1100011 4 -b110001100 3 -b110001100 & -b110001100 5 -b110001100 G -1+ -#802 -0+ -#803 -b1100011 . -b1100011 B -b1100011 : -b110001101 3 -b110001101 & -b110001101 5 -b110001101 G -1+ -#804 -0+ -#805 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b110001110 3 -b110001110 & -b110001110 5 -b110001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#806 -0+ -#807 -b110001111 3 -b110001111 & -b110001111 5 -b110001111 G -1+ -#808 -0+ -#809 -b1100100 4 -b110010000 3 -b110010000 & -b110010000 5 -b110010000 G -1+ -#810 -0+ -#811 -b1100100 . -b1100100 B -b1100100 : -b110010001 3 -b110010001 & -b110010001 5 -b110010001 G -1+ -#812 -0+ -#813 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b110010010 3 -b110010010 & -b110010010 5 -b110010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#814 -0+ -#815 -b110010011 3 -b110010011 & -b110010011 5 -b110010011 G -1+ -#816 -0+ -#817 -b1100101 4 -b110010100 3 -b110010100 & -b110010100 5 -b110010100 G -1+ -#818 -0+ -#819 -b1100101 . -b1100101 B -b1100101 : -b110010101 3 -b110010101 & -b110010101 5 -b110010101 G -1+ -#820 -0+ -#821 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b110010110 3 -b110010110 & -b110010110 5 -b110010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#822 -0+ -#823 -b110010111 3 -b110010111 & -b110010111 5 -b110010111 G -1+ -#824 -0+ -#825 -b1100110 4 -b110011000 3 -b110011000 & -b110011000 5 -b110011000 G -1+ -#826 -0+ -#827 -b1100110 . -b1100110 B -b1100110 : -b110011001 3 -b110011001 & -b110011001 5 -b110011001 G -1+ -#828 -0+ -#829 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b110011010 3 -b110011010 & -b110011010 5 -b110011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#830 -0+ -#831 -b110011011 3 -b110011011 & -b110011011 5 -b110011011 G -1+ -#832 -0+ -#833 -b1100111 4 -b110011100 3 -b110011100 & -b110011100 5 -b110011100 G -1+ -#834 -0+ -#835 -b1100111 . -b1100111 B -b1100111 : -b110011101 3 -b110011101 & -b110011101 5 -b110011101 G -1+ -#836 -0+ -#837 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b110011110 3 -b110011110 & -b110011110 5 -b110011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#838 -0+ -#839 -b110011111 3 -b110011111 & -b110011111 5 -b110011111 G -1+ -#840 -0+ -#841 -b1101000 4 -b110100000 3 -b110100000 & -b110100000 5 -b110100000 G -1+ -#842 -0+ -#843 -b1101000 . -b1101000 B -b1101000 : -b110100001 3 -b110100001 & -b110100001 5 -b110100001 G -1+ -#844 -0+ -#845 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b110100010 3 -b110100010 & -b110100010 5 -b110100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#846 -0+ -#847 -b110100011 3 -b110100011 & -b110100011 5 -b110100011 G -1+ -#848 -0+ -#849 -b1101001 4 -b110100100 3 -b110100100 & -b110100100 5 -b110100100 G -1+ -#850 -0+ -#851 -b1101001 . -b1101001 B -b1101001 : -b110100101 3 -b110100101 & -b110100101 5 -b110100101 G -1+ -#852 -0+ -#853 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b110100110 3 -b110100110 & -b110100110 5 -b110100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#854 -0+ -#855 -b110100111 3 -b110100111 & -b110100111 5 -b110100111 G -1+ -#856 -0+ -#857 -b1101010 4 -b110101000 3 -b110101000 & -b110101000 5 -b110101000 G -1+ -#858 -0+ -#859 -b1101010 . -b1101010 B -b1101010 : -b110101001 3 -b110101001 & -b110101001 5 -b110101001 G -1+ -#860 -0+ -#861 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b110101010 3 -b110101010 & -b110101010 5 -b110101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#862 -0+ -#863 -b110101011 3 -b110101011 & -b110101011 5 -b110101011 G -1+ -#864 -0+ -#865 -b1101011 4 -b110101100 3 -b110101100 & -b110101100 5 -b110101100 G -1+ -#866 -0+ -#867 -b1101011 . -b1101011 B -b1101011 : -b110101101 3 -b110101101 & -b110101101 5 -b110101101 G -1+ -#868 -0+ -#869 -b1000 ' -b1000 6 -b100010000000 < -b110101110 3 -b110101110 & -b110101110 5 -b110101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#870 -0+ -#871 -b110101111 3 -b110101111 & -b110101111 5 -b110101111 G -1+ -#872 -0+ -#873 -b1101100 4 -b110110000 3 -b110110000 & -b110110000 5 -b110110000 G -1+ -#874 -0+ -#875 -b1101100 . -b1101100 B -b1101100 : -b110110001 3 -b110110001 & -b110110001 5 -b110110001 G -1+ -#876 -0+ -#877 -b0 $ -b0 2 -b10000000 < -b110110010 3 -b110110010 & -b110110010 5 -b110110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#878 -0+ -#879 -b110110011 3 -b110110011 & -b110110011 5 -b110110011 G -1+ -#880 -0+ -#881 -b1101101 4 -b110110100 3 -b110110100 & -b110110100 5 -b110110100 G -1+ -#882 -0+ -#883 -b1101101 . -b1101101 B -b1101101 : -b110110101 3 -b110110101 & -b110110101 5 -b110110101 G -1+ -#884 -0+ -#885 -b1000 * -b1000 9 -b10001000 < -b110110110 3 -b110110110 & -b110110110 5 -b110110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#886 -0+ -#887 -b110110111 3 -b110110111 & -b110110111 5 -b110110111 G -1+ -#888 -0+ -#889 -b1101110 4 -b110111000 3 -b110111000 & -b110111000 5 -b110111000 G -1+ -#890 -0+ -#891 -b1101110 . -b1101110 B -b1101110 : -b110111001 3 -b110111001 & -b110111001 5 -b110111001 G -1+ -#892 -0+ -#893 -b0 ' -b0 6 -b1000 < -b110111010 3 -b110111010 & -b110111010 5 -b110111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#894 -0+ -#895 -b110111011 3 -b110111011 & -b110111011 5 -b110111011 G -1+ -#896 -0+ -#897 -b1101111 4 -b110111100 3 -b110111100 & -b110111100 5 -b110111100 G -1+ -#898 -0+ -#899 -b1101111 . -b1101111 B -b1101111 : -b110111101 3 -b110111101 & -b110111101 5 -b110111101 G -1+ -#900 -0+ -#901 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b110111110 3 -b110111110 & -b110111110 5 -b110111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#902 -0+ -#903 -b110111111 3 -b110111111 & -b110111111 5 -b110111111 G -1+ -#904 -0+ -#905 -b1110000 4 -b111000000 3 -b111000000 & -b111000000 5 -b111000000 G -1+ -#906 -0+ -#907 -b1110000 . -b1110000 B -b1110000 : -b111000001 3 -b111000001 & -b111000001 5 -b111000001 G -1+ -#908 -0+ -#909 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b111000010 3 -b111000010 & -b111000010 5 -b111000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#910 -0+ -#911 -b111000011 3 -b111000011 & -b111000011 5 -b111000011 G -1+ -#912 -0+ -#913 -b1110001 4 -b111000100 3 -b111000100 & -b111000100 5 -b111000100 G -1+ -#914 -0+ -#915 -b1110001 . -b1110001 B -b1110001 : -b111000101 3 -b111000101 & -b111000101 5 -b111000101 G -1+ -#916 -0+ -#917 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b111000110 3 -b111000110 & -b111000110 5 -b111000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#918 -0+ -#919 -b111000111 3 -b111000111 & -b111000111 5 -b111000111 G -1+ -#920 -0+ -#921 -b1110010 4 -b111001000 3 -b111001000 & -b111001000 5 -b111001000 G -1+ -#922 -0+ -#923 -b1110010 . -b1110010 B -b1110010 : -b111001001 3 -b111001001 & -b111001001 5 -b111001001 G -1+ -#924 -0+ -#925 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b111001010 3 -b111001010 & -b111001010 5 -b111001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#926 -0+ -#927 -b111001011 3 -b111001011 & -b111001011 5 -b111001011 G -1+ -#928 -0+ -#929 -b1110011 4 -b111001100 3 -b111001100 & -b111001100 5 -b111001100 G -1+ -#930 -0+ -#931 -b1110011 . -b1110011 B -b1110011 : -b111001101 3 -b111001101 & -b111001101 5 -b111001101 G -1+ -#932 -0+ -#933 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b111001110 3 -b111001110 & -b111001110 5 -b111001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#934 -0+ -#935 -b111001111 3 -b111001111 & -b111001111 5 -b111001111 G -1+ -#936 -0+ -#937 -b1110100 4 -b111010000 3 -b111010000 & -b111010000 5 -b111010000 G -1+ -#938 -0+ -#939 -b1110100 . -b1110100 B -b1110100 : -b111010001 3 -b111010001 & -b111010001 5 -b111010001 G -1+ -#940 -0+ -#941 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b111010010 3 -b111010010 & -b111010010 5 -b111010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#942 -0+ -#943 -b111010011 3 -b111010011 & -b111010011 5 -b111010011 G -1+ -#944 -0+ -#945 -b1110101 4 -b111010100 3 -b111010100 & -b111010100 5 -b111010100 G -1+ -#946 -0+ -#947 -b1110101 . -b1110101 B -b1110101 : -b111010101 3 -b111010101 & -b111010101 5 -b111010101 G -1+ -#948 -0+ -#949 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b111010110 3 -b111010110 & -b111010110 5 -b111010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#950 -0+ -#951 -b111010111 3 -b111010111 & -b111010111 5 -b111010111 G -1+ -#952 -0+ -#953 -b1110110 4 -b111011000 3 -b111011000 & -b111011000 5 -b111011000 G -1+ -#954 -0+ -#955 -b1110110 . -b1110110 B -b1110110 : -b111011001 3 -b111011001 & -b111011001 5 -b111011001 G -1+ -#956 -0+ -#957 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b111011010 3 -b111011010 & -b111011010 5 -b111011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#958 -0+ -#959 -b111011011 3 -b111011011 & -b111011011 5 -b111011011 G -1+ -#960 -0+ -#961 -b1110111 4 -b111011100 3 -b111011100 & -b111011100 5 -b111011100 G -1+ -#962 -0+ -#963 -b1110111 . -b1110111 B -b1110111 : -b111011101 3 -b111011101 & -b111011101 5 -b111011101 G -1+ -#964 -0+ -#965 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b111011110 3 -b111011110 & -b111011110 5 -b111011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#966 -0+ -#967 -b111011111 3 -b111011111 & -b111011111 5 -b111011111 G -1+ -#968 -0+ -#969 -b1111000 4 -b111100000 3 -b111100000 & -b111100000 5 -b111100000 G -1+ -#970 -0+ -#971 -b1111000 . -b1111000 B -b1111000 : -b111100001 3 -b111100001 & -b111100001 5 -b111100001 G -1+ -#972 -0+ -#973 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b111100010 3 -b111100010 & -b111100010 5 -b111100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#974 -0+ -#975 -b111100011 3 -b111100011 & -b111100011 5 -b111100011 G -1+ -#976 -0+ -#977 -b1111001 4 -b111100100 3 -b111100100 & -b111100100 5 -b111100100 G -1+ -#978 -0+ -#979 -b1111001 . -b1111001 B -b1111001 : -b111100101 3 -b111100101 & -b111100101 5 -b111100101 G -1+ -#980 -0+ -#981 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b111100110 3 -b111100110 & -b111100110 5 -b111100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#982 -0+ -#983 -b111100111 3 -b111100111 & -b111100111 5 -b111100111 G -1+ -#984 -0+ -#985 -b1111010 4 -b111101000 3 -b111101000 & -b111101000 5 -b111101000 G -1+ -#986 -0+ -#987 -b1111010 . -b1111010 B -b1111010 : -b111101001 3 -b111101001 & -b111101001 5 -b111101001 G -1+ -#988 -0+ -#989 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b111101010 3 -b111101010 & -b111101010 5 -b111101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#990 -0+ -#991 -b111101011 3 -b111101011 & -b111101011 5 -b111101011 G -1+ -#992 -0+ -#993 -b1111011 4 -b111101100 3 -b111101100 & -b111101100 5 -b111101100 G -1+ -#994 -0+ -#995 -b1111011 . -b1111011 B -b1111011 : -b111101101 3 -b111101101 & -b111101101 5 -b111101101 G -1+ -#996 -0+ -#997 -b1000 ' -b1000 6 -b100010000000 < -b111101110 3 -b111101110 & -b111101110 5 -b111101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#998 -0+ -#999 -b111101111 3 -b111101111 & -b111101111 5 -b111101111 G -1+ -#1000 -0+ -#1001 -b1111100 4 -b111110000 3 -b111110000 & -b111110000 5 -b111110000 G -1+ -#1002 -0+ -#1003 -b1111100 . -b1111100 B -b1111100 : -b111110001 3 -b111110001 & -b111110001 5 -b111110001 G -1+ -#1004 -0+ -#1005 -b0 $ -b0 2 -b10000000 < -b111110010 3 -b111110010 & -b111110010 5 -b111110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1006 -0+ -#1007 -b111110011 3 -b111110011 & -b111110011 5 -b111110011 G -1+ -#1008 -0+ -#1009 -b1111101 4 -b111110100 3 -b111110100 & -b111110100 5 -b111110100 G -1+ -#1010 -0+ -#1011 -b1111101 . -b1111101 B -b1111101 : -b111110101 3 -b111110101 & -b111110101 5 -b111110101 G -1+ -#1012 -0+ -#1013 -b1000 * -b1000 9 -b10001000 < -b111110110 3 -b111110110 & -b111110110 5 -b111110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1014 -0+ -#1015 -b111110111 3 -b111110111 & -b111110111 5 -b111110111 G -1+ -#1016 -0+ -#1017 -b1111110 4 -b111111000 3 -b111111000 & -b111111000 5 -b111111000 G -1+ -#1018 -0+ -#1019 -b1111110 . -b1111110 B -b1111110 : -b111111001 3 -b111111001 & -b111111001 5 -b111111001 G -1+ -#1020 -0+ -#1021 -b0 ' -b0 6 -b1000 < -b111111010 3 -b111111010 & -b111111010 5 -b111111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1022 -0+ -#1023 -b111111011 3 -b111111011 & -b111111011 5 -b111111011 G -1+ -#1024 -0+ -#1025 -b1111111 4 -b111111100 3 -b111111100 & -b111111100 5 -b111111100 G -1+ -#1026 -0+ -#1027 -b1111111 . -b1111111 B -b1111111 : -b111111101 3 -b111111101 & -b111111101 5 -b111111101 G -1+ -#1028 -0+ -#1029 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b111111110 3 -b111111110 & -b111111110 5 -b111111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1030 -0+ -#1031 -b111111111 3 -b111111111 & -b111111111 5 -b111111111 G -1+ -#1032 -0+ -#1033 -b10000000 4 -b1000000000 3 -b1000000000 & -b1000000000 5 -b1000000000 G -1+ -#1034 -0+ -#1035 -b10000000 . -b10000000 B -b10000000 : -b1000000001 3 -b1000000001 & -b1000000001 5 -b1000000001 G -1+ -#1036 -0+ -#1037 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1000000010 3 -b1000000010 & -b1000000010 5 -b1000000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1038 -0+ -#1039 -b1000000011 3 -b1000000011 & -b1000000011 5 -b1000000011 G -1+ -#1040 -0+ -#1041 -b10000001 4 -b1000000100 3 -b1000000100 & -b1000000100 5 -b1000000100 G -1+ -#1042 -0+ -#1043 -b10000001 . -b10000001 B -b10000001 : -b1000000101 3 -b1000000101 & -b1000000101 5 -b1000000101 G -1+ -#1044 -0+ -#1045 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1000000110 3 -b1000000110 & -b1000000110 5 -b1000000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1046 -0+ -#1047 -b1000000111 3 -b1000000111 & -b1000000111 5 -b1000000111 G -1+ -#1048 -0+ -#1049 -b10000010 4 -b1000001000 3 -b1000001000 & -b1000001000 5 -b1000001000 G -1+ -#1050 -0+ -#1051 -b10000010 . -b10000010 B -b10000010 : -b1000001001 3 -b1000001001 & -b1000001001 5 -b1000001001 G -1+ -#1052 -0+ -#1053 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1000001010 3 -b1000001010 & -b1000001010 5 -b1000001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1054 -0+ -#1055 -b1000001011 3 -b1000001011 & -b1000001011 5 -b1000001011 G -1+ -#1056 -0+ -#1057 -b10000011 4 -b1000001100 3 -b1000001100 & -b1000001100 5 -b1000001100 G -1+ -#1058 -0+ -#1059 -b10000011 . -b10000011 B -b10000011 : -b1000001101 3 -b1000001101 & -b1000001101 5 -b1000001101 G -1+ -#1060 -0+ -#1061 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1000001110 3 -b1000001110 & -b1000001110 5 -b1000001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1062 -0+ -#1063 -b1000001111 3 -b1000001111 & -b1000001111 5 -b1000001111 G -1+ -#1064 -0+ -#1065 -b10000100 4 -b1000010000 3 -b1000010000 & -b1000010000 5 -b1000010000 G -1+ -#1066 -0+ -#1067 -b10000100 . -b10000100 B -b10000100 : -b1000010001 3 -b1000010001 & -b1000010001 5 -b1000010001 G -1+ -#1068 -0+ -#1069 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1000010010 3 -b1000010010 & -b1000010010 5 -b1000010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1070 -0+ -#1071 -b1000010011 3 -b1000010011 & -b1000010011 5 -b1000010011 G -1+ -#1072 -0+ -#1073 -b10000101 4 -b1000010100 3 -b1000010100 & -b1000010100 5 -b1000010100 G -1+ -#1074 -0+ -#1075 -b10000101 . -b10000101 B -b10000101 : -b1000010101 3 -b1000010101 & -b1000010101 5 -b1000010101 G -1+ -#1076 -0+ -#1077 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1000010110 3 -b1000010110 & -b1000010110 5 -b1000010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1078 -0+ -#1079 -b1000010111 3 -b1000010111 & -b1000010111 5 -b1000010111 G -1+ -#1080 -0+ -#1081 -b10000110 4 -b1000011000 3 -b1000011000 & -b1000011000 5 -b1000011000 G -1+ -#1082 -0+ -#1083 -b10000110 . -b10000110 B -b10000110 : -b1000011001 3 -b1000011001 & -b1000011001 5 -b1000011001 G -1+ -#1084 -0+ -#1085 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1000011010 3 -b1000011010 & -b1000011010 5 -b1000011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1086 -0+ -#1087 -b1000011011 3 -b1000011011 & -b1000011011 5 -b1000011011 G -1+ -#1088 -0+ -#1089 -b10000111 4 -b1000011100 3 -b1000011100 & -b1000011100 5 -b1000011100 G -1+ -#1090 -0+ -#1091 -b10000111 . -b10000111 B -b10000111 : -b1000011101 3 -b1000011101 & -b1000011101 5 -b1000011101 G -1+ -#1092 -0+ -#1093 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1000011110 3 -b1000011110 & -b1000011110 5 -b1000011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1094 -0+ -#1095 -b1000011111 3 -b1000011111 & -b1000011111 5 -b1000011111 G -1+ -#1096 -0+ -#1097 -b10001000 4 -b1000100000 3 -b1000100000 & -b1000100000 5 -b1000100000 G -1+ -#1098 -0+ -#1099 -b10001000 . -b10001000 B -b10001000 : -b1000100001 3 -b1000100001 & -b1000100001 5 -b1000100001 G -1+ -#1100 -0+ -#1101 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1000100010 3 -b1000100010 & -b1000100010 5 -b1000100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1102 -0+ -#1103 -b1000100011 3 -b1000100011 & -b1000100011 5 -b1000100011 G -1+ -#1104 -0+ -#1105 -b10001001 4 -b1000100100 3 -b1000100100 & -b1000100100 5 -b1000100100 G -1+ -#1106 -0+ -#1107 -b10001001 . -b10001001 B -b10001001 : -b1000100101 3 -b1000100101 & -b1000100101 5 -b1000100101 G -1+ -#1108 -0+ -#1109 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1000100110 3 -b1000100110 & -b1000100110 5 -b1000100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1110 -0+ -#1111 -b1000100111 3 -b1000100111 & -b1000100111 5 -b1000100111 G -1+ -#1112 -0+ -#1113 -b10001010 4 -b1000101000 3 -b1000101000 & -b1000101000 5 -b1000101000 G -1+ -#1114 -0+ -#1115 -b10001010 . -b10001010 B -b10001010 : -b1000101001 3 -b1000101001 & -b1000101001 5 -b1000101001 G -1+ -#1116 -0+ -#1117 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1000101010 3 -b1000101010 & -b1000101010 5 -b1000101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1118 -0+ -#1119 -b1000101011 3 -b1000101011 & -b1000101011 5 -b1000101011 G -1+ -#1120 -0+ -#1121 -b10001011 4 -b1000101100 3 -b1000101100 & -b1000101100 5 -b1000101100 G -1+ -#1122 -0+ -#1123 -b10001011 . -b10001011 B -b10001011 : -b1000101101 3 -b1000101101 & -b1000101101 5 -b1000101101 G -1+ -#1124 -0+ -#1125 -b1000 ' -b1000 6 -b100010000000 < -b1000101110 3 -b1000101110 & -b1000101110 5 -b1000101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1126 -0+ -#1127 -b1000101111 3 -b1000101111 & -b1000101111 5 -b1000101111 G -1+ -#1128 -0+ -#1129 -b10001100 4 -b1000110000 3 -b1000110000 & -b1000110000 5 -b1000110000 G -1+ -#1130 -0+ -#1131 -b10001100 . -b10001100 B -b10001100 : -b1000110001 3 -b1000110001 & -b1000110001 5 -b1000110001 G -1+ -#1132 -0+ -#1133 -b0 $ -b0 2 -b10000000 < -b1000110010 3 -b1000110010 & -b1000110010 5 -b1000110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1134 -0+ -#1135 -b1000110011 3 -b1000110011 & -b1000110011 5 -b1000110011 G -1+ -#1136 -0+ -#1137 -b10001101 4 -b1000110100 3 -b1000110100 & -b1000110100 5 -b1000110100 G -1+ -#1138 -0+ -#1139 -b10001101 . -b10001101 B -b10001101 : -b1000110101 3 -b1000110101 & -b1000110101 5 -b1000110101 G -1+ -#1140 -0+ -#1141 -b1000 * -b1000 9 -b10001000 < -b1000110110 3 -b1000110110 & -b1000110110 5 -b1000110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1142 -0+ -#1143 -b1000110111 3 -b1000110111 & -b1000110111 5 -b1000110111 G -1+ -#1144 -0+ -#1145 -b10001110 4 -b1000111000 3 -b1000111000 & -b1000111000 5 -b1000111000 G -1+ -#1146 -0+ -#1147 -b10001110 . -b10001110 B -b10001110 : -b1000111001 3 -b1000111001 & -b1000111001 5 -b1000111001 G -1+ -#1148 -0+ -#1149 -b0 ' -b0 6 -b1000 < -b1000111010 3 -b1000111010 & -b1000111010 5 -b1000111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1150 -0+ -#1151 -b1000111011 3 -b1000111011 & -b1000111011 5 -b1000111011 G -1+ -#1152 -0+ -#1153 -b10001111 4 -b1000111100 3 -b1000111100 & -b1000111100 5 -b1000111100 G -1+ -#1154 -0+ -#1155 -b10001111 . -b10001111 B -b10001111 : -b1000111101 3 -b1000111101 & -b1000111101 5 -b1000111101 G -1+ -#1156 -0+ -#1157 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1000111110 3 -b1000111110 & -b1000111110 5 -b1000111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1158 -0+ -#1159 -b1000111111 3 -b1000111111 & -b1000111111 5 -b1000111111 G -1+ -#1160 -0+ -#1161 -b10010000 4 -b1001000000 3 -b1001000000 & -b1001000000 5 -b1001000000 G -1+ -#1162 -0+ -#1163 -b10010000 . -b10010000 B -b10010000 : -b1001000001 3 -b1001000001 & -b1001000001 5 -b1001000001 G -1+ -#1164 -0+ -#1165 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1001000010 3 -b1001000010 & -b1001000010 5 -b1001000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1166 -0+ -#1167 -b1001000011 3 -b1001000011 & -b1001000011 5 -b1001000011 G -1+ -#1168 -0+ -#1169 -b10010001 4 -b1001000100 3 -b1001000100 & -b1001000100 5 -b1001000100 G -1+ -#1170 -0+ -#1171 -b10010001 . -b10010001 B -b10010001 : -b1001000101 3 -b1001000101 & -b1001000101 5 -b1001000101 G -1+ -#1172 -0+ -#1173 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1001000110 3 -b1001000110 & -b1001000110 5 -b1001000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1174 -0+ -#1175 -b1001000111 3 -b1001000111 & -b1001000111 5 -b1001000111 G -1+ -#1176 -0+ -#1177 -b10010010 4 -b1001001000 3 -b1001001000 & -b1001001000 5 -b1001001000 G -1+ -#1178 -0+ -#1179 -b10010010 . -b10010010 B -b10010010 : -b1001001001 3 -b1001001001 & -b1001001001 5 -b1001001001 G -1+ -#1180 -0+ -#1181 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1001001010 3 -b1001001010 & -b1001001010 5 -b1001001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1182 -0+ -#1183 -b1001001011 3 -b1001001011 & -b1001001011 5 -b1001001011 G -1+ -#1184 -0+ -#1185 -b10010011 4 -b1001001100 3 -b1001001100 & -b1001001100 5 -b1001001100 G -1+ -#1186 -0+ -#1187 -b10010011 . -b10010011 B -b10010011 : -b1001001101 3 -b1001001101 & -b1001001101 5 -b1001001101 G -1+ -#1188 -0+ -#1189 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1001001110 3 -b1001001110 & -b1001001110 5 -b1001001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1190 -0+ -#1191 -b1001001111 3 -b1001001111 & -b1001001111 5 -b1001001111 G -1+ -#1192 -0+ -#1193 -b10010100 4 -b1001010000 3 -b1001010000 & -b1001010000 5 -b1001010000 G -1+ -#1194 -0+ -#1195 -b10010100 . -b10010100 B -b10010100 : -b1001010001 3 -b1001010001 & -b1001010001 5 -b1001010001 G -1+ -#1196 -0+ -#1197 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1001010010 3 -b1001010010 & -b1001010010 5 -b1001010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1198 -0+ -#1199 -b1001010011 3 -b1001010011 & -b1001010011 5 -b1001010011 G -1+ -#1200 -0+ -#1201 -b10010101 4 -b1001010100 3 -b1001010100 & -b1001010100 5 -b1001010100 G -1+ -#1202 -0+ -#1203 -b10010101 . -b10010101 B -b10010101 : -b1001010101 3 -b1001010101 & -b1001010101 5 -b1001010101 G -1+ -#1204 -0+ -#1205 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1001010110 3 -b1001010110 & -b1001010110 5 -b1001010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1206 -0+ -#1207 -b1001010111 3 -b1001010111 & -b1001010111 5 -b1001010111 G -1+ -#1208 -0+ -#1209 -b10010110 4 -b1001011000 3 -b1001011000 & -b1001011000 5 -b1001011000 G -1+ -#1210 -0+ -#1211 -b10010110 . -b10010110 B -b10010110 : -b1001011001 3 -b1001011001 & -b1001011001 5 -b1001011001 G -1+ -#1212 -0+ -#1213 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1001011010 3 -b1001011010 & -b1001011010 5 -b1001011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1214 -0+ -#1215 -b1001011011 3 -b1001011011 & -b1001011011 5 -b1001011011 G -1+ -#1216 -0+ -#1217 -b10010111 4 -b1001011100 3 -b1001011100 & -b1001011100 5 -b1001011100 G -1+ -#1218 -0+ -#1219 -b10010111 . -b10010111 B -b10010111 : -b1001011101 3 -b1001011101 & -b1001011101 5 -b1001011101 G -1+ -#1220 -0+ -#1221 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1001011110 3 -b1001011110 & -b1001011110 5 -b1001011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1222 -0+ -#1223 -b1001011111 3 -b1001011111 & -b1001011111 5 -b1001011111 G -1+ -#1224 -0+ -#1225 -b10011000 4 -b1001100000 3 -b1001100000 & -b1001100000 5 -b1001100000 G -1+ -#1226 -0+ -#1227 -b10011000 . -b10011000 B -b10011000 : -b1001100001 3 -b1001100001 & -b1001100001 5 -b1001100001 G -1+ -#1228 -0+ -#1229 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1001100010 3 -b1001100010 & -b1001100010 5 -b1001100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1230 -0+ -#1231 -b1001100011 3 -b1001100011 & -b1001100011 5 -b1001100011 G -1+ -#1232 -0+ -#1233 -b10011001 4 -b1001100100 3 -b1001100100 & -b1001100100 5 -b1001100100 G -1+ -#1234 -0+ -#1235 -b10011001 . -b10011001 B -b10011001 : -b1001100101 3 -b1001100101 & -b1001100101 5 -b1001100101 G -1+ -#1236 -0+ -#1237 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1001100110 3 -b1001100110 & -b1001100110 5 -b1001100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1238 -0+ -#1239 -b1001100111 3 -b1001100111 & -b1001100111 5 -b1001100111 G -1+ -#1240 -0+ -#1241 -b10011010 4 -b1001101000 3 -b1001101000 & -b1001101000 5 -b1001101000 G -1+ -#1242 -0+ -#1243 -b10011010 . -b10011010 B -b10011010 : -b1001101001 3 -b1001101001 & -b1001101001 5 -b1001101001 G -1+ -#1244 -0+ -#1245 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1001101010 3 -b1001101010 & -b1001101010 5 -b1001101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1246 -0+ -#1247 -b1001101011 3 -b1001101011 & -b1001101011 5 -b1001101011 G -1+ -#1248 -0+ -#1249 -b10011011 4 -b1001101100 3 -b1001101100 & -b1001101100 5 -b1001101100 G -1+ -#1250 -0+ -#1251 -b10011011 . -b10011011 B -b10011011 : -b1001101101 3 -b1001101101 & -b1001101101 5 -b1001101101 G -1+ -#1252 -0+ -#1253 -b1000 ' -b1000 6 -b100010000000 < -b1001101110 3 -b1001101110 & -b1001101110 5 -b1001101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1254 -0+ -#1255 -b1001101111 3 -b1001101111 & -b1001101111 5 -b1001101111 G -1+ -#1256 -0+ -#1257 -b10011100 4 -b1001110000 3 -b1001110000 & -b1001110000 5 -b1001110000 G -1+ -#1258 -0+ -#1259 -b10011100 . -b10011100 B -b10011100 : -b1001110001 3 -b1001110001 & -b1001110001 5 -b1001110001 G -1+ -#1260 -0+ -#1261 -b0 $ -b0 2 -b10000000 < -b1001110010 3 -b1001110010 & -b1001110010 5 -b1001110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1262 -0+ -#1263 -b1001110011 3 -b1001110011 & -b1001110011 5 -b1001110011 G -1+ -#1264 -0+ -#1265 -b10011101 4 -b1001110100 3 -b1001110100 & -b1001110100 5 -b1001110100 G -1+ -#1266 -0+ -#1267 -b10011101 . -b10011101 B -b10011101 : -b1001110101 3 -b1001110101 & -b1001110101 5 -b1001110101 G -1+ -#1268 -0+ -#1269 -b1000 * -b1000 9 -b10001000 < -b1001110110 3 -b1001110110 & -b1001110110 5 -b1001110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1270 -0+ -#1271 -b1001110111 3 -b1001110111 & -b1001110111 5 -b1001110111 G -1+ -#1272 -0+ -#1273 -b10011110 4 -b1001111000 3 -b1001111000 & -b1001111000 5 -b1001111000 G -1+ -#1274 -0+ -#1275 -b10011110 . -b10011110 B -b10011110 : -b1001111001 3 -b1001111001 & -b1001111001 5 -b1001111001 G -1+ -#1276 -0+ -#1277 -b0 ' -b0 6 -b1000 < -b1001111010 3 -b1001111010 & -b1001111010 5 -b1001111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1278 -0+ -#1279 -b1001111011 3 -b1001111011 & -b1001111011 5 -b1001111011 G -1+ -#1280 -0+ -#1281 -b10011111 4 -b1001111100 3 -b1001111100 & -b1001111100 5 -b1001111100 G -1+ -#1282 -0+ -#1283 -b10011111 . -b10011111 B -b10011111 : -b1001111101 3 -b1001111101 & -b1001111101 5 -b1001111101 G -1+ -#1284 -0+ -#1285 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1001111110 3 -b1001111110 & -b1001111110 5 -b1001111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1286 -0+ -#1287 -b1001111111 3 -b1001111111 & -b1001111111 5 -b1001111111 G -1+ -#1288 -0+ -#1289 -0( -b10100000 4 -b1010000000 3 -b1010000000 & -b1010000000 5 -b1010000000 G -1+ -#1290 -0+ -#1291 -b10100000 . -b10100000 B -b10100000 : -b1010000001 3 -b1010000001 & -b1010000001 5 -b1010000001 G -1+ -#1292 -0+ -#1293 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1010000010 3 -b1010000010 & -b1010000010 5 -b1010000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1294 -0+ -#1295 -b1010000011 3 -b1010000011 & -b1010000011 5 -b1010000011 G -1+ -#1296 -0+ -#1297 -b10100001 4 -b1010000100 3 -b1010000100 & -b1010000100 5 -b1010000100 G -1+ -#1298 -0+ -#1299 -b10100001 . -b10100001 B -b10100001 : -b1010000101 3 -b1010000101 & -b1010000101 5 -b1010000101 G -1+ -#1300 -0+ -#1301 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1010000110 3 -b1010000110 & -b1010000110 5 -b1010000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1302 -0+ -#1303 -b1010000111 3 -b1010000111 & -b1010000111 5 -b1010000111 G -1+ -#1304 -0+ -#1305 -b10100010 4 -b1010001000 3 -b1010001000 & -b1010001000 5 -b1010001000 G -1+ -#1306 -0+ -#1307 -b10100010 . -b10100010 B -b10100010 : -b1010001001 3 -b1010001001 & -b1010001001 5 -b1010001001 G -1+ -#1308 -0+ -#1309 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1010001010 3 -b1010001010 & -b1010001010 5 -b1010001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1310 -0+ -#1311 -b1010001011 3 -b1010001011 & -b1010001011 5 -b1010001011 G -1+ -#1312 -0+ -#1313 -b10100011 4 -b1010001100 3 -b1010001100 & -b1010001100 5 -b1010001100 G -1+ -#1314 -0+ -#1315 -b10100011 . -b10100011 B -b10100011 : -b1010001101 3 -b1010001101 & -b1010001101 5 -b1010001101 G -1+ -#1316 -0+ -#1317 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1010001110 3 -b1010001110 & -b1010001110 5 -b1010001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1318 -0+ -#1319 -b1010001111 3 -b1010001111 & -b1010001111 5 -b1010001111 G -1+ -#1320 -0+ -#1321 -0% -b10100100 4 -b1010010000 3 -b1010010000 & -b1010010000 5 -b1010010000 G -1+ -#1322 -0+ -#1323 -b10100100 . -b10100100 B -b10100100 : -b1010010001 3 -b1010010001 & -b1010010001 5 -b1010010001 G -1+ -#1324 -0+ -#1325 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1010010010 3 -b1010010010 & -b1010010010 5 -b1010010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1326 -0+ -#1327 -b1010010011 3 -b1010010011 & -b1010010011 5 -b1010010011 G -1+ -#1328 -0+ -#1329 -b10100101 4 -b1010010100 3 -b1010010100 & -b1010010100 5 -b1010010100 G -1+ -#1330 -0+ -#1331 -b10100101 . -b10100101 B -b10100101 : -b1010010101 3 -b1010010101 & -b1010010101 5 -b1010010101 G -1+ -#1332 -0+ -#1333 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1010010110 3 -b1010010110 & -b1010010110 5 -b1010010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1334 -0+ -#1335 -b1010010111 3 -b1010010111 & -b1010010111 5 -b1010010111 G -1+ -#1336 -0+ -#1337 -b10100110 4 -b1010011000 3 -b1010011000 & -b1010011000 5 -b1010011000 G -1+ -#1338 -0+ -#1339 -b10100110 . -b10100110 B -b10100110 : -b1010011001 3 -b1010011001 & -b1010011001 5 -b1010011001 G -1+ -#1340 -0+ -#1341 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1010011010 3 -b1010011010 & -b1010011010 5 -b1010011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1342 -0+ -#1343 -b1010011011 3 -b1010011011 & -b1010011011 5 -b1010011011 G -1+ -#1344 -0+ -#1345 -b10100111 4 -b1010011100 3 -b1010011100 & -b1010011100 5 -b1010011100 G -1+ -#1346 -0+ -#1347 -b10100111 . -b10100111 B -b10100111 : -b1010011101 3 -b1010011101 & -b1010011101 5 -b1010011101 G -1+ -#1348 -0+ -#1349 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1010011110 3 -b1010011110 & -b1010011110 5 -b1010011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1350 -0+ -#1351 -b1010011111 3 -b1010011111 & -b1010011111 5 -b1010011111 G -1+ -#1352 -0+ -#1353 -b10101000 4 -b1010100000 3 -b1010100000 & -b1010100000 5 -b1010100000 G -1+ -#1354 -0+ -#1355 -b10101000 . -b10101000 B -b10101000 : -b1010100001 3 -b1010100001 & -b1010100001 5 -b1010100001 G -1+ -#1356 -0+ -#1357 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1010100010 3 -b1010100010 & -b1010100010 5 -b1010100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1358 -0+ -#1359 -b1010100011 3 -b1010100011 & -b1010100011 5 -b1010100011 G -1+ -#1360 -0+ -#1361 -b10101001 4 -b1010100100 3 -b1010100100 & -b1010100100 5 -b1010100100 G -1+ -#1362 -0+ -#1363 -b10101001 . -b10101001 B -b10101001 : -b1010100101 3 -b1010100101 & -b1010100101 5 -b1010100101 G -1+ -#1364 -0+ -#1365 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1010100110 3 -b1010100110 & -b1010100110 5 -b1010100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1366 -0+ -#1367 -b1010100111 3 -b1010100111 & -b1010100111 5 -b1010100111 G -1+ -#1368 -0+ -#1369 -b10101010 4 -b1010101000 3 -b1010101000 & -b1010101000 5 -b1010101000 G -1+ -#1370 -0+ -#1371 -b10101010 . -b10101010 B -b10101010 : -b1010101001 3 -b1010101001 & -b1010101001 5 -b1010101001 G -1+ -#1372 -0+ -#1373 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1010101010 3 -b1010101010 & -b1010101010 5 -b1010101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1374 -0+ -#1375 -b1010101011 3 -b1010101011 & -b1010101011 5 -b1010101011 G -1+ -#1376 -0+ -#1377 -b10101011 4 -b1010101100 3 -b1010101100 & -b1010101100 5 -b1010101100 G -1+ -#1378 -0+ -#1379 -b10101011 . -b10101011 B -b10101011 : -b1010101101 3 -b1010101101 & -b1010101101 5 -b1010101101 G -1+ -#1380 -0+ -#1381 -b1000 ' -b1000 6 -b100010000000 < -b1010101110 3 -b1010101110 & -b1010101110 5 -b1010101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1382 -0+ -#1383 -b1010101111 3 -b1010101111 & -b1010101111 5 -b1010101111 G -1+ -#1384 -0+ -#1385 -b10101100 4 -b1010110000 3 -b1010110000 & -b1010110000 5 -b1010110000 G -1+ -#1386 -0+ -#1387 -b10101100 . -b10101100 B -b10101100 : -b1010110001 3 -b1010110001 & -b1010110001 5 -b1010110001 G -1+ -#1388 -0+ -#1389 -b0 $ -b0 2 -b10000000 < -b1010110010 3 -b1010110010 & -b1010110010 5 -b1010110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1390 -0+ -#1391 -b1010110011 3 -b1010110011 & -b1010110011 5 -b1010110011 G -1+ -#1392 -0+ -#1393 -b10101101 4 -b1010110100 3 -b1010110100 & -b1010110100 5 -b1010110100 G -1+ -#1394 -0+ -#1395 -b10101101 . -b10101101 B -b10101101 : -b1010110101 3 -b1010110101 & -b1010110101 5 -b1010110101 G -1+ -#1396 -0+ -#1397 -b1000 * -b1000 9 -b10001000 < -b1010110110 3 -b1010110110 & -b1010110110 5 -b1010110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1398 -0+ -#1399 -b1010110111 3 -b1010110111 & -b1010110111 5 -b1010110111 G -1+ -#1400 -0+ -#1401 -b10101110 4 -b1010111000 3 -b1010111000 & -b1010111000 5 -b1010111000 G -1+ -#1402 -0+ -#1403 -b10101110 . -b10101110 B -b10101110 : -b1010111001 3 -b1010111001 & -b1010111001 5 -b1010111001 G -1+ -#1404 -0+ -#1405 -b0 ' -b0 6 -b1000 < -b1010111010 3 -b1010111010 & -b1010111010 5 -b1010111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1406 -0+ -#1407 -b1010111011 3 -b1010111011 & -b1010111011 5 -b1010111011 G -1+ -#1408 -0+ -#1409 -b10101111 4 -b1010111100 3 -b1010111100 & -b1010111100 5 -b1010111100 G -1+ -#1410 -0+ -#1411 -b10101111 . -b10101111 B -b10101111 : -b1010111101 3 -b1010111101 & -b1010111101 5 -b1010111101 G -1+ -#1412 -0+ -#1413 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1010111110 3 -b1010111110 & -b1010111110 5 -b1010111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1414 -0+ -#1415 -b1010111111 3 -b1010111111 & -b1010111111 5 -b1010111111 G -1+ -#1416 -0+ -#1417 -b10110000 4 -b1011000000 3 -b1011000000 & -b1011000000 5 -b1011000000 G -1+ -#1418 -0+ -#1419 -b10110000 . -b10110000 B -b10110000 : -b1011000001 3 -b1011000001 & -b1011000001 5 -b1011000001 G -1+ -#1420 -0+ -#1421 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1011000010 3 -b1011000010 & -b1011000010 5 -b1011000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1422 -0+ -#1423 -b1011000011 3 -b1011000011 & -b1011000011 5 -b1011000011 G -1+ -#1424 -0+ -#1425 -b10110001 4 -b1011000100 3 -b1011000100 & -b1011000100 5 -b1011000100 G -1+ -#1426 -0+ -#1427 -b10110001 . -b10110001 B -b10110001 : -b1011000101 3 -b1011000101 & -b1011000101 5 -b1011000101 G -1+ -#1428 -0+ -#1429 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1011000110 3 -b1011000110 & -b1011000110 5 -b1011000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1430 -0+ -#1431 -b1011000111 3 -b1011000111 & -b1011000111 5 -b1011000111 G -1+ -#1432 -0+ -#1433 -b10110010 4 -b1011001000 3 -b1011001000 & -b1011001000 5 -b1011001000 G -1+ -#1434 -0+ -#1435 -b10110010 . -b10110010 B -b10110010 : -b1011001001 3 -b1011001001 & -b1011001001 5 -b1011001001 G -1+ -#1436 -0+ -#1437 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1011001010 3 -b1011001010 & -b1011001010 5 -b1011001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1438 -0+ -#1439 -b1011001011 3 -b1011001011 & -b1011001011 5 -b1011001011 G -1+ -#1440 -0+ -#1441 -b10110011 4 -b1011001100 3 -b1011001100 & -b1011001100 5 -b1011001100 G -1+ -#1442 -0+ -#1443 -b10110011 . -b10110011 B -b10110011 : -b1011001101 3 -b1011001101 & -b1011001101 5 -b1011001101 G -1+ -#1444 -0+ -#1445 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1011001110 3 -b1011001110 & -b1011001110 5 -b1011001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1446 -0+ -#1447 -b1011001111 3 -b1011001111 & -b1011001111 5 -b1011001111 G -1+ -#1448 -0+ -#1449 -b10110100 4 -b1011010000 3 -b1011010000 & -b1011010000 5 -b1011010000 G -1+ -#1450 -0+ -#1451 -b10110100 . -b10110100 B -b10110100 : -b1011010001 3 -b1011010001 & -b1011010001 5 -b1011010001 G -1+ -#1452 -0+ -#1453 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1011010010 3 -b1011010010 & -b1011010010 5 -b1011010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1454 -0+ -#1455 -b1011010011 3 -b1011010011 & -b1011010011 5 -b1011010011 G -1+ -#1456 -0+ -#1457 -b10110101 4 -b1011010100 3 -b1011010100 & -b1011010100 5 -b1011010100 G -1+ -#1458 -0+ -#1459 -b10110101 . -b10110101 B -b10110101 : -b1011010101 3 -b1011010101 & -b1011010101 5 -b1011010101 G -1+ -#1460 -0+ -#1461 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1011010110 3 -b1011010110 & -b1011010110 5 -b1011010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1462 -0+ -#1463 -b1011010111 3 -b1011010111 & -b1011010111 5 -b1011010111 G -1+ -#1464 -0+ -#1465 -b10110110 4 -b1011011000 3 -b1011011000 & -b1011011000 5 -b1011011000 G -1+ -#1466 -0+ -#1467 -b10110110 . -b10110110 B -b10110110 : -b1011011001 3 -b1011011001 & -b1011011001 5 -b1011011001 G -1+ -#1468 -0+ -#1469 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1011011010 3 -b1011011010 & -b1011011010 5 -b1011011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1470 -0+ -#1471 -b1011011011 3 -b1011011011 & -b1011011011 5 -b1011011011 G -1+ -#1472 -0+ -#1473 -b10110111 4 -b1011011100 3 -b1011011100 & -b1011011100 5 -b1011011100 G -1+ -#1474 -0+ -#1475 -b10110111 . -b10110111 B -b10110111 : -b1011011101 3 -b1011011101 & -b1011011101 5 -b1011011101 G -1+ -#1476 -0+ -#1477 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1011011110 3 -b1011011110 & -b1011011110 5 -b1011011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1478 -0+ -#1479 -b1011011111 3 -b1011011111 & -b1011011111 5 -b1011011111 G -1+ -#1480 -0+ -#1481 -b10111000 4 -b1011100000 3 -b1011100000 & -b1011100000 5 -b1011100000 G -1+ -#1482 -0+ -#1483 -b10111000 . -b10111000 B -b10111000 : -b1011100001 3 -b1011100001 & -b1011100001 5 -b1011100001 G -1+ -#1484 -0+ -#1485 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1011100010 3 -b1011100010 & -b1011100010 5 -b1011100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1486 -0+ -#1487 -b1011100011 3 -b1011100011 & -b1011100011 5 -b1011100011 G -1+ -#1488 -0+ -#1489 -b10111001 4 -b1011100100 3 -b1011100100 & -b1011100100 5 -b1011100100 G -1+ -#1490 -0+ -#1491 -b10111001 . -b10111001 B -b10111001 : -b1011100101 3 -b1011100101 & -b1011100101 5 -b1011100101 G -1+ -#1492 -0+ -#1493 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1011100110 3 -b1011100110 & -b1011100110 5 -b1011100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1494 -0+ -#1495 -b1011100111 3 -b1011100111 & -b1011100111 5 -b1011100111 G -1+ -#1496 -0+ -#1497 -b10111010 4 -b1011101000 3 -b1011101000 & -b1011101000 5 -b1011101000 G -1+ -#1498 -0+ -#1499 -b10111010 . -b10111010 B -b10111010 : -b1011101001 3 -b1011101001 & -b1011101001 5 -b1011101001 G -1+ -#1500 -0+ -#1501 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1011101010 3 -b1011101010 & -b1011101010 5 -b1011101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1502 -0+ -#1503 -b1011101011 3 -b1011101011 & -b1011101011 5 -b1011101011 G -1+ -#1504 -0+ -#1505 -b10111011 4 -b1011101100 3 -b1011101100 & -b1011101100 5 -b1011101100 G -1+ -#1506 -0+ -#1507 -b10111011 . -b10111011 B -b10111011 : -b1011101101 3 -b1011101101 & -b1011101101 5 -b1011101101 G -1+ -#1508 -0+ -#1509 -b1000 ' -b1000 6 -b100010000000 < -b1011101110 3 -b1011101110 & -b1011101110 5 -b1011101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1510 -0+ -#1511 -b1011101111 3 -b1011101111 & -b1011101111 5 -b1011101111 G -1+ -#1512 -0+ -#1513 -b10111100 4 -b1011110000 3 -b1011110000 & -b1011110000 5 -b1011110000 G -1+ -#1514 -0+ -#1515 -1% -b10111100 . -b10111100 B -b10111100 : -b1011110001 3 -b1011110001 & -b1011110001 5 -b1011110001 G -1+ -#1516 -0+ -#1517 -b0 $ -b0 2 -b10000000 < -b1011110010 3 -b1011110010 & -b1011110010 5 -b1011110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1518 -0+ -#1519 -b1011110011 3 -b1011110011 & -b1011110011 5 -b1011110011 G -1+ -#1520 -0+ -#1521 -b10111101 4 -b1011110100 3 -b1011110100 & -b1011110100 5 -b1011110100 G -1+ -#1522 -0+ -#1523 -b10111101 . -b10111101 B -b10111101 : -b1011110101 3 -b1011110101 & -b1011110101 5 -b1011110101 G -1+ -#1524 -0+ -#1525 -b1000 * -b1000 9 -b10001000 < -b1011110110 3 -b1011110110 & -b1011110110 5 -b1011110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1526 -0+ -#1527 -b1011110111 3 -b1011110111 & -b1011110111 5 -b1011110111 G -1+ -#1528 -0+ -#1529 -b10111110 4 -b1011111000 3 -b1011111000 & -b1011111000 5 -b1011111000 G -1+ -#1530 -0+ -#1531 -b10111110 . -b10111110 B -b10111110 : -b1011111001 3 -b1011111001 & -b1011111001 5 -b1011111001 G -1+ -#1532 -0+ -#1533 -b0 ' -b0 6 -b1000 < -b1011111010 3 -b1011111010 & -b1011111010 5 -b1011111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1534 -0+ -#1535 -b1011111011 3 -b1011111011 & -b1011111011 5 -b1011111011 G -1+ -#1536 -0+ -#1537 -b10111111 4 -b1011111100 3 -b1011111100 & -b1011111100 5 -b1011111100 G -1+ -#1538 -0+ -#1539 -b10111111 . -b10111111 B -b10111111 : -b1011111101 3 -b1011111101 & -b1011111101 5 -b1011111101 G -1+ -#1540 -0+ -#1541 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1011111110 3 -b1011111110 & -b1011111110 5 -b1011111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1542 -0+ -#1543 -b1011111111 3 -b1011111111 & -b1011111111 5 -b1011111111 G -1+ -#1544 -0+ -#1545 -b11000000 4 -b1100000000 3 -b1100000000 & -b1100000000 5 -b1100000000 G -1+ -#1546 -0+ -#1547 -b11000000 . -b11000000 B -b11000000 : -b1100000001 3 -b1100000001 & -b1100000001 5 -b1100000001 G -1+ -#1548 -0+ -#1549 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1100000010 3 -b1100000010 & -b1100000010 5 -b1100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1550 -0+ -#1551 -b1100000011 3 -b1100000011 & -b1100000011 5 -b1100000011 G -1+ -#1552 -0+ -#1553 -b11000001 4 -b1100000100 3 -b1100000100 & -b1100000100 5 -b1100000100 G -1+ -#1554 -0+ -#1555 -b11000001 . -b11000001 B -b11000001 : -b1100000101 3 -b1100000101 & -b1100000101 5 -b1100000101 G -1+ -#1556 -0+ -#1557 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1100000110 3 -b1100000110 & -b1100000110 5 -b1100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1558 -0+ -#1559 -b1100000111 3 -b1100000111 & -b1100000111 5 -b1100000111 G -1+ -#1560 -0+ -#1561 -b11000010 4 -b1100001000 3 -b1100001000 & -b1100001000 5 -b1100001000 G -1+ -#1562 -0+ -#1563 -b11000010 . -b11000010 B -b11000010 : -b1100001001 3 -b1100001001 & -b1100001001 5 -b1100001001 G -1+ -#1564 -0+ -#1565 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1100001010 3 -b1100001010 & -b1100001010 5 -b1100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1566 -0+ -#1567 -b1100001011 3 -b1100001011 & -b1100001011 5 -b1100001011 G -1+ -#1568 -0+ -#1569 -b11000011 4 -b1100001100 3 -b1100001100 & -b1100001100 5 -b1100001100 G -1+ -#1570 -0+ -#1571 -b11000011 . -b11000011 B -b11000011 : -b1100001101 3 -b1100001101 & -b1100001101 5 -b1100001101 G -1+ -#1572 -0+ -#1573 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1100001110 3 -b1100001110 & -b1100001110 5 -b1100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1574 -0+ -#1575 -b1100001111 3 -b1100001111 & -b1100001111 5 -b1100001111 G -1+ -#1576 -0+ -#1577 -b11000100 4 -b1100010000 3 -b1100010000 & -b1100010000 5 -b1100010000 G -1+ -#1578 -0+ -#1579 -b11000100 . -b11000100 B -b11000100 : -b1100010001 3 -b1100010001 & -b1100010001 5 -b1100010001 G -1+ -#1580 -0+ -#1581 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1100010010 3 -b1100010010 & -b1100010010 5 -b1100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1582 -0+ -#1583 -b1100010011 3 -b1100010011 & -b1100010011 5 -b1100010011 G -1+ -#1584 -0+ -#1585 -b11000101 4 -b1100010100 3 -b1100010100 & -b1100010100 5 -b1100010100 G -1+ -#1586 -0+ -#1587 -b11000101 . -b11000101 B -b11000101 : -b1100010101 3 -b1100010101 & -b1100010101 5 -b1100010101 G -1+ -#1588 -0+ -#1589 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1100010110 3 -b1100010110 & -b1100010110 5 -b1100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1590 -0+ -#1591 -b1100010111 3 -b1100010111 & -b1100010111 5 -b1100010111 G -1+ -#1592 -0+ -#1593 -b11000110 4 -b1100011000 3 -b1100011000 & -b1100011000 5 -b1100011000 G -1+ -#1594 -0+ -#1595 -b11000110 . -b11000110 B -b11000110 : -b1100011001 3 -b1100011001 & -b1100011001 5 -b1100011001 G -1+ -#1596 -0+ -#1597 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1100011010 3 -b1100011010 & -b1100011010 5 -b1100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1598 -0+ -#1599 -b1100011011 3 -b1100011011 & -b1100011011 5 -b1100011011 G -1+ -#1600 -0+ -#1601 -b11000111 4 -b1100011100 3 -b1100011100 & -b1100011100 5 -b1100011100 G -1+ -#1602 -0+ -#1603 -b11000111 . -b11000111 B -b11000111 : -b1100011101 3 -b1100011101 & -b1100011101 5 -b1100011101 G -1+ -#1604 -0+ -#1605 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1100011110 3 -b1100011110 & -b1100011110 5 -b1100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1606 -0+ -#1607 -b1100011111 3 -b1100011111 & -b1100011111 5 -b1100011111 G -1+ -#1608 -0+ -#1609 -1( -b1010000 4 -b1 # -b1 1 -b1 H -b101000000 3 -b0 & -b0 5 -b0 G -1+ -#1610 -0+ -#1611 -b1010000 . -b1010000 B -b1010000 : -b101000001 3 -b1 & -b1 5 -b1 G -1+ -#1612 -0+ -#1613 -b0 ' -b0 6 -b111100000000 < -b101000010 3 -b10 & -b10 5 -b10 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1614 -0+ -#1615 -b101000011 3 -b11 & -b11 5 -b11 G -1+ -#1616 -0+ -#1617 -b1010001 4 -b101000100 3 -b100 & -b100 5 -b100 G -1+ -#1618 -0+ -#1619 -b1010001 . -b1010001 B -b1010001 : -b101000101 3 -b101 & -b101 5 -b101 G -1+ -#1620 -0+ -#1621 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b101000110 3 -b110 & -b110 5 -b110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1622 -0+ -#1623 -b101000111 3 -b111 & -b111 5 -b111 G -1+ -#1624 -0+ -#1625 -b1010010 4 -b101001000 3 -b1000 & -b1000 5 -b1000 G -1+ -#1626 -0+ -#1627 -b1010010 . -b1010010 B -b1010010 : -b101001001 3 -b1001 & -b1001 5 -b1001 G -1+ -#1628 -0+ -#1629 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b101001010 3 -b1010 & -b1010 5 -b1010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1630 -0+ -#1631 -b101001011 3 -b1011 & -b1011 5 -b1011 G -1+ -#1632 -0+ -#1633 -b1010011 4 -b101001100 3 -b1100 & -b1100 5 -b1100 G -1+ -#1634 -0+ -#1635 -b1010011 . -b1010011 B -b1010011 : -b101001101 3 -b1101 & -b1101 5 -b1101 G -1+ -#1636 -0+ -#1637 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b101001110 3 -b1110 & -b1110 5 -b1110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1638 -0+ -#1639 -b101001111 3 -b1111 & -b1111 5 -b1111 G -1+ -#1640 -0+ -#1641 -b1010100 4 -b101010000 3 -b10000 & -b10000 5 -b10000 G -1+ -#1642 -0+ -#1643 -b1010100 . -b1010100 B -b1010100 : -b101010001 3 -b10001 & -b10001 5 -b10001 G -1+ -#1644 -0+ -#1645 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b101010010 3 -b10010 & -b10010 5 -b10010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1646 -0+ -#1647 -b101010011 3 -b10011 & -b10011 5 -b10011 G -1+ -#1648 -0+ -#1649 -b1010101 4 -b101010100 3 -b10100 & -b10100 5 -b10100 G -1+ -#1650 -0+ -#1651 -b1010101 . -b1010101 B -b1010101 : -b101010101 3 -b10101 & -b10101 5 -b10101 G -1+ -#1652 -0+ -#1653 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b101010110 3 -b10110 & -b10110 5 -b10110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1654 -0+ -#1655 -b101010111 3 -b10111 & -b10111 5 -b10111 G -1+ -#1656 -0+ -#1657 -b1010110 4 -b101011000 3 -b11000 & -b11000 5 -b11000 G -1+ -#1658 -0+ -#1659 -b1010110 . -b1010110 B -b1010110 : -b101011001 3 -b11001 & -b11001 5 -b11001 G -1+ -#1660 -0+ -#1661 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b101011010 3 -b11010 & -b11010 5 -b11010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1662 -0+ -#1663 -b101011011 3 -b11011 & -b11011 5 -b11011 G -1+ -#1664 -0+ -#1665 -b1010111 4 -b101011100 3 -b11100 & -b11100 5 -b11100 G -1+ -#1666 -0+ -#1667 -b1010111 . -b1010111 B -b1010111 : -b101011101 3 -b11101 & -b11101 5 -b11101 G -1+ -#1668 -0+ -#1669 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b101011110 3 -b11110 & -b11110 5 -b11110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1670 -0+ -#1671 -b101011111 3 -b11111 & -b11111 5 -b11111 G -1+ -#1672 -0+ -#1673 -b1011000 4 -b101100000 3 -b100000 & -b100000 5 -b100000 G -1+ -#1674 -0+ -#1675 -b1011000 . -b1011000 B -b1011000 : -b101100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#1676 -0+ -#1677 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b101100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1678 -0+ -#1679 -b101100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#1680 -0+ -#1681 -b1011001 4 -b101100100 3 -b100100 & -b100100 5 -b100100 G -1+ -#1682 -0+ -#1683 -b1011001 . -b1011001 B -b1011001 : -b101100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#1684 -0+ -#1685 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b101100110 3 -b100110 & -b100110 5 -b100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1686 -0+ -#1687 -b101100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#1688 -0+ -#1689 -b1011010 4 -b101101000 3 -b101000 & -b101000 5 -b101000 G -1+ -#1690 -0+ -#1691 -b1011010 . -b1011010 B -b1011010 : -b101101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#1692 -0+ -#1693 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b101101010 3 -b101010 & -b101010 5 -b101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1694 -0+ -#1695 -b101101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#1696 -0+ -#1697 -b1011011 4 -b101101100 3 -b101100 & -b101100 5 -b101100 G -1+ -#1698 -0+ -#1699 -b1011011 . -b1011011 B -b1011011 : -b101101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#1700 -0+ -#1701 -b1000 ' -b1000 6 -b100010000000 < -b101101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1702 -0+ -#1703 -b101101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#1704 -0+ -#1705 -b1011100 4 -b101110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#1706 -0+ -#1707 -b1011100 . -b1011100 B -b1011100 : -b101110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#1708 -0+ -#1709 -b0 $ -b0 2 -b10000000 < -b101110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1710 -0+ -#1711 -b101110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#1712 -0+ -#1713 -b1011101 4 -b101110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#1714 -0+ -#1715 -b1011101 . -b1011101 B -b1011101 : -b101110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#1716 -0+ -#1717 -b1000 * -b1000 9 -b10001000 < -b101110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1718 -0+ -#1719 -b101110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#1720 -0+ -#1721 -b1011110 4 -b101111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#1722 -0+ -#1723 -b1011110 . -b1011110 B -b1011110 : -b101111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#1724 -0+ -#1725 -b0 ' -b0 6 -b1000 < -b101111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1726 -0+ -#1727 -b101111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#1728 -0+ -#1729 -b1011111 4 -b101111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#1730 -0+ -#1731 -b1011111 . -b1011111 B -b1011111 : -b101111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#1732 -0+ -#1733 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b101111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1734 -0+ -#1735 -b101111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#1736 -0+ -#1737 -b1100000 4 -b110000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#1738 -0+ -#1739 -b1100000 . -b1100000 B -b1100000 : -b110000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#1740 -0+ -#1741 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b110000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1742 -0+ -#1743 -b110000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#1744 -0+ -#1745 -b1100001 4 -b110000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#1746 -0+ -#1747 -b1100001 . -b1100001 B -b1100001 : -b110000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#1748 -0+ -#1749 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b110000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1750 -0+ -#1751 -b110000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#1752 -0+ -#1753 -b1100010 4 -b110001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#1754 -0+ -#1755 -b1100010 . -b1100010 B -b1100010 : -b110001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#1756 -0+ -#1757 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b110001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1758 -0+ -#1759 -b110001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#1760 -0+ -#1761 -b1100011 4 -b110001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#1762 -0+ -#1763 -b1100011 . -b1100011 B -b1100011 : -b110001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#1764 -0+ -#1765 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b110001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1766 -0+ -#1767 -b110001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#1768 -0+ -#1769 -b1100100 4 -b110010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#1770 -0+ -#1771 -b1100100 . -b1100100 B -b1100100 : -b110010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#1772 -0+ -#1773 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b110010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1774 -0+ -#1775 -b110010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#1776 -0+ -#1777 -b1100101 4 -b110010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#1778 -0+ -#1779 -b1100101 . -b1100101 B -b1100101 : -b110010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#1780 -0+ -#1781 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b110010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1782 -0+ -#1783 -b110010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#1784 -0+ -#1785 -b1100110 4 -b110011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#1786 -0+ -#1787 -b1100110 . -b1100110 B -b1100110 : -b110011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#1788 -0+ -#1789 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b110011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1790 -0+ -#1791 -b110011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#1792 -0+ -#1793 -b1100111 4 -b110011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#1794 -0+ -#1795 -b1100111 . -b1100111 B -b1100111 : -b110011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#1796 -0+ -#1797 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b110011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1798 -0+ -#1799 -b110011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#1800 -0+ -#1801 -b1101000 4 -b110100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#1802 -0+ -#1803 -b1101000 . -b1101000 B -b1101000 : -b110100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#1804 -0+ -#1805 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b110100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1806 -0+ -#1807 -b110100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#1808 -0+ -#1809 -b1101001 4 -b110100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#1810 -0+ -#1811 -b1101001 . -b1101001 B -b1101001 : -b110100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#1812 -0+ -#1813 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b110100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1814 -0+ -#1815 -b110100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#1816 -0+ -#1817 -b1101010 4 -b110101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#1818 -0+ -#1819 -b1101010 . -b1101010 B -b1101010 : -b110101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#1820 -0+ -#1821 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b110101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1822 -0+ -#1823 -b110101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#1824 -0+ -#1825 -b1101011 4 -b110101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#1826 -0+ -#1827 -b1101011 . -b1101011 B -b1101011 : -b110101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#1828 -0+ -#1829 -b1000 ' -b1000 6 -b100010000000 < -b110101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1830 -0+ -#1831 -b110101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#1832 -0+ -#1833 -b1101100 4 -b110110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#1834 -0+ -#1835 -b1101100 . -b1101100 B -b1101100 : -b110110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#1836 -0+ -#1837 -b0 $ -b0 2 -b10000000 < -b110110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1838 -0+ -#1839 -b110110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#1840 -0+ -#1841 -b1101101 4 -b110110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#1842 -0+ -#1843 -b1101101 . -b1101101 B -b1101101 : -b110110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#1844 -0+ -#1845 -b1000 * -b1000 9 -b10001000 < -b110110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1846 -0+ -#1847 -b110110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#1848 -0+ -#1849 -b1101110 4 -b110111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#1850 -0+ -#1851 -b1101110 . -b1101110 B -b1101110 : -b110111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#1852 -0+ -#1853 -b0 ' -b0 6 -b1000 < -b110111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1854 -0+ -#1855 -b110111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#1856 -0+ -#1857 -b1101111 4 -b110111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#1858 -0+ -#1859 -b1101111 . -b1101111 B -b1101111 : -b110111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#1860 -0+ -#1861 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b110111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1862 -0+ -#1863 -b110111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#1864 -0+ -#1865 -b1110000 4 -b111000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#1866 -0+ -#1867 -b1110000 . -b1110000 B -b1110000 : -b111000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#1868 -0+ -#1869 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b111000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1870 -0+ -#1871 -b111000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#1872 -0+ -#1873 -b1110001 4 -b111000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#1874 -0+ -#1875 -b1110001 . -b1110001 B -b1110001 : -b111000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#1876 -0+ -#1877 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b111000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#1878 -0+ -#1879 -b111000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#1880 -0+ -#1881 -b1110010 4 -b111001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#1882 -0+ -#1883 -b1110010 . -b1110010 B -b1110010 : -b111001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#1884 -0+ -#1885 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b111001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#1886 -0+ -#1887 -b111001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#1888 -0+ -#1889 -b1110011 4 -b111001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#1890 -0+ -#1891 -b1110011 . -b1110011 B -b1110011 : -b111001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#1892 -0+ -#1893 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b111001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#1894 -0+ -#1895 -b111001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#1896 -0+ -#1897 -b1110100 4 -b111010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#1898 -0+ -#1899 -b1110100 . -b1110100 B -b1110100 : -b111010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#1900 -0+ -#1901 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b111010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#1902 -0+ -#1903 -b111010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#1904 -0+ -#1905 -b1110101 4 -b111010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#1906 -0+ -#1907 -b1110101 . -b1110101 B -b1110101 : -b111010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#1908 -0+ -#1909 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b111010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#1910 -0+ -#1911 -b111010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#1912 -0+ -#1913 -b1110110 4 -b111011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#1914 -0+ -#1915 -b1110110 . -b1110110 B -b1110110 : -b111011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#1916 -0+ -#1917 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b111011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#1918 -0+ -#1919 -b111011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#1920 -0+ -#1921 -b1110111 4 -b111011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#1922 -0+ -#1923 -b1110111 . -b1110111 B -b1110111 : -b111011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#1924 -0+ -#1925 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b111011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#1926 -0+ -#1927 -b111011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#1928 -0+ -#1929 -b1111000 4 -b111100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#1930 -0+ -#1931 -b1111000 . -b1111000 B -b1111000 : -b111100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#1932 -0+ -#1933 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b111100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#1934 -0+ -#1935 -b111100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#1936 -0+ -#1937 -b1111001 4 -b111100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#1938 -0+ -#1939 -b1111001 . -b1111001 B -b1111001 : -b111100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#1940 -0+ -#1941 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b111100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#1942 -0+ -#1943 -b111100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#1944 -0+ -#1945 -b1111010 4 -b111101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#1946 -0+ -#1947 -b1111010 . -b1111010 B -b1111010 : -b111101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#1948 -0+ -#1949 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b111101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#1950 -0+ -#1951 -b111101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#1952 -0+ -#1953 -b1111011 4 -b111101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#1954 -0+ -#1955 -b1111011 . -b1111011 B -b1111011 : -b111101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#1956 -0+ -#1957 -b1000 ' -b1000 6 -b100010000000 < -b111101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#1958 -0+ -#1959 -b111101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#1960 -0+ -#1961 -b1111100 4 -b111110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#1962 -0+ -#1963 -b1111100 . -b1111100 B -b1111100 : -b111110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#1964 -0+ -#1965 -b0 $ -b0 2 -b10000000 < -b111110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#1966 -0+ -#1967 -b111110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#1968 -0+ -#1969 -b1111101 4 -b111110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#1970 -0+ -#1971 -b1111101 . -b1111101 B -b1111101 : -b111110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#1972 -0+ -#1973 -b1000 * -b1000 9 -b10001000 < -b111110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#1974 -0+ -#1975 -b111110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#1976 -0+ -#1977 -b1111110 4 -b111111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#1978 -0+ -#1979 -b1111110 . -b1111110 B -b1111110 : -b111111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#1980 -0+ -#1981 -b0 ' -b0 6 -b1000 < -b111111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#1982 -0+ -#1983 -b111111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#1984 -0+ -#1985 -b1111111 4 -b111111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#1986 -0+ -#1987 -b1111111 . -b1111111 B -b1111111 : -b111111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#1988 -0+ -#1989 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b111111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#1990 -0+ -#1991 -b111111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#1992 -0+ -#1993 -b10000000 4 -b1000000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#1994 -0+ -#1995 -b10000000 . -b10000000 B -b10000000 : -b1000000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#1996 -0+ -#1997 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1000000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#1998 -0+ -#1999 -b1000000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#2000 -0+ -#2001 -b10000001 4 -b1000000100 3 -b11000100 & -b11000100 5 -b11000100 G -1+ -#2002 -0+ -#2003 -b10000001 . -b10000001 B -b10000001 : -b1000000101 3 -b11000101 & -b11000101 5 -b11000101 G -1+ -#2004 -0+ -#2005 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1000000110 3 -b11000110 & -b11000110 5 -b11000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2006 -0+ -#2007 -b1000000111 3 -b11000111 & -b11000111 5 -b11000111 G -1+ -#2008 -0+ -#2009 -b10000010 4 -b1000001000 3 -b11001000 & -b11001000 5 -b11001000 G -1+ -#2010 -0+ -#2011 -b10000010 . -b10000010 B -b10000010 : -b1000001001 3 -b11001001 & -b11001001 5 -b11001001 G -1+ -#2012 -0+ -#2013 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1000001010 3 -b11001010 & -b11001010 5 -b11001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2014 -0+ -#2015 -b1000001011 3 -b11001011 & -b11001011 5 -b11001011 G -1+ -#2016 -0+ -#2017 -b10000011 4 -b1000001100 3 -b11001100 & -b11001100 5 -b11001100 G -1+ -#2018 -0+ -#2019 -b10000011 . -b10000011 B -b10000011 : -b1000001101 3 -b11001101 & -b11001101 5 -b11001101 G -1+ -#2020 -0+ -#2021 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1000001110 3 -b11001110 & -b11001110 5 -b11001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2022 -0+ -#2023 -b1000001111 3 -b11001111 & -b11001111 5 -b11001111 G -1+ -#2024 -0+ -#2025 -b10000100 4 -b1000010000 3 -b11010000 & -b11010000 5 -b11010000 G -1+ -#2026 -0+ -#2027 -b10000100 . -b10000100 B -b10000100 : -b1000010001 3 -b11010001 & -b11010001 5 -b11010001 G -1+ -#2028 -0+ -#2029 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1000010010 3 -b11010010 & -b11010010 5 -b11010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2030 -0+ -#2031 -b1000010011 3 -b11010011 & -b11010011 5 -b11010011 G -1+ -#2032 -0+ -#2033 -b10000101 4 -b1000010100 3 -b11010100 & -b11010100 5 -b11010100 G -1+ -#2034 -0+ -#2035 -b10000101 . -b10000101 B -b10000101 : -b1000010101 3 -b11010101 & -b11010101 5 -b11010101 G -1+ -#2036 -0+ -#2037 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1000010110 3 -b11010110 & -b11010110 5 -b11010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2038 -0+ -#2039 -b1000010111 3 -b11010111 & -b11010111 5 -b11010111 G -1+ -#2040 -0+ -#2041 -b10000110 4 -b1000011000 3 -b11011000 & -b11011000 5 -b11011000 G -1+ -#2042 -0+ -#2043 -b10000110 . -b10000110 B -b10000110 : -b1000011001 3 -b11011001 & -b11011001 5 -b11011001 G -1+ -#2044 -0+ -#2045 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1000011010 3 -b11011010 & -b11011010 5 -b11011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2046 -0+ -#2047 -b1000011011 3 -b11011011 & -b11011011 5 -b11011011 G -1+ -#2048 -0+ -#2049 -b10000111 4 -b1000011100 3 -b11011100 & -b11011100 5 -b11011100 G -1+ -#2050 -0+ -#2051 -b10000111 . -b10000111 B -b10000111 : -b1000011101 3 -b11011101 & -b11011101 5 -b11011101 G -1+ -#2052 -0+ -#2053 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1000011110 3 -b11011110 & -b11011110 5 -b11011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2054 -0+ -#2055 -b1000011111 3 -b11011111 & -b11011111 5 -b11011111 G -1+ -#2056 -0+ -#2057 -b10001000 4 -b1000100000 3 -b11100000 & -b11100000 5 -b11100000 G -1+ -#2058 -0+ -#2059 -b10001000 . -b10001000 B -b10001000 : -b1000100001 3 -b11100001 & -b11100001 5 -b11100001 G -1+ -#2060 -0+ -#2061 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1000100010 3 -b11100010 & -b11100010 5 -b11100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2062 -0+ -#2063 -b1000100011 3 -b11100011 & -b11100011 5 -b11100011 G -1+ -#2064 -0+ -#2065 -b10001001 4 -b1000100100 3 -b11100100 & -b11100100 5 -b11100100 G -1+ -#2066 -0+ -#2067 -b10001001 . -b10001001 B -b10001001 : -b1000100101 3 -b11100101 & -b11100101 5 -b11100101 G -1+ -#2068 -0+ -#2069 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1000100110 3 -b11100110 & -b11100110 5 -b11100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2070 -0+ -#2071 -b1000100111 3 -b11100111 & -b11100111 5 -b11100111 G -1+ -#2072 -0+ -#2073 -b10001010 4 -b1000101000 3 -b11101000 & -b11101000 5 -b11101000 G -1+ -#2074 -0+ -#2075 -b10001010 . -b10001010 B -b10001010 : -b1000101001 3 -b11101001 & -b11101001 5 -b11101001 G -1+ -#2076 -0+ -#2077 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1000101010 3 -b11101010 & -b11101010 5 -b11101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2078 -0+ -#2079 -b1000101011 3 -b11101011 & -b11101011 5 -b11101011 G -1+ -#2080 -0+ -#2081 -b10001011 4 -b1000101100 3 -b11101100 & -b11101100 5 -b11101100 G -1+ -#2082 -0+ -#2083 -b10001011 . -b10001011 B -b10001011 : -b1000101101 3 -b11101101 & -b11101101 5 -b11101101 G -1+ -#2084 -0+ -#2085 -b1000 ' -b1000 6 -b100010000000 < -b1000101110 3 -b11101110 & -b11101110 5 -b11101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2086 -0+ -#2087 -b1000101111 3 -b11101111 & -b11101111 5 -b11101111 G -1+ -#2088 -0+ -#2089 -b10001100 4 -b1000110000 3 -b11110000 & -b11110000 5 -b11110000 G -1+ -#2090 -0+ -#2091 -b10001100 . -b10001100 B -b10001100 : -b1000110001 3 -b11110001 & -b11110001 5 -b11110001 G -1+ -#2092 -0+ -#2093 -b0 $ -b0 2 -b10000000 < -b1000110010 3 -b11110010 & -b11110010 5 -b11110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2094 -0+ -#2095 -b1000110011 3 -b11110011 & -b11110011 5 -b11110011 G -1+ -#2096 -0+ -#2097 -b10001101 4 -b1000110100 3 -b11110100 & -b11110100 5 -b11110100 G -1+ -#2098 -0+ -#2099 -b10001101 . -b10001101 B -b10001101 : -b1000110101 3 -b11110101 & -b11110101 5 -b11110101 G -1+ -#2100 -0+ -#2101 -b1000 * -b1000 9 -b10001000 < -b1000110110 3 -b11110110 & -b11110110 5 -b11110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2102 -0+ -#2103 -b1000110111 3 -b11110111 & -b11110111 5 -b11110111 G -1+ -#2104 -0+ -#2105 -b10001110 4 -b1000111000 3 -b11111000 & -b11111000 5 -b11111000 G -1+ -#2106 -0+ -#2107 -b10001110 . -b10001110 B -b10001110 : -b1000111001 3 -b11111001 & -b11111001 5 -b11111001 G -1+ -#2108 -0+ -#2109 -b0 ' -b0 6 -b1000 < -b1000111010 3 -b11111010 & -b11111010 5 -b11111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2110 -0+ -#2111 -b1000111011 3 -b11111011 & -b11111011 5 -b11111011 G -1+ -#2112 -0+ -#2113 -b10001111 4 -b1000111100 3 -b11111100 & -b11111100 5 -b11111100 G -1+ -#2114 -0+ -#2115 -b10001111 . -b10001111 B -b10001111 : -b1000111101 3 -b11111101 & -b11111101 5 -b11111101 G -1+ -#2116 -0+ -#2117 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1000111110 3 -b11111110 & -b11111110 5 -b11111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2118 -0+ -#2119 -b1000111111 3 -b11111111 & -b11111111 5 -b11111111 G -1+ -#2120 -0+ -#2121 -b10010000 4 -b1001000000 3 -b100000000 & -b100000000 5 -b100000000 G -1+ -#2122 -0+ -#2123 -b10010000 . -b10010000 B -b10010000 : -b1001000001 3 -b100000001 & -b100000001 5 -b100000001 G -1+ -#2124 -0+ -#2125 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1001000010 3 -b100000010 & -b100000010 5 -b100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2126 -0+ -#2127 -b1001000011 3 -b100000011 & -b100000011 5 -b100000011 G -1+ -#2128 -0+ -#2129 -b10010001 4 -b1001000100 3 -b100000100 & -b100000100 5 -b100000100 G -1+ -#2130 -0+ -#2131 -b10010001 . -b10010001 B -b10010001 : -b1001000101 3 -b100000101 & -b100000101 5 -b100000101 G -1+ -#2132 -0+ -#2133 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1001000110 3 -b100000110 & -b100000110 5 -b100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2134 -0+ -#2135 -b1001000111 3 -b100000111 & -b100000111 5 -b100000111 G -1+ -#2136 -0+ -#2137 -b10010010 4 -b1001001000 3 -b100001000 & -b100001000 5 -b100001000 G -1+ -#2138 -0+ -#2139 -b10010010 . -b10010010 B -b10010010 : -b1001001001 3 -b100001001 & -b100001001 5 -b100001001 G -1+ -#2140 -0+ -#2141 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1001001010 3 -b100001010 & -b100001010 5 -b100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2142 -0+ -#2143 -b1001001011 3 -b100001011 & -b100001011 5 -b100001011 G -1+ -#2144 -0+ -#2145 -b10010011 4 -b1001001100 3 -b100001100 & -b100001100 5 -b100001100 G -1+ -#2146 -0+ -#2147 -b10010011 . -b10010011 B -b10010011 : -b1001001101 3 -b100001101 & -b100001101 5 -b100001101 G -1+ -#2148 -0+ -#2149 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1001001110 3 -b100001110 & -b100001110 5 -b100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2150 -0+ -#2151 -b1001001111 3 -b100001111 & -b100001111 5 -b100001111 G -1+ -#2152 -0+ -#2153 -b10010100 4 -b1001010000 3 -b100010000 & -b100010000 5 -b100010000 G -1+ -#2154 -0+ -#2155 -b10010100 . -b10010100 B -b10010100 : -b1001010001 3 -b100010001 & -b100010001 5 -b100010001 G -1+ -#2156 -0+ -#2157 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1001010010 3 -b100010010 & -b100010010 5 -b100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2158 -0+ -#2159 -b1001010011 3 -b100010011 & -b100010011 5 -b100010011 G -1+ -#2160 -0+ -#2161 -b10010101 4 -b1001010100 3 -b100010100 & -b100010100 5 -b100010100 G -1+ -#2162 -0+ -#2163 -b10010101 . -b10010101 B -b10010101 : -b1001010101 3 -b100010101 & -b100010101 5 -b100010101 G -1+ -#2164 -0+ -#2165 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1001010110 3 -b100010110 & -b100010110 5 -b100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2166 -0+ -#2167 -b1001010111 3 -b100010111 & -b100010111 5 -b100010111 G -1+ -#2168 -0+ -#2169 -b10010110 4 -b1001011000 3 -b100011000 & -b100011000 5 -b100011000 G -1+ -#2170 -0+ -#2171 -b10010110 . -b10010110 B -b10010110 : -b1001011001 3 -b100011001 & -b100011001 5 -b100011001 G -1+ -#2172 -0+ -#2173 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1001011010 3 -b100011010 & -b100011010 5 -b100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2174 -0+ -#2175 -b1001011011 3 -b100011011 & -b100011011 5 -b100011011 G -1+ -#2176 -0+ -#2177 -b10010111 4 -b1001011100 3 -b100011100 & -b100011100 5 -b100011100 G -1+ -#2178 -0+ -#2179 -b10010111 . -b10010111 B -b10010111 : -b1001011101 3 -b100011101 & -b100011101 5 -b100011101 G -1+ -#2180 -0+ -#2181 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1001011110 3 -b100011110 & -b100011110 5 -b100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2182 -0+ -#2183 -b1001011111 3 -b100011111 & -b100011111 5 -b100011111 G -1+ -#2184 -0+ -#2185 -b10011000 4 -b1001100000 3 -b100100000 & -b100100000 5 -b100100000 G -1+ -#2186 -0+ -#2187 -b10011000 . -b10011000 B -b10011000 : -b1001100001 3 -b100100001 & -b100100001 5 -b100100001 G -1+ -#2188 -0+ -#2189 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1001100010 3 -b100100010 & -b100100010 5 -b100100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2190 -0+ -#2191 -b1001100011 3 -b100100011 & -b100100011 5 -b100100011 G -1+ -#2192 -0+ -#2193 -b10011001 4 -b1001100100 3 -b100100100 & -b100100100 5 -b100100100 G -1+ -#2194 -0+ -#2195 -b10011001 . -b10011001 B -b10011001 : -b1001100101 3 -b100100101 & -b100100101 5 -b100100101 G -1+ -#2196 -0+ -#2197 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1001100110 3 -b100100110 & -b100100110 5 -b100100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2198 -0+ -#2199 -b1001100111 3 -b100100111 & -b100100111 5 -b100100111 G -1+ -#2200 -0+ -#2201 -b10011010 4 -b1001101000 3 -b100101000 & -b100101000 5 -b100101000 G -1+ -#2202 -0+ -#2203 -b10011010 . -b10011010 B -b10011010 : -b1001101001 3 -b100101001 & -b100101001 5 -b100101001 G -1+ -#2204 -0+ -#2205 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1001101010 3 -b100101010 & -b100101010 5 -b100101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2206 -0+ -#2207 -b1001101011 3 -b100101011 & -b100101011 5 -b100101011 G -1+ -#2208 -0+ -#2209 -b10011011 4 -b1001101100 3 -b100101100 & -b100101100 5 -b100101100 G -1+ -#2210 -0+ -#2211 -b10011011 . -b10011011 B -b10011011 : -b1001101101 3 -b100101101 & -b100101101 5 -b100101101 G -1+ -#2212 -0+ -#2213 -b1000 ' -b1000 6 -b100010000000 < -b1001101110 3 -b100101110 & -b100101110 5 -b100101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2214 -0+ -#2215 -b1001101111 3 -b100101111 & -b100101111 5 -b100101111 G -1+ -#2216 -0+ -#2217 -b10011100 4 -b1001110000 3 -b100110000 & -b100110000 5 -b100110000 G -1+ -#2218 -0+ -#2219 -b10011100 . -b10011100 B -b10011100 : -b1001110001 3 -b100110001 & -b100110001 5 -b100110001 G -1+ -#2220 -0+ -#2221 -b0 $ -b0 2 -b10000000 < -b1001110010 3 -b100110010 & -b100110010 5 -b100110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2222 -0+ -#2223 -b1001110011 3 -b100110011 & -b100110011 5 -b100110011 G -1+ -#2224 -0+ -#2225 -b10011101 4 -b1001110100 3 -b100110100 & -b100110100 5 -b100110100 G -1+ -#2226 -0+ -#2227 -b10011101 . -b10011101 B -b10011101 : -b1001110101 3 -b100110101 & -b100110101 5 -b100110101 G -1+ -#2228 -0+ -#2229 -b1000 * -b1000 9 -b10001000 < -b1001110110 3 -b100110110 & -b100110110 5 -b100110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2230 -0+ -#2231 -b1001110111 3 -b100110111 & -b100110111 5 -b100110111 G -1+ -#2232 -0+ -#2233 -b10011110 4 -b1001111000 3 -b100111000 & -b100111000 5 -b100111000 G -1+ -#2234 -0+ -#2235 -b10011110 . -b10011110 B -b10011110 : -b1001111001 3 -b100111001 & -b100111001 5 -b100111001 G -1+ -#2236 -0+ -#2237 -b0 ' -b0 6 -b1000 < -b1001111010 3 -b100111010 & -b100111010 5 -b100111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2238 -0+ -#2239 -b1001111011 3 -b100111011 & -b100111011 5 -b100111011 G -1+ -#2240 -0+ -#2241 -b10011111 4 -b1001111100 3 -b100111100 & -b100111100 5 -b100111100 G -1+ -#2242 -0+ -#2243 -b10011111 . -b10011111 B -b10011111 : -b1001111101 3 -b100111101 & -b100111101 5 -b100111101 G -1+ -#2244 -0+ -#2245 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1001111110 3 -b100111110 & -b100111110 5 -b100111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2246 -0+ -#2247 -b1001111111 3 -b100111111 & -b100111111 5 -b100111111 G -1+ -#2248 -0+ -#2249 -b10100000 4 -b1010000000 3 -b101000000 & -b101000000 5 -b101000000 G -1+ -#2250 -0+ -#2251 -b10100000 . -b10100000 B -b10100000 : -b1010000001 3 -b101000001 & -b101000001 5 -b101000001 G -1+ -#2252 -0+ -#2253 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1010000010 3 -b101000010 & -b101000010 5 -b101000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2254 -0+ -#2255 -b1010000011 3 -b101000011 & -b101000011 5 -b101000011 G -1+ -#2256 -0+ -#2257 -b10100001 4 -b1010000100 3 -b101000100 & -b101000100 5 -b101000100 G -1+ -#2258 -0+ -#2259 -b10100001 . -b10100001 B -b10100001 : -b1010000101 3 -b101000101 & -b101000101 5 -b101000101 G -1+ -#2260 -0+ -#2261 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1010000110 3 -b101000110 & -b101000110 5 -b101000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2262 -0+ -#2263 -b1010000111 3 -b101000111 & -b101000111 5 -b101000111 G -1+ -#2264 -0+ -#2265 -b10100010 4 -b1010001000 3 -b101001000 & -b101001000 5 -b101001000 G -1+ -#2266 -0+ -#2267 -b10100010 . -b10100010 B -b10100010 : -b1010001001 3 -b101001001 & -b101001001 5 -b101001001 G -1+ -#2268 -0+ -#2269 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1010001010 3 -b101001010 & -b101001010 5 -b101001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2270 -0+ -#2271 -b1010001011 3 -b101001011 & -b101001011 5 -b101001011 G -1+ -#2272 -0+ -#2273 -b10100011 4 -b1010001100 3 -b101001100 & -b101001100 5 -b101001100 G -1+ -#2274 -0+ -#2275 -b10100011 . -b10100011 B -b10100011 : -b1010001101 3 -b101001101 & -b101001101 5 -b101001101 G -1+ -#2276 -0+ -#2277 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1010001110 3 -b101001110 & -b101001110 5 -b101001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2278 -0+ -#2279 -b1010001111 3 -b101001111 & -b101001111 5 -b101001111 G -1+ -#2280 -0+ -#2281 -b10100100 4 -b1010010000 3 -b101010000 & -b101010000 5 -b101010000 G -1+ -#2282 -0+ -#2283 -b10100100 . -b10100100 B -b10100100 : -b1010010001 3 -b101010001 & -b101010001 5 -b101010001 G -1+ -#2284 -0+ -#2285 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1010010010 3 -b101010010 & -b101010010 5 -b101010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2286 -0+ -#2287 -b1010010011 3 -b101010011 & -b101010011 5 -b101010011 G -1+ -#2288 -0+ -#2289 -b10100101 4 -b1010010100 3 -b101010100 & -b101010100 5 -b101010100 G -1+ -#2290 -0+ -#2291 -b10100101 . -b10100101 B -b10100101 : -b1010010101 3 -b101010101 & -b101010101 5 -b101010101 G -1+ -#2292 -0+ -#2293 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1010010110 3 -b101010110 & -b101010110 5 -b101010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2294 -0+ -#2295 -b1010010111 3 -b101010111 & -b101010111 5 -b101010111 G -1+ -#2296 -0+ -#2297 -b10100110 4 -b1010011000 3 -b101011000 & -b101011000 5 -b101011000 G -1+ -#2298 -0+ -#2299 -b10100110 . -b10100110 B -b10100110 : -b1010011001 3 -b101011001 & -b101011001 5 -b101011001 G -1+ -#2300 -0+ -#2301 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1010011010 3 -b101011010 & -b101011010 5 -b101011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2302 -0+ -#2303 -b1010011011 3 -b101011011 & -b101011011 5 -b101011011 G -1+ -#2304 -0+ -#2305 -b10100111 4 -b1010011100 3 -b101011100 & -b101011100 5 -b101011100 G -1+ -#2306 -0+ -#2307 -b10100111 . -b10100111 B -b10100111 : -b1010011101 3 -b101011101 & -b101011101 5 -b101011101 G -1+ -#2308 -0+ -#2309 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1010011110 3 -b101011110 & -b101011110 5 -b101011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2310 -0+ -#2311 -b1010011111 3 -b101011111 & -b101011111 5 -b101011111 G -1+ -#2312 -0+ -#2313 -b10101000 4 -b1010100000 3 -b101100000 & -b101100000 5 -b101100000 G -1+ -#2314 -0+ -#2315 -b10101000 . -b10101000 B -b10101000 : -b1010100001 3 -b101100001 & -b101100001 5 -b101100001 G -1+ -#2316 -0+ -#2317 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1010100010 3 -b101100010 & -b101100010 5 -b101100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2318 -0+ -#2319 -b1010100011 3 -b101100011 & -b101100011 5 -b101100011 G -1+ -#2320 -0+ -#2321 -b10101001 4 -b1010100100 3 -b101100100 & -b101100100 5 -b101100100 G -1+ -#2322 -0+ -#2323 -b10101001 . -b10101001 B -b10101001 : -b1010100101 3 -b101100101 & -b101100101 5 -b101100101 G -1+ -#2324 -0+ -#2325 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1010100110 3 -b101100110 & -b101100110 5 -b101100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2326 -0+ -#2327 -b1010100111 3 -b101100111 & -b101100111 5 -b101100111 G -1+ -#2328 -0+ -#2329 -b10101010 4 -b1010101000 3 -b101101000 & -b101101000 5 -b101101000 G -1+ -#2330 -0+ -#2331 -b10101010 . -b10101010 B -b10101010 : -b1010101001 3 -b101101001 & -b101101001 5 -b101101001 G -1+ -#2332 -0+ -#2333 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1010101010 3 -b101101010 & -b101101010 5 -b101101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2334 -0+ -#2335 -b1010101011 3 -b101101011 & -b101101011 5 -b101101011 G -1+ -#2336 -0+ -#2337 -b10101011 4 -b1010101100 3 -b101101100 & -b101101100 5 -b101101100 G -1+ -#2338 -0+ -#2339 -b10101011 . -b10101011 B -b10101011 : -b1010101101 3 -b101101101 & -b101101101 5 -b101101101 G -1+ -#2340 -0+ -#2341 -b1000 ' -b1000 6 -b100010000000 < -b1010101110 3 -b101101110 & -b101101110 5 -b101101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2342 -0+ -#2343 -b1010101111 3 -b101101111 & -b101101111 5 -b101101111 G -1+ -#2344 -0+ -#2345 -b10101100 4 -b1010110000 3 -b101110000 & -b101110000 5 -b101110000 G -1+ -#2346 -0+ -#2347 -b10101100 . -b10101100 B -b10101100 : -b1010110001 3 -b101110001 & -b101110001 5 -b101110001 G -1+ -#2348 -0+ -#2349 -b0 $ -b0 2 -b10000000 < -b1010110010 3 -b101110010 & -b101110010 5 -b101110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2350 -0+ -#2351 -b1010110011 3 -b101110011 & -b101110011 5 -b101110011 G -1+ -#2352 -0+ -#2353 -b10101101 4 -b1010110100 3 -b101110100 & -b101110100 5 -b101110100 G -1+ -#2354 -0+ -#2355 -b10101101 . -b10101101 B -b10101101 : -b1010110101 3 -b101110101 & -b101110101 5 -b101110101 G -1+ -#2356 -0+ -#2357 -b1000 * -b1000 9 -b10001000 < -b1010110110 3 -b101110110 & -b101110110 5 -b101110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2358 -0+ -#2359 -b1010110111 3 -b101110111 & -b101110111 5 -b101110111 G -1+ -#2360 -0+ -#2361 -b10101110 4 -b1010111000 3 -b101111000 & -b101111000 5 -b101111000 G -1+ -#2362 -0+ -#2363 -b10101110 . -b10101110 B -b10101110 : -b1010111001 3 -b101111001 & -b101111001 5 -b101111001 G -1+ -#2364 -0+ -#2365 -b0 ' -b0 6 -b1000 < -b1010111010 3 -b101111010 & -b101111010 5 -b101111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2366 -0+ -#2367 -b1010111011 3 -b101111011 & -b101111011 5 -b101111011 G -1+ -#2368 -0+ -#2369 -b10101111 4 -b1010111100 3 -b101111100 & -b101111100 5 -b101111100 G -1+ -#2370 -0+ -#2371 -b10101111 . -b10101111 B -b10101111 : -b1010111101 3 -b101111101 & -b101111101 5 -b101111101 G -1+ -#2372 -0+ -#2373 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1010111110 3 -b101111110 & -b101111110 5 -b101111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2374 -0+ -#2375 -b1010111111 3 -b101111111 & -b101111111 5 -b101111111 G -1+ -#2376 -0+ -#2377 -b10110000 4 -b1011000000 3 -b110000000 & -b110000000 5 -b110000000 G -1+ -#2378 -0+ -#2379 -b10110000 . -b10110000 B -b10110000 : -b1011000001 3 -b110000001 & -b110000001 5 -b110000001 G -1+ -#2380 -0+ -#2381 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1011000010 3 -b110000010 & -b110000010 5 -b110000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2382 -0+ -#2383 -b1011000011 3 -b110000011 & -b110000011 5 -b110000011 G -1+ -#2384 -0+ -#2385 -b10110001 4 -b1011000100 3 -b110000100 & -b110000100 5 -b110000100 G -1+ -#2386 -0+ -#2387 -b10110001 . -b10110001 B -b10110001 : -b1011000101 3 -b110000101 & -b110000101 5 -b110000101 G -1+ -#2388 -0+ -#2389 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1011000110 3 -b110000110 & -b110000110 5 -b110000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2390 -0+ -#2391 -b1011000111 3 -b110000111 & -b110000111 5 -b110000111 G -1+ -#2392 -0+ -#2393 -b10110010 4 -b1011001000 3 -b110001000 & -b110001000 5 -b110001000 G -1+ -#2394 -0+ -#2395 -b10110010 . -b10110010 B -b10110010 : -b1011001001 3 -b110001001 & -b110001001 5 -b110001001 G -1+ -#2396 -0+ -#2397 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1011001010 3 -b110001010 & -b110001010 5 -b110001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2398 -0+ -#2399 -b1011001011 3 -b110001011 & -b110001011 5 -b110001011 G -1+ -#2400 -0+ -#2401 -b10110011 4 -b1011001100 3 -b110001100 & -b110001100 5 -b110001100 G -1+ -#2402 -0+ -#2403 -b10110011 . -b10110011 B -b10110011 : -b1011001101 3 -b110001101 & -b110001101 5 -b110001101 G -1+ -#2404 -0+ -#2405 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1011001110 3 -b110001110 & -b110001110 5 -b110001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2406 -0+ -#2407 -b1011001111 3 -b110001111 & -b110001111 5 -b110001111 G -1+ -#2408 -0+ -#2409 -b10110100 4 -b1011010000 3 -b110010000 & -b110010000 5 -b110010000 G -1+ -#2410 -0+ -#2411 -b10110100 . -b10110100 B -b10110100 : -b1011010001 3 -b110010001 & -b110010001 5 -b110010001 G -1+ -#2412 -0+ -#2413 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1011010010 3 -b110010010 & -b110010010 5 -b110010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2414 -0+ -#2415 -b1011010011 3 -b110010011 & -b110010011 5 -b110010011 G -1+ -#2416 -0+ -#2417 -b10110101 4 -b1011010100 3 -b110010100 & -b110010100 5 -b110010100 G -1+ -#2418 -0+ -#2419 -b10110101 . -b10110101 B -b10110101 : -b1011010101 3 -b110010101 & -b110010101 5 -b110010101 G -1+ -#2420 -0+ -#2421 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1011010110 3 -b110010110 & -b110010110 5 -b110010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2422 -0+ -#2423 -b1011010111 3 -b110010111 & -b110010111 5 -b110010111 G -1+ -#2424 -0+ -#2425 -b10110110 4 -b1011011000 3 -b110011000 & -b110011000 5 -b110011000 G -1+ -#2426 -0+ -#2427 -b10110110 . -b10110110 B -b10110110 : -b1011011001 3 -b110011001 & -b110011001 5 -b110011001 G -1+ -#2428 -0+ -#2429 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1011011010 3 -b110011010 & -b110011010 5 -b110011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2430 -0+ -#2431 -b1011011011 3 -b110011011 & -b110011011 5 -b110011011 G -1+ -#2432 -0+ -#2433 -b10110111 4 -b1011011100 3 -b110011100 & -b110011100 5 -b110011100 G -1+ -#2434 -0+ -#2435 -b10110111 . -b10110111 B -b10110111 : -b1011011101 3 -b110011101 & -b110011101 5 -b110011101 G -1+ -#2436 -0+ -#2437 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1011011110 3 -b110011110 & -b110011110 5 -b110011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2438 -0+ -#2439 -b1011011111 3 -b110011111 & -b110011111 5 -b110011111 G -1+ -#2440 -0+ -#2441 -b10111000 4 -b1011100000 3 -b110100000 & -b110100000 5 -b110100000 G -1+ -#2442 -0+ -#2443 -b10111000 . -b10111000 B -b10111000 : -b1011100001 3 -b110100001 & -b110100001 5 -b110100001 G -1+ -#2444 -0+ -#2445 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1011100010 3 -b110100010 & -b110100010 5 -b110100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2446 -0+ -#2447 -b1011100011 3 -b110100011 & -b110100011 5 -b110100011 G -1+ -#2448 -0+ -#2449 -b10111001 4 -b1011100100 3 -b110100100 & -b110100100 5 -b110100100 G -1+ -#2450 -0+ -#2451 -b10111001 . -b10111001 B -b10111001 : -b1011100101 3 -b110100101 & -b110100101 5 -b110100101 G -1+ -#2452 -0+ -#2453 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1011100110 3 -b110100110 & -b110100110 5 -b110100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2454 -0+ -#2455 -b1011100111 3 -b110100111 & -b110100111 5 -b110100111 G -1+ -#2456 -0+ -#2457 -b10111010 4 -b1011101000 3 -b110101000 & -b110101000 5 -b110101000 G -1+ -#2458 -0+ -#2459 -b10111010 . -b10111010 B -b10111010 : -b1011101001 3 -b110101001 & -b110101001 5 -b110101001 G -1+ -#2460 -0+ -#2461 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1011101010 3 -b110101010 & -b110101010 5 -b110101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2462 -0+ -#2463 -b1011101011 3 -b110101011 & -b110101011 5 -b110101011 G -1+ -#2464 -0+ -#2465 -b10111011 4 -b1011101100 3 -b110101100 & -b110101100 5 -b110101100 G -1+ -#2466 -0+ -#2467 -b10111011 . -b10111011 B -b10111011 : -b1011101101 3 -b110101101 & -b110101101 5 -b110101101 G -1+ -#2468 -0+ -#2469 -b1000 ' -b1000 6 -b100010000000 < -b1011101110 3 -b110101110 & -b110101110 5 -b110101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2470 -0+ -#2471 -b1011101111 3 -b110101111 & -b110101111 5 -b110101111 G -1+ -#2472 -0+ -#2473 -b10111100 4 -b1011110000 3 -b110110000 & -b110110000 5 -b110110000 G -1+ -#2474 -0+ -#2475 -b10111100 . -b10111100 B -b10111100 : -b1011110001 3 -b110110001 & -b110110001 5 -b110110001 G -1+ -#2476 -0+ -#2477 -b0 $ -b0 2 -b10000000 < -b1011110010 3 -b110110010 & -b110110010 5 -b110110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2478 -0+ -#2479 -b1011110011 3 -b110110011 & -b110110011 5 -b110110011 G -1+ -#2480 -0+ -#2481 -b10111101 4 -b1011110100 3 -b110110100 & -b110110100 5 -b110110100 G -1+ -#2482 -0+ -#2483 -b10111101 . -b10111101 B -b10111101 : -b1011110101 3 -b110110101 & -b110110101 5 -b110110101 G -1+ -#2484 -0+ -#2485 -b1000 * -b1000 9 -b10001000 < -b1011110110 3 -b110110110 & -b110110110 5 -b110110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2486 -0+ -#2487 -b1011110111 3 -b110110111 & -b110110111 5 -b110110111 G -1+ -#2488 -0+ -#2489 -b10111110 4 -b1011111000 3 -b110111000 & -b110111000 5 -b110111000 G -1+ -#2490 -0+ -#2491 -b10111110 . -b10111110 B -b10111110 : -b1011111001 3 -b110111001 & -b110111001 5 -b110111001 G -1+ -#2492 -0+ -#2493 -b0 ' -b0 6 -b1000 < -b1011111010 3 -b110111010 & -b110111010 5 -b110111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2494 -0+ -#2495 -b1011111011 3 -b110111011 & -b110111011 5 -b110111011 G -1+ -#2496 -0+ -#2497 -b10111111 4 -b1011111100 3 -b110111100 & -b110111100 5 -b110111100 G -1+ -#2498 -0+ -#2499 -b10111111 . -b10111111 B -b10111111 : -b1011111101 3 -b110111101 & -b110111101 5 -b110111101 G -1+ -#2500 -0+ -#2501 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1011111110 3 -b110111110 & -b110111110 5 -b110111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2502 -0+ -#2503 -b1011111111 3 -b110111111 & -b110111111 5 -b110111111 G -1+ -#2504 -0+ -#2505 -b11000000 4 -b1100000000 3 -b111000000 & -b111000000 5 -b111000000 G -1+ -#2506 -0+ -#2507 -b11000000 . -b11000000 B -b11000000 : -b1100000001 3 -b111000001 & -b111000001 5 -b111000001 G -1+ -#2508 -0+ -#2509 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1100000010 3 -b111000010 & -b111000010 5 -b111000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2510 -0+ -#2511 -b1100000011 3 -b111000011 & -b111000011 5 -b111000011 G -1+ -#2512 -0+ -#2513 -b11000001 4 -b1100000100 3 -b111000100 & -b111000100 5 -b111000100 G -1+ -#2514 -0+ -#2515 -b11000001 . -b11000001 B -b11000001 : -b1100000101 3 -b111000101 & -b111000101 5 -b111000101 G -1+ -#2516 -0+ -#2517 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1100000110 3 -b111000110 & -b111000110 5 -b111000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2518 -0+ -#2519 -b1100000111 3 -b111000111 & -b111000111 5 -b111000111 G -1+ -#2520 -0+ -#2521 -b11000010 4 -b1100001000 3 -b111001000 & -b111001000 5 -b111001000 G -1+ -#2522 -0+ -#2523 -b11000010 . -b11000010 B -b11000010 : -b1100001001 3 -b111001001 & -b111001001 5 -b111001001 G -1+ -#2524 -0+ -#2525 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1100001010 3 -b111001010 & -b111001010 5 -b111001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2526 -0+ -#2527 -b1100001011 3 -b111001011 & -b111001011 5 -b111001011 G -1+ -#2528 -0+ -#2529 -b11000011 4 -b1100001100 3 -b111001100 & -b111001100 5 -b111001100 G -1+ -#2530 -0+ -#2531 -b11000011 . -b11000011 B -b11000011 : -b1100001101 3 -b111001101 & -b111001101 5 -b111001101 G -1+ -#2532 -0+ -#2533 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1100001110 3 -b111001110 & -b111001110 5 -b111001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2534 -0+ -#2535 -b1100001111 3 -b111001111 & -b111001111 5 -b111001111 G -1+ -#2536 -0+ -#2537 -b11000100 4 -b1100010000 3 -b111010000 & -b111010000 5 -b111010000 G -1+ -#2538 -0+ -#2539 -b11000100 . -b11000100 B -b11000100 : -b1100010001 3 -b111010001 & -b111010001 5 -b111010001 G -1+ -#2540 -0+ -#2541 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1100010010 3 -b111010010 & -b111010010 5 -b111010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2542 -0+ -#2543 -b1100010011 3 -b111010011 & -b111010011 5 -b111010011 G -1+ -#2544 -0+ -#2545 -b11000101 4 -b1100010100 3 -b111010100 & -b111010100 5 -b111010100 G -1+ -#2546 -0+ -#2547 -b11000101 . -b11000101 B -b11000101 : -b1100010101 3 -b111010101 & -b111010101 5 -b111010101 G -1+ -#2548 -0+ -#2549 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1100010110 3 -b111010110 & -b111010110 5 -b111010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2550 -0+ -#2551 -b1100010111 3 -b111010111 & -b111010111 5 -b111010111 G -1+ -#2552 -0+ -#2553 -b11000110 4 -b1100011000 3 -b111011000 & -b111011000 5 -b111011000 G -1+ -#2554 -0+ -#2555 -b11000110 . -b11000110 B -b11000110 : -b1100011001 3 -b111011001 & -b111011001 5 -b111011001 G -1+ -#2556 -0+ -#2557 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1100011010 3 -b111011010 & -b111011010 5 -b111011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2558 -0+ -#2559 -b1100011011 3 -b111011011 & -b111011011 5 -b111011011 G -1+ -#2560 -0+ -#2561 -b11000111 4 -b1100011100 3 -b111011100 & -b111011100 5 -b111011100 G -1+ -#2562 -0+ -#2563 -b11000111 . -b11000111 B -b11000111 : -b1100011101 3 -b111011101 & -b111011101 5 -b111011101 G -1+ -#2564 -0+ -#2565 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1100011110 3 -b111011110 & -b111011110 5 -b111011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2566 -0+ -#2567 -b1100011111 3 -b111011111 & -b111011111 5 -b111011111 G -1+ -#2568 -0+ -#2569 -b11001000 4 -b1100100000 3 -b111100000 & -b111100000 5 -b111100000 G -1+ -#2570 -0+ -#2571 -b11001000 . -b11001000 B -b11001000 : -b1100100001 3 -b111100001 & -b111100001 5 -b111100001 G -1+ -#2572 -0+ -#2573 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1100100010 3 -b111100010 & -b111100010 5 -b111100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2574 -0+ -#2575 -b1100100011 3 -b111100011 & -b111100011 5 -b111100011 G -1+ -#2576 -0+ -#2577 -b11001001 4 -b1100100100 3 -b111100100 & -b111100100 5 -b111100100 G -1+ -#2578 -0+ -#2579 -b11001001 . -b11001001 B -b11001001 : -b1100100101 3 -b111100101 & -b111100101 5 -b111100101 G -1+ -#2580 -0+ -#2581 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1100100110 3 -b111100110 & -b111100110 5 -b111100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2582 -0+ -#2583 -b1100100111 3 -b111100111 & -b111100111 5 -b111100111 G -1+ -#2584 -0+ -#2585 -b11001010 4 -b1100101000 3 -b111101000 & -b111101000 5 -b111101000 G -1+ -#2586 -0+ -#2587 -b11001010 . -b11001010 B -b11001010 : -b1100101001 3 -b111101001 & -b111101001 5 -b111101001 G -1+ -#2588 -0+ -#2589 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1100101010 3 -b111101010 & -b111101010 5 -b111101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2590 -0+ -#2591 -b1100101011 3 -b111101011 & -b111101011 5 -b111101011 G -1+ -#2592 -0+ -#2593 -b11001011 4 -b1100101100 3 -b111101100 & -b111101100 5 -b111101100 G -1+ -#2594 -0+ -#2595 -b11001011 . -b11001011 B -b11001011 : -b1100101101 3 -b111101101 & -b111101101 5 -b111101101 G -1+ -#2596 -0+ -#2597 -b1000 ' -b1000 6 -b100010000000 < -b1100101110 3 -b111101110 & -b111101110 5 -b111101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2598 -0+ -#2599 -b1100101111 3 -b111101111 & -b111101111 5 -b111101111 G -1+ -#2600 -0+ -#2601 -b11001100 4 -b1100110000 3 -b111110000 & -b111110000 5 -b111110000 G -1+ -#2602 -0+ -#2603 -b11001100 . -b11001100 B -b11001100 : -b1100110001 3 -b111110001 & -b111110001 5 -b111110001 G -1+ -#2604 -0+ -#2605 -b0 $ -b0 2 -b10000000 < -b1100110010 3 -b111110010 & -b111110010 5 -b111110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2606 -0+ -#2607 -b1100110011 3 -b111110011 & -b111110011 5 -b111110011 G -1+ -#2608 -0+ -#2609 -b11001101 4 -b1100110100 3 -b111110100 & -b111110100 5 -b111110100 G -1+ -#2610 -0+ -#2611 -b11001101 . -b11001101 B -b11001101 : -b1100110101 3 -b111110101 & -b111110101 5 -b111110101 G -1+ -#2612 -0+ -#2613 -b1000 * -b1000 9 -b10001000 < -b1100110110 3 -b111110110 & -b111110110 5 -b111110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2614 -0+ -#2615 -b1100110111 3 -b111110111 & -b111110111 5 -b111110111 G -1+ -#2616 -0+ -#2617 -b11001110 4 -b1100111000 3 -b111111000 & -b111111000 5 -b111111000 G -1+ -#2618 -0+ -#2619 -b11001110 . -b11001110 B -b11001110 : -b1100111001 3 -b111111001 & -b111111001 5 -b111111001 G -1+ -#2620 -0+ -#2621 -b0 ' -b0 6 -b1000 < -b1100111010 3 -b111111010 & -b111111010 5 -b111111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2622 -0+ -#2623 -b1100111011 3 -b111111011 & -b111111011 5 -b111111011 G -1+ -#2624 -0+ -#2625 -b11001111 4 -b1100111100 3 -b111111100 & -b111111100 5 -b111111100 G -1+ -#2626 -0+ -#2627 -b11001111 . -b11001111 B -b11001111 : -b1100111101 3 -b111111101 & -b111111101 5 -b111111101 G -1+ -#2628 -0+ -#2629 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1100111110 3 -b111111110 & -b111111110 5 -b111111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2630 -0+ -#2631 -b1100111111 3 -b111111111 & -b111111111 5 -b111111111 G -1+ -#2632 -0+ -#2633 -b11010000 4 -b1101000000 3 -b1000000000 & -b1000000000 5 -b1000000000 G -1+ -#2634 -0+ -#2635 -b11010000 . -b11010000 B -b11010000 : -b1101000001 3 -b1000000001 & -b1000000001 5 -b1000000001 G -1+ -#2636 -0+ -#2637 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1101000010 3 -b1000000010 & -b1000000010 5 -b1000000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2638 -0+ -#2639 -b1101000011 3 -b1000000011 & -b1000000011 5 -b1000000011 G -1+ -#2640 -0+ -#2641 -b11010001 4 -b1101000100 3 -b1000000100 & -b1000000100 5 -b1000000100 G -1+ -#2642 -0+ -#2643 -b11010001 . -b11010001 B -b11010001 : -b1101000101 3 -b1000000101 & -b1000000101 5 -b1000000101 G -1+ -#2644 -0+ -#2645 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1101000110 3 -b1000000110 & -b1000000110 5 -b1000000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2646 -0+ -#2647 -b1101000111 3 -b1000000111 & -b1000000111 5 -b1000000111 G -1+ -#2648 -0+ -#2649 -b11010010 4 -b1101001000 3 -b1000001000 & -b1000001000 5 -b1000001000 G -1+ -#2650 -0+ -#2651 -b11010010 . -b11010010 B -b11010010 : -b1101001001 3 -b1000001001 & -b1000001001 5 -b1000001001 G -1+ -#2652 -0+ -#2653 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1101001010 3 -b1000001010 & -b1000001010 5 -b1000001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2654 -0+ -#2655 -b1101001011 3 -b1000001011 & -b1000001011 5 -b1000001011 G -1+ -#2656 -0+ -#2657 -b11010011 4 -b1101001100 3 -b1000001100 & -b1000001100 5 -b1000001100 G -1+ -#2658 -0+ -#2659 -b11010011 . -b11010011 B -b11010011 : -b1101001101 3 -b1000001101 & -b1000001101 5 -b1000001101 G -1+ -#2660 -0+ -#2661 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1101001110 3 -b1000001110 & -b1000001110 5 -b1000001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2662 -0+ -#2663 -b1101001111 3 -b1000001111 & -b1000001111 5 -b1000001111 G -1+ -#2664 -0+ -#2665 -b11010100 4 -b1101010000 3 -b1000010000 & -b1000010000 5 -b1000010000 G -1+ -#2666 -0+ -#2667 -b11010100 . -b11010100 B -b11010100 : -b1101010001 3 -b1000010001 & -b1000010001 5 -b1000010001 G -1+ -#2668 -0+ -#2669 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1101010010 3 -b1000010010 & -b1000010010 5 -b1000010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2670 -0+ -#2671 -b1101010011 3 -b1000010011 & -b1000010011 5 -b1000010011 G -1+ -#2672 -0+ -#2673 -b11010101 4 -b1101010100 3 -b1000010100 & -b1000010100 5 -b1000010100 G -1+ -#2674 -0+ -#2675 -b11010101 . -b11010101 B -b11010101 : -b1101010101 3 -b1000010101 & -b1000010101 5 -b1000010101 G -1+ -#2676 -0+ -#2677 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1101010110 3 -b1000010110 & -b1000010110 5 -b1000010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2678 -0+ -#2679 -b1101010111 3 -b1000010111 & -b1000010111 5 -b1000010111 G -1+ -#2680 -0+ -#2681 -b11010110 4 -b1101011000 3 -b1000011000 & -b1000011000 5 -b1000011000 G -1+ -#2682 -0+ -#2683 -b11010110 . -b11010110 B -b11010110 : -b1101011001 3 -b1000011001 & -b1000011001 5 -b1000011001 G -1+ -#2684 -0+ -#2685 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1101011010 3 -b1000011010 & -b1000011010 5 -b1000011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2686 -0+ -#2687 -b1101011011 3 -b1000011011 & -b1000011011 5 -b1000011011 G -1+ -#2688 -0+ -#2689 -b11010111 4 -b1101011100 3 -b1000011100 & -b1000011100 5 -b1000011100 G -1+ -#2690 -0+ -#2691 -b11010111 . -b11010111 B -b11010111 : -b1101011101 3 -b1000011101 & -b1000011101 5 -b1000011101 G -1+ -#2692 -0+ -#2693 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1101011110 3 -b1000011110 & -b1000011110 5 -b1000011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2694 -0+ -#2695 -b1101011111 3 -b1000011111 & -b1000011111 5 -b1000011111 G -1+ -#2696 -0+ -#2697 -b11011000 4 -b1101100000 3 -b1000100000 & -b1000100000 5 -b1000100000 G -1+ -#2698 -0+ -#2699 -b11011000 . -b11011000 B -b11011000 : -b1101100001 3 -b1000100001 & -b1000100001 5 -b1000100001 G -1+ -#2700 -0+ -#2701 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1101100010 3 -b1000100010 & -b1000100010 5 -b1000100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2702 -0+ -#2703 -b1101100011 3 -b1000100011 & -b1000100011 5 -b1000100011 G -1+ -#2704 -0+ -#2705 -b11011001 4 -b1101100100 3 -b1000100100 & -b1000100100 5 -b1000100100 G -1+ -#2706 -0+ -#2707 -b11011001 . -b11011001 B -b11011001 : -b1101100101 3 -b1000100101 & -b1000100101 5 -b1000100101 G -1+ -#2708 -0+ -#2709 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1101100110 3 -b1000100110 & -b1000100110 5 -b1000100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2710 -0+ -#2711 -b1101100111 3 -b1000100111 & -b1000100111 5 -b1000100111 G -1+ -#2712 -0+ -#2713 -b11011010 4 -b1101101000 3 -b1000101000 & -b1000101000 5 -b1000101000 G -1+ -#2714 -0+ -#2715 -b11011010 . -b11011010 B -b11011010 : -b1101101001 3 -b1000101001 & -b1000101001 5 -b1000101001 G -1+ -#2716 -0+ -#2717 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1101101010 3 -b1000101010 & -b1000101010 5 -b1000101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2718 -0+ -#2719 -b1101101011 3 -b1000101011 & -b1000101011 5 -b1000101011 G -1+ -#2720 -0+ -#2721 -b11011011 4 -b1101101100 3 -b1000101100 & -b1000101100 5 -b1000101100 G -1+ -#2722 -0+ -#2723 -b11011011 . -b11011011 B -b11011011 : -b1101101101 3 -b1000101101 & -b1000101101 5 -b1000101101 G -1+ -#2724 -0+ -#2725 -b1000 ' -b1000 6 -b100010000000 < -b1101101110 3 -b1000101110 & -b1000101110 5 -b1000101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2726 -0+ -#2727 -b1101101111 3 -b1000101111 & -b1000101111 5 -b1000101111 G -1+ -#2728 -0+ -#2729 -b11011100 4 -b1101110000 3 -b1000110000 & -b1000110000 5 -b1000110000 G -1+ -#2730 -0+ -#2731 -b11011100 . -b11011100 B -b11011100 : -b1101110001 3 -b1000110001 & -b1000110001 5 -b1000110001 G -1+ -#2732 -0+ -#2733 -b0 $ -b0 2 -b10000000 < -b1101110010 3 -b1000110010 & -b1000110010 5 -b1000110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2734 -0+ -#2735 -b1101110011 3 -b1000110011 & -b1000110011 5 -b1000110011 G -1+ -#2736 -0+ -#2737 -b11011101 4 -b1101110100 3 -b1000110100 & -b1000110100 5 -b1000110100 G -1+ -#2738 -0+ -#2739 -b11011101 . -b11011101 B -b11011101 : -b1101110101 3 -b1000110101 & -b1000110101 5 -b1000110101 G -1+ -#2740 -0+ -#2741 -b1000 * -b1000 9 -b10001000 < -b1101110110 3 -b1000110110 & -b1000110110 5 -b1000110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2742 -0+ -#2743 -b1101110111 3 -b1000110111 & -b1000110111 5 -b1000110111 G -1+ -#2744 -0+ -#2745 -b11011110 4 -b1101111000 3 -b1000111000 & -b1000111000 5 -b1000111000 G -1+ -#2746 -0+ -#2747 -b11011110 . -b11011110 B -b11011110 : -b1101111001 3 -b1000111001 & -b1000111001 5 -b1000111001 G -1+ -#2748 -0+ -#2749 -b0 ' -b0 6 -b1000 < -b1101111010 3 -b1000111010 & -b1000111010 5 -b1000111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2750 -0+ -#2751 -b1101111011 3 -b1000111011 & -b1000111011 5 -b1000111011 G -1+ -#2752 -0+ -#2753 -b11011111 4 -b1101111100 3 -b1000111100 & -b1000111100 5 -b1000111100 G -1+ -#2754 -0+ -#2755 -b11011111 . -b11011111 B -b11011111 : -b1101111101 3 -b1000111101 & -b1000111101 5 -b1000111101 G -1+ -#2756 -0+ -#2757 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1101111110 3 -b1000111110 & -b1000111110 5 -b1000111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2758 -0+ -#2759 -b1101111111 3 -b1000111111 & -b1000111111 5 -b1000111111 G -1+ -#2760 -0+ -#2761 -b11100000 4 -b1110000000 3 -b1001000000 & -b1001000000 5 -b1001000000 G -1+ -#2762 -0+ -#2763 -b11100000 . -b11100000 B -b11100000 : -b1110000001 3 -b1001000001 & -b1001000001 5 -b1001000001 G -1+ -#2764 -0+ -#2765 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1110000010 3 -b1001000010 & -b1001000010 5 -b1001000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2766 -0+ -#2767 -b1110000011 3 -b1001000011 & -b1001000011 5 -b1001000011 G -1+ -#2768 -0+ -#2769 -b11100001 4 -b1110000100 3 -b1001000100 & -b1001000100 5 -b1001000100 G -1+ -#2770 -0+ -#2771 -b11100001 . -b11100001 B -b11100001 : -b1110000101 3 -b1001000101 & -b1001000101 5 -b1001000101 G -1+ -#2772 -0+ -#2773 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1110000110 3 -b1001000110 & -b1001000110 5 -b1001000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2774 -0+ -#2775 -b1110000111 3 -b1001000111 & -b1001000111 5 -b1001000111 G -1+ -#2776 -0+ -#2777 -b11100010 4 -b1110001000 3 -b1001001000 & -b1001001000 5 -b1001001000 G -1+ -#2778 -0+ -#2779 -b11100010 . -b11100010 B -b11100010 : -b1110001001 3 -b1001001001 & -b1001001001 5 -b1001001001 G -1+ -#2780 -0+ -#2781 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1110001010 3 -b1001001010 & -b1001001010 5 -b1001001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2782 -0+ -#2783 -b1110001011 3 -b1001001011 & -b1001001011 5 -b1001001011 G -1+ -#2784 -0+ -#2785 -b11100011 4 -b1110001100 3 -b1001001100 & -b1001001100 5 -b1001001100 G -1+ -#2786 -0+ -#2787 -b11100011 . -b11100011 B -b11100011 : -b1110001101 3 -b1001001101 & -b1001001101 5 -b1001001101 G -1+ -#2788 -0+ -#2789 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1110001110 3 -b1001001110 & -b1001001110 5 -b1001001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2790 -0+ -#2791 -b1110001111 3 -b1001001111 & -b1001001111 5 -b1001001111 G -1+ -#2792 -0+ -#2793 -b11100100 4 -b1110010000 3 -b1001010000 & -b1001010000 5 -b1001010000 G -1+ -#2794 -0+ -#2795 -b11100100 . -b11100100 B -b11100100 : -b1110010001 3 -b1001010001 & -b1001010001 5 -b1001010001 G -1+ -#2796 -0+ -#2797 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1110010010 3 -b1001010010 & -b1001010010 5 -b1001010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2798 -0+ -#2799 -b1110010011 3 -b1001010011 & -b1001010011 5 -b1001010011 G -1+ -#2800 -0+ -#2801 -b11100101 4 -b1110010100 3 -b1001010100 & -b1001010100 5 -b1001010100 G -1+ -#2802 -0+ -#2803 -b11100101 . -b11100101 B -b11100101 : -b1110010101 3 -b1001010101 & -b1001010101 5 -b1001010101 G -1+ -#2804 -0+ -#2805 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1110010110 3 -b1001010110 & -b1001010110 5 -b1001010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2806 -0+ -#2807 -b1110010111 3 -b1001010111 & -b1001010111 5 -b1001010111 G -1+ -#2808 -0+ -#2809 -b11100110 4 -b1110011000 3 -b1001011000 & -b1001011000 5 -b1001011000 G -1+ -#2810 -0+ -#2811 -b11100110 . -b11100110 B -b11100110 : -b1110011001 3 -b1001011001 & -b1001011001 5 -b1001011001 G -1+ -#2812 -0+ -#2813 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1110011010 3 -b1001011010 & -b1001011010 5 -b1001011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2814 -0+ -#2815 -b1110011011 3 -b1001011011 & -b1001011011 5 -b1001011011 G -1+ -#2816 -0+ -#2817 -b11100111 4 -b1110011100 3 -b1001011100 & -b1001011100 5 -b1001011100 G -1+ -#2818 -0+ -#2819 -b11100111 . -b11100111 B -b11100111 : -b1110011101 3 -b1001011101 & -b1001011101 5 -b1001011101 G -1+ -#2820 -0+ -#2821 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1110011110 3 -b1001011110 & -b1001011110 5 -b1001011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2822 -0+ -#2823 -b1110011111 3 -b1001011111 & -b1001011111 5 -b1001011111 G -1+ -#2824 -0+ -#2825 -b11101000 4 -b1110100000 3 -b1001100000 & -b1001100000 5 -b1001100000 G -1+ -#2826 -0+ -#2827 -b11101000 . -b11101000 B -b11101000 : -b1110100001 3 -b1001100001 & -b1001100001 5 -b1001100001 G -1+ -#2828 -0+ -#2829 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1110100010 3 -b1001100010 & -b1001100010 5 -b1001100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2830 -0+ -#2831 -b1110100011 3 -b1001100011 & -b1001100011 5 -b1001100011 G -1+ -#2832 -0+ -#2833 -b11101001 4 -b1110100100 3 -b1001100100 & -b1001100100 5 -b1001100100 G -1+ -#2834 -0+ -#2835 -b11101001 . -b11101001 B -b11101001 : -b1110100101 3 -b1001100101 & -b1001100101 5 -b1001100101 G -1+ -#2836 -0+ -#2837 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1110100110 3 -b1001100110 & -b1001100110 5 -b1001100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2838 -0+ -#2839 -b1110100111 3 -b1001100111 & -b1001100111 5 -b1001100111 G -1+ -#2840 -0+ -#2841 -b11101010 4 -b1110101000 3 -b1001101000 & -b1001101000 5 -b1001101000 G -1+ -#2842 -0+ -#2843 -b11101010 . -b11101010 B -b11101010 : -b1110101001 3 -b1001101001 & -b1001101001 5 -b1001101001 G -1+ -#2844 -0+ -#2845 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1110101010 3 -b1001101010 & -b1001101010 5 -b1001101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2846 -0+ -#2847 -b1110101011 3 -b1001101011 & -b1001101011 5 -b1001101011 G -1+ -#2848 -0+ -#2849 -b11101011 4 -b1110101100 3 -b1001101100 & -b1001101100 5 -b1001101100 G -1+ -#2850 -0+ -#2851 -b11101011 . -b11101011 B -b11101011 : -b1110101101 3 -b1001101101 & -b1001101101 5 -b1001101101 G -1+ -#2852 -0+ -#2853 -b1000 ' -b1000 6 -b100010000000 < -b1110101110 3 -b1001101110 & -b1001101110 5 -b1001101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2854 -0+ -#2855 -b1110101111 3 -b1001101111 & -b1001101111 5 -b1001101111 G -1+ -#2856 -0+ -#2857 -b11101100 4 -b1110110000 3 -b1001110000 & -b1001110000 5 -b1001110000 G -1+ -#2858 -0+ -#2859 -b11101100 . -b11101100 B -b11101100 : -b1110110001 3 -b1001110001 & -b1001110001 5 -b1001110001 G -1+ -#2860 -0+ -#2861 -b0 $ -b0 2 -b10000000 < -b1110110010 3 -b1001110010 & -b1001110010 5 -b1001110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2862 -0+ -#2863 -b1110110011 3 -b1001110011 & -b1001110011 5 -b1001110011 G -1+ -#2864 -0+ -#2865 -b11101101 4 -b1110110100 3 -b1001110100 & -b1001110100 5 -b1001110100 G -1+ -#2866 -0+ -#2867 -b11101101 . -b11101101 B -b11101101 : -b1110110101 3 -b1001110101 & -b1001110101 5 -b1001110101 G -1+ -#2868 -0+ -#2869 -b1000 * -b1000 9 -b10001000 < -b1110110110 3 -b1001110110 & -b1001110110 5 -b1001110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2870 -0+ -#2871 -b1110110111 3 -b1001110111 & -b1001110111 5 -b1001110111 G -1+ -#2872 -0+ -#2873 -b11101110 4 -b1110111000 3 -b1001111000 & -b1001111000 5 -b1001111000 G -1+ -#2874 -0+ -#2875 -b11101110 . -b11101110 B -b11101110 : -b1110111001 3 -b1001111001 & -b1001111001 5 -b1001111001 G -1+ -#2876 -0+ -#2877 -b0 ' -b0 6 -b1000 < -b1110111010 3 -b1001111010 & -b1001111010 5 -b1001111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#2878 -0+ -#2879 -b1110111011 3 -b1001111011 & -b1001111011 5 -b1001111011 G -1+ -#2880 -0+ -#2881 -b11101111 4 -b1110111100 3 -b1001111100 & -b1001111100 5 -b1001111100 G -1+ -#2882 -0+ -#2883 -b11101111 . -b11101111 B -b11101111 : -b1110111101 3 -b1001111101 & -b1001111101 5 -b1001111101 G -1+ -#2884 -0+ -#2885 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1110111110 3 -b1001111110 & -b1001111110 5 -b1001111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#2886 -0+ -#2887 -b1110111111 3 -b1001111111 & -b1001111111 5 -b1001111111 G -1+ -#2888 -0+ -#2889 -0( -b11110000 4 -b1111000000 3 -b1010000000 & -b1010000000 5 -b1010000000 G -1+ -#2890 -0+ -#2891 -b11110000 . -b11110000 B -b11110000 : -b1111000001 3 -b1010000001 & -b1010000001 5 -b1010000001 G -1+ -#2892 -0+ -#2893 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1111000010 3 -b1010000010 & -b1010000010 5 -b1010000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#2894 -0+ -#2895 -b1111000011 3 -b1010000011 & -b1010000011 5 -b1010000011 G -1+ -#2896 -0+ -#2897 -b11110001 4 -b1111000100 3 -b1010000100 & -b1010000100 5 -b1010000100 G -1+ -#2898 -0+ -#2899 -b11110001 . -b11110001 B -b11110001 : -b1111000101 3 -b1010000101 & -b1010000101 5 -b1010000101 G -1+ -#2900 -0+ -#2901 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1111000110 3 -b1010000110 & -b1010000110 5 -b1010000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#2902 -0+ -#2903 -b1111000111 3 -b1010000111 & -b1010000111 5 -b1010000111 G -1+ -#2904 -0+ -#2905 -b11110010 4 -b1111001000 3 -b1010001000 & -b1010001000 5 -b1010001000 G -1+ -#2906 -0+ -#2907 -b11110010 . -b11110010 B -b11110010 : -b1111001001 3 -b1010001001 & -b1010001001 5 -b1010001001 G -1+ -#2908 -0+ -#2909 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1111001010 3 -b1010001010 & -b1010001010 5 -b1010001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#2910 -0+ -#2911 -b1111001011 3 -b1010001011 & -b1010001011 5 -b1010001011 G -1+ -#2912 -0+ -#2913 -b11110011 4 -b1111001100 3 -b1010001100 & -b1010001100 5 -b1010001100 G -1+ -#2914 -0+ -#2915 -b11110011 . -b11110011 B -b11110011 : -b1111001101 3 -b1010001101 & -b1010001101 5 -b1010001101 G -1+ -#2916 -0+ -#2917 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1111001110 3 -b1010001110 & -b1010001110 5 -b1010001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#2918 -0+ -#2919 -b1111001111 3 -b1010001111 & -b1010001111 5 -b1010001111 G -1+ -#2920 -0+ -#2921 -0% -b11110100 4 -b1111010000 3 -b1010010000 & -b1010010000 5 -b1010010000 G -1+ -#2922 -0+ -#2923 -b11110100 . -b11110100 B -b11110100 : -b1111010001 3 -b1010010001 & -b1010010001 5 -b1010010001 G -1+ -#2924 -0+ -#2925 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1111010010 3 -b1010010010 & -b1010010010 5 -b1010010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#2926 -0+ -#2927 -b1111010011 3 -b1010010011 & -b1010010011 5 -b1010010011 G -1+ -#2928 -0+ -#2929 -b11110101 4 -b1111010100 3 -b1010010100 & -b1010010100 5 -b1010010100 G -1+ -#2930 -0+ -#2931 -b11110101 . -b11110101 B -b11110101 : -b1111010101 3 -b1010010101 & -b1010010101 5 -b1010010101 G -1+ -#2932 -0+ -#2933 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1111010110 3 -b1010010110 & -b1010010110 5 -b1010010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#2934 -0+ -#2935 -b1111010111 3 -b1010010111 & -b1010010111 5 -b1010010111 G -1+ -#2936 -0+ -#2937 -b11110110 4 -b1111011000 3 -b1010011000 & -b1010011000 5 -b1010011000 G -1+ -#2938 -0+ -#2939 -b11110110 . -b11110110 B -b11110110 : -b1111011001 3 -b1010011001 & -b1010011001 5 -b1010011001 G -1+ -#2940 -0+ -#2941 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1111011010 3 -b1010011010 & -b1010011010 5 -b1010011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#2942 -0+ -#2943 -b1111011011 3 -b1010011011 & -b1010011011 5 -b1010011011 G -1+ -#2944 -0+ -#2945 -b11110111 4 -b1111011100 3 -b1010011100 & -b1010011100 5 -b1010011100 G -1+ -#2946 -0+ -#2947 -b11110111 . -b11110111 B -b11110111 : -b1111011101 3 -b1010011101 & -b1010011101 5 -b1010011101 G -1+ -#2948 -0+ -#2949 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1111011110 3 -b1010011110 & -b1010011110 5 -b1010011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#2950 -0+ -#2951 -b1111011111 3 -b1010011111 & -b1010011111 5 -b1010011111 G -1+ -#2952 -0+ -#2953 -b11111000 4 -b1111100000 3 -b1010100000 & -b1010100000 5 -b1010100000 G -1+ -#2954 -0+ -#2955 -b11111000 . -b11111000 B -b11111000 : -b1111100001 3 -b1010100001 & -b1010100001 5 -b1010100001 G -1+ -#2956 -0+ -#2957 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1111100010 3 -b1010100010 & -b1010100010 5 -b1010100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#2958 -0+ -#2959 -b1111100011 3 -b1010100011 & -b1010100011 5 -b1010100011 G -1+ -#2960 -0+ -#2961 -b11111001 4 -b1111100100 3 -b1010100100 & -b1010100100 5 -b1010100100 G -1+ -#2962 -0+ -#2963 -b11111001 . -b11111001 B -b11111001 : -b1111100101 3 -b1010100101 & -b1010100101 5 -b1010100101 G -1+ -#2964 -0+ -#2965 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1111100110 3 -b1010100110 & -b1010100110 5 -b1010100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#2966 -0+ -#2967 -b1111100111 3 -b1010100111 & -b1010100111 5 -b1010100111 G -1+ -#2968 -0+ -#2969 -b11111010 4 -b1111101000 3 -b1010101000 & -b1010101000 5 -b1010101000 G -1+ -#2970 -0+ -#2971 -b11111010 . -b11111010 B -b11111010 : -b1111101001 3 -b1010101001 & -b1010101001 5 -b1010101001 G -1+ -#2972 -0+ -#2973 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1111101010 3 -b1010101010 & -b1010101010 5 -b1010101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#2974 -0+ -#2975 -b1111101011 3 -b1010101011 & -b1010101011 5 -b1010101011 G -1+ -#2976 -0+ -#2977 -b11111011 4 -b1111101100 3 -b1010101100 & -b1010101100 5 -b1010101100 G -1+ -#2978 -0+ -#2979 -b11111011 . -b11111011 B -b11111011 : -b1111101101 3 -b1010101101 & -b1010101101 5 -b1010101101 G -1+ -#2980 -0+ -#2981 -b1000 ' -b1000 6 -b100010000000 < -b1111101110 3 -b1010101110 & -b1010101110 5 -b1010101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#2982 -0+ -#2983 -b1111101111 3 -b1010101111 & -b1010101111 5 -b1010101111 G -1+ -#2984 -0+ -#2985 -b11111100 4 -b1111110000 3 -b1010110000 & -b1010110000 5 -b1010110000 G -1+ -#2986 -0+ -#2987 -b11111100 . -b11111100 B -b11111100 : -b1111110001 3 -b1010110001 & -b1010110001 5 -b1010110001 G -1+ -#2988 -0+ -#2989 -b0 $ -b0 2 -b10000000 < -b1111110010 3 -b1010110010 & -b1010110010 5 -b1010110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#2990 -0+ -#2991 -b1111110011 3 -b1010110011 & -b1010110011 5 -b1010110011 G -1+ -#2992 -0+ -#2993 -b11111101 4 -b1111110100 3 -b1010110100 & -b1010110100 5 -b1010110100 G -1+ -#2994 -0+ -#2995 -b11111101 . -b11111101 B -b11111101 : -b1111110101 3 -b1010110101 & -b1010110101 5 -b1010110101 G -1+ -#2996 -0+ -#2997 -b1000 * -b1000 9 -b10001000 < -b1111110110 3 -b1010110110 & -b1010110110 5 -b1010110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#2998 -0+ -#2999 -b1111110111 3 -b1010110111 & -b1010110111 5 -b1010110111 G -1+ -#3000 -0+ -#3001 -b11111110 4 -b1111111000 3 -b1010111000 & -b1010111000 5 -b1010111000 G -1+ -#3002 -0+ -#3003 -b11111110 . -b11111110 B -b11111110 : -b1111111001 3 -b1010111001 & -b1010111001 5 -b1010111001 G -1+ -#3004 -0+ -#3005 -b0 ' -b0 6 -b1000 < -b1111111010 3 -b1010111010 & -b1010111010 5 -b1010111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3006 -0+ -#3007 -b1111111011 3 -b1010111011 & -b1010111011 5 -b1010111011 G -1+ -#3008 -0+ -#3009 -b11111111 4 -b1111111100 3 -b1010111100 & -b1010111100 5 -b1010111100 G -1+ -#3010 -0+ -#3011 -b11111111 . -b11111111 B -b11111111 : -b1111111101 3 -b1010111101 & -b1010111101 5 -b1010111101 G -1+ -#3012 -0+ -#3013 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1111111110 3 -b1010111110 & -b1010111110 5 -b1010111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3014 -0+ -#3015 -b1111111111 3 -b1010111111 & -b1010111111 5 -b1010111111 G -1+ -#3016 -0+ -#3017 -b100000000 4 -b10000000000 3 -b1011000000 & -b1011000000 5 -b1011000000 G -1+ -#3018 -0+ -#3019 -b100000000 . -b100000000 B -b100000000 : -b10000000001 3 -b1011000001 & -b1011000001 5 -b1011000001 G -1+ -#3020 -0+ -#3021 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10000000010 3 -b1011000010 & -b1011000010 5 -b1011000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3022 -0+ -#3023 -b10000000011 3 -b1011000011 & -b1011000011 5 -b1011000011 G -1+ -#3024 -0+ -#3025 -b100000001 4 -b10000000100 3 -b1011000100 & -b1011000100 5 -b1011000100 G -1+ -#3026 -0+ -#3027 -b100000001 . -b100000001 B -b100000001 : -b10000000101 3 -b1011000101 & -b1011000101 5 -b1011000101 G -1+ -#3028 -0+ -#3029 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10000000110 3 -b1011000110 & -b1011000110 5 -b1011000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3030 -0+ -#3031 -b10000000111 3 -b1011000111 & -b1011000111 5 -b1011000111 G -1+ -#3032 -0+ -#3033 -b100000010 4 -b10000001000 3 -b1011001000 & -b1011001000 5 -b1011001000 G -1+ -#3034 -0+ -#3035 -b100000010 . -b100000010 B -b100000010 : -b10000001001 3 -b1011001001 & -b1011001001 5 -b1011001001 G -1+ -#3036 -0+ -#3037 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10000001010 3 -b1011001010 & -b1011001010 5 -b1011001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3038 -0+ -#3039 -b10000001011 3 -b1011001011 & -b1011001011 5 -b1011001011 G -1+ -#3040 -0+ -#3041 -b100000011 4 -b10000001100 3 -b1011001100 & -b1011001100 5 -b1011001100 G -1+ -#3042 -0+ -#3043 -b100000011 . -b100000011 B -b100000011 : -b10000001101 3 -b1011001101 & -b1011001101 5 -b1011001101 G -1+ -#3044 -0+ -#3045 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10000001110 3 -b1011001110 & -b1011001110 5 -b1011001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3046 -0+ -#3047 -b10000001111 3 -b1011001111 & -b1011001111 5 -b1011001111 G -1+ -#3048 -0+ -#3049 -b100000100 4 -b10000010000 3 -b1011010000 & -b1011010000 5 -b1011010000 G -1+ -#3050 -0+ -#3051 -b100000100 . -b100000100 B -b100000100 : -b10000010001 3 -b1011010001 & -b1011010001 5 -b1011010001 G -1+ -#3052 -0+ -#3053 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10000010010 3 -b1011010010 & -b1011010010 5 -b1011010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3054 -0+ -#3055 -b10000010011 3 -b1011010011 & -b1011010011 5 -b1011010011 G -1+ -#3056 -0+ -#3057 -b100000101 4 -b10000010100 3 -b1011010100 & -b1011010100 5 -b1011010100 G -1+ -#3058 -0+ -#3059 -b100000101 . -b100000101 B -b100000101 : -b10000010101 3 -b1011010101 & -b1011010101 5 -b1011010101 G -1+ -#3060 -0+ -#3061 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10000010110 3 -b1011010110 & -b1011010110 5 -b1011010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3062 -0+ -#3063 -b10000010111 3 -b1011010111 & -b1011010111 5 -b1011010111 G -1+ -#3064 -0+ -#3065 -b100000110 4 -b10000011000 3 -b1011011000 & -b1011011000 5 -b1011011000 G -1+ -#3066 -0+ -#3067 -b100000110 . -b100000110 B -b100000110 : -b10000011001 3 -b1011011001 & -b1011011001 5 -b1011011001 G -1+ -#3068 -0+ -#3069 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10000011010 3 -b1011011010 & -b1011011010 5 -b1011011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3070 -0+ -#3071 -b10000011011 3 -b1011011011 & -b1011011011 5 -b1011011011 G -1+ -#3072 -0+ -#3073 -b100000111 4 -b10000011100 3 -b1011011100 & -b1011011100 5 -b1011011100 G -1+ -#3074 -0+ -#3075 -b100000111 . -b100000111 B -b100000111 : -b10000011101 3 -b1011011101 & -b1011011101 5 -b1011011101 G -1+ -#3076 -0+ -#3077 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10000011110 3 -b1011011110 & -b1011011110 5 -b1011011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3078 -0+ -#3079 -b10000011111 3 -b1011011111 & -b1011011111 5 -b1011011111 G -1+ -#3080 -0+ -#3081 -b100001000 4 -b10000100000 3 -b1011100000 & -b1011100000 5 -b1011100000 G -1+ -#3082 -0+ -#3083 -b100001000 . -b100001000 B -b100001000 : -b10000100001 3 -b1011100001 & -b1011100001 5 -b1011100001 G -1+ -#3084 -0+ -#3085 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10000100010 3 -b1011100010 & -b1011100010 5 -b1011100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3086 -0+ -#3087 -b10000100011 3 -b1011100011 & -b1011100011 5 -b1011100011 G -1+ -#3088 -0+ -#3089 -b100001001 4 -b10000100100 3 -b1011100100 & -b1011100100 5 -b1011100100 G -1+ -#3090 -0+ -#3091 -b100001001 . -b100001001 B -b100001001 : -b10000100101 3 -b1011100101 & -b1011100101 5 -b1011100101 G -1+ -#3092 -0+ -#3093 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10000100110 3 -b1011100110 & -b1011100110 5 -b1011100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3094 -0+ -#3095 -b10000100111 3 -b1011100111 & -b1011100111 5 -b1011100111 G -1+ -#3096 -0+ -#3097 -b100001010 4 -b10000101000 3 -b1011101000 & -b1011101000 5 -b1011101000 G -1+ -#3098 -0+ -#3099 -b100001010 . -b100001010 B -b100001010 : -b10000101001 3 -b1011101001 & -b1011101001 5 -b1011101001 G -1+ -#3100 -0+ -#3101 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10000101010 3 -b1011101010 & -b1011101010 5 -b1011101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3102 -0+ -#3103 -b10000101011 3 -b1011101011 & -b1011101011 5 -b1011101011 G -1+ -#3104 -0+ -#3105 -b100001011 4 -b10000101100 3 -b1011101100 & -b1011101100 5 -b1011101100 G -1+ -#3106 -0+ -#3107 -b100001011 . -b100001011 B -b100001011 : -b10000101101 3 -b1011101101 & -b1011101101 5 -b1011101101 G -1+ -#3108 -0+ -#3109 -b1000 ' -b1000 6 -b100010000000 < -b10000101110 3 -b1011101110 & -b1011101110 5 -b1011101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3110 -0+ -#3111 -b10000101111 3 -b1011101111 & -b1011101111 5 -b1011101111 G -1+ -#3112 -0+ -#3113 -b100001100 4 -b10000110000 3 -b1011110000 & -b1011110000 5 -b1011110000 G -1+ -#3114 -0+ -#3115 -1% -b100001100 . -b100001100 B -b100001100 : -b10000110001 3 -b1011110001 & -b1011110001 5 -b1011110001 G -1+ -#3116 -0+ -#3117 -b0 $ -b0 2 -b10000000 < -b10000110010 3 -b1011110010 & -b1011110010 5 -b1011110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3118 -0+ -#3119 -b10000110011 3 -b1011110011 & -b1011110011 5 -b1011110011 G -1+ -#3120 -0+ -#3121 -b100001101 4 -b10000110100 3 -b1011110100 & -b1011110100 5 -b1011110100 G -1+ -#3122 -0+ -#3123 -b100001101 . -b100001101 B -b100001101 : -b10000110101 3 -b1011110101 & -b1011110101 5 -b1011110101 G -1+ -#3124 -0+ -#3125 -b1000 * -b1000 9 -b10001000 < -b10000110110 3 -b1011110110 & -b1011110110 5 -b1011110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3126 -0+ -#3127 -b10000110111 3 -b1011110111 & -b1011110111 5 -b1011110111 G -1+ -#3128 -0+ -#3129 -b100001110 4 -b10000111000 3 -b1011111000 & -b1011111000 5 -b1011111000 G -1+ -#3130 -0+ -#3131 -b100001110 . -b100001110 B -b100001110 : -b10000111001 3 -b1011111001 & -b1011111001 5 -b1011111001 G -1+ -#3132 -0+ -#3133 -b0 ' -b0 6 -b1000 < -b10000111010 3 -b1011111010 & -b1011111010 5 -b1011111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3134 -0+ -#3135 -b10000111011 3 -b1011111011 & -b1011111011 5 -b1011111011 G -1+ -#3136 -0+ -#3137 -b100001111 4 -b10000111100 3 -b1011111100 & -b1011111100 5 -b1011111100 G -1+ -#3138 -0+ -#3139 -b100001111 . -b100001111 B -b100001111 : -b10000111101 3 -b1011111101 & -b1011111101 5 -b1011111101 G -1+ -#3140 -0+ -#3141 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10000111110 3 -b1011111110 & -b1011111110 5 -b1011111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3142 -0+ -#3143 -b10000111111 3 -b1011111111 & -b1011111111 5 -b1011111111 G -1+ -#3144 -0+ -#3145 -b100010000 4 -b10001000000 3 -b1100000000 & -b1100000000 5 -b1100000000 G -1+ -#3146 -0+ -#3147 -b100010000 . -b100010000 B -b100010000 : -b10001000001 3 -b1100000001 & -b1100000001 5 -b1100000001 G -1+ -#3148 -0+ -#3149 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10001000010 3 -b1100000010 & -b1100000010 5 -b1100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3150 -0+ -#3151 -b10001000011 3 -b1100000011 & -b1100000011 5 -b1100000011 G -1+ -#3152 -0+ -#3153 -b100010001 4 -b10001000100 3 -b1100000100 & -b1100000100 5 -b1100000100 G -1+ -#3154 -0+ -#3155 -b100010001 . -b100010001 B -b100010001 : -b10001000101 3 -b1100000101 & -b1100000101 5 -b1100000101 G -1+ -#3156 -0+ -#3157 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10001000110 3 -b1100000110 & -b1100000110 5 -b1100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3158 -0+ -#3159 -b10001000111 3 -b1100000111 & -b1100000111 5 -b1100000111 G -1+ -#3160 -0+ -#3161 -b100010010 4 -b10001001000 3 -b1100001000 & -b1100001000 5 -b1100001000 G -1+ -#3162 -0+ -#3163 -b100010010 . -b100010010 B -b100010010 : -b10001001001 3 -b1100001001 & -b1100001001 5 -b1100001001 G -1+ -#3164 -0+ -#3165 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10001001010 3 -b1100001010 & -b1100001010 5 -b1100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3166 -0+ -#3167 -b10001001011 3 -b1100001011 & -b1100001011 5 -b1100001011 G -1+ -#3168 -0+ -#3169 -b100010011 4 -b10001001100 3 -b1100001100 & -b1100001100 5 -b1100001100 G -1+ -#3170 -0+ -#3171 -b100010011 . -b100010011 B -b100010011 : -b10001001101 3 -b1100001101 & -b1100001101 5 -b1100001101 G -1+ -#3172 -0+ -#3173 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10001001110 3 -b1100001110 & -b1100001110 5 -b1100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3174 -0+ -#3175 -b10001001111 3 -b1100001111 & -b1100001111 5 -b1100001111 G -1+ -#3176 -0+ -#3177 -b100010100 4 -b10001010000 3 -b1100010000 & -b1100010000 5 -b1100010000 G -1+ -#3178 -0+ -#3179 -b100010100 . -b100010100 B -b100010100 : -b10001010001 3 -b1100010001 & -b1100010001 5 -b1100010001 G -1+ -#3180 -0+ -#3181 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10001010010 3 -b1100010010 & -b1100010010 5 -b1100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3182 -0+ -#3183 -b10001010011 3 -b1100010011 & -b1100010011 5 -b1100010011 G -1+ -#3184 -0+ -#3185 -b100010101 4 -b10001010100 3 -b1100010100 & -b1100010100 5 -b1100010100 G -1+ -#3186 -0+ -#3187 -b100010101 . -b100010101 B -b100010101 : -b10001010101 3 -b1100010101 & -b1100010101 5 -b1100010101 G -1+ -#3188 -0+ -#3189 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10001010110 3 -b1100010110 & -b1100010110 5 -b1100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3190 -0+ -#3191 -b10001010111 3 -b1100010111 & -b1100010111 5 -b1100010111 G -1+ -#3192 -0+ -#3193 -b100010110 4 -b10001011000 3 -b1100011000 & -b1100011000 5 -b1100011000 G -1+ -#3194 -0+ -#3195 -b100010110 . -b100010110 B -b100010110 : -b10001011001 3 -b1100011001 & -b1100011001 5 -b1100011001 G -1+ -#3196 -0+ -#3197 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10001011010 3 -b1100011010 & -b1100011010 5 -b1100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3198 -0+ -#3199 -b10001011011 3 -b1100011011 & -b1100011011 5 -b1100011011 G -1+ -#3200 -0+ -#3201 -b100010111 4 -b10001011100 3 -b1100011100 & -b1100011100 5 -b1100011100 G -1+ -#3202 -0+ -#3203 -b100010111 . -b100010111 B -b100010111 : -b10001011101 3 -b1100011101 & -b1100011101 5 -b1100011101 G -1+ -#3204 -0+ -#3205 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10001011110 3 -b1100011110 & -b1100011110 5 -b1100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3206 -0+ -#3207 -b10001011111 3 -b1100011111 & -b1100011111 5 -b1100011111 G -1+ -#3208 -0+ -#3209 -1( -b10100000 4 -b10 # -b10 1 -b10 H -b1010000000 3 -b0 & -b0 5 -b0 G -1+ -#3210 -0+ -#3211 -b10100000 . -b10100000 B -b10100000 : -b1010000001 3 -b1 & -b1 5 -b1 G -1+ -#3212 -0+ -#3213 -b0 ' -b0 6 -b111100000000 < -b1010000010 3 -b10 & -b10 5 -b10 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3214 -0+ -#3215 -b1010000011 3 -b11 & -b11 5 -b11 G -1+ -#3216 -0+ -#3217 -b10100001 4 -b1010000100 3 -b100 & -b100 5 -b100 G -1+ -#3218 -0+ -#3219 -b10100001 . -b10100001 B -b10100001 : -b1010000101 3 -b101 & -b101 5 -b101 G -1+ -#3220 -0+ -#3221 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1010000110 3 -b110 & -b110 5 -b110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3222 -0+ -#3223 -b1010000111 3 -b111 & -b111 5 -b111 G -1+ -#3224 -0+ -#3225 -b10100010 4 -b1010001000 3 -b1000 & -b1000 5 -b1000 G -1+ -#3226 -0+ -#3227 -b10100010 . -b10100010 B -b10100010 : -b1010001001 3 -b1001 & -b1001 5 -b1001 G -1+ -#3228 -0+ -#3229 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1010001010 3 -b1010 & -b1010 5 -b1010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3230 -0+ -#3231 -b1010001011 3 -b1011 & -b1011 5 -b1011 G -1+ -#3232 -0+ -#3233 -b10100011 4 -b1010001100 3 -b1100 & -b1100 5 -b1100 G -1+ -#3234 -0+ -#3235 -b10100011 . -b10100011 B -b10100011 : -b1010001101 3 -b1101 & -b1101 5 -b1101 G -1+ -#3236 -0+ -#3237 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1010001110 3 -b1110 & -b1110 5 -b1110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3238 -0+ -#3239 -b1010001111 3 -b1111 & -b1111 5 -b1111 G -1+ -#3240 -0+ -#3241 -b10100100 4 -b1010010000 3 -b10000 & -b10000 5 -b10000 G -1+ -#3242 -0+ -#3243 -b10100100 . -b10100100 B -b10100100 : -b1010010001 3 -b10001 & -b10001 5 -b10001 G -1+ -#3244 -0+ -#3245 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1010010010 3 -b10010 & -b10010 5 -b10010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3246 -0+ -#3247 -b1010010011 3 -b10011 & -b10011 5 -b10011 G -1+ -#3248 -0+ -#3249 -b10100101 4 -b1010010100 3 -b10100 & -b10100 5 -b10100 G -1+ -#3250 -0+ -#3251 -b10100101 . -b10100101 B -b10100101 : -b1010010101 3 -b10101 & -b10101 5 -b10101 G -1+ -#3252 -0+ -#3253 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1010010110 3 -b10110 & -b10110 5 -b10110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3254 -0+ -#3255 -b1010010111 3 -b10111 & -b10111 5 -b10111 G -1+ -#3256 -0+ -#3257 -b10100110 4 -b1010011000 3 -b11000 & -b11000 5 -b11000 G -1+ -#3258 -0+ -#3259 -b10100110 . -b10100110 B -b10100110 : -b1010011001 3 -b11001 & -b11001 5 -b11001 G -1+ -#3260 -0+ -#3261 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1010011010 3 -b11010 & -b11010 5 -b11010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3262 -0+ -#3263 -b1010011011 3 -b11011 & -b11011 5 -b11011 G -1+ -#3264 -0+ -#3265 -b10100111 4 -b1010011100 3 -b11100 & -b11100 5 -b11100 G -1+ -#3266 -0+ -#3267 -b10100111 . -b10100111 B -b10100111 : -b1010011101 3 -b11101 & -b11101 5 -b11101 G -1+ -#3268 -0+ -#3269 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1010011110 3 -b11110 & -b11110 5 -b11110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3270 -0+ -#3271 -b1010011111 3 -b11111 & -b11111 5 -b11111 G -1+ -#3272 -0+ -#3273 -b10101000 4 -b1010100000 3 -b100000 & -b100000 5 -b100000 G -1+ -#3274 -0+ -#3275 -b10101000 . -b10101000 B -b10101000 : -b1010100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#3276 -0+ -#3277 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1010100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3278 -0+ -#3279 -b1010100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#3280 -0+ -#3281 -b10101001 4 -b1010100100 3 -b100100 & -b100100 5 -b100100 G -1+ -#3282 -0+ -#3283 -b10101001 . -b10101001 B -b10101001 : -b1010100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#3284 -0+ -#3285 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1010100110 3 -b100110 & -b100110 5 -b100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3286 -0+ -#3287 -b1010100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#3288 -0+ -#3289 -b10101010 4 -b1010101000 3 -b101000 & -b101000 5 -b101000 G -1+ -#3290 -0+ -#3291 -b10101010 . -b10101010 B -b10101010 : -b1010101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#3292 -0+ -#3293 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1010101010 3 -b101010 & -b101010 5 -b101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3294 -0+ -#3295 -b1010101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#3296 -0+ -#3297 -b10101011 4 -b1010101100 3 -b101100 & -b101100 5 -b101100 G -1+ -#3298 -0+ -#3299 -b10101011 . -b10101011 B -b10101011 : -b1010101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#3300 -0+ -#3301 -b1000 ' -b1000 6 -b100010000000 < -b1010101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3302 -0+ -#3303 -b1010101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#3304 -0+ -#3305 -b10101100 4 -b1010110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#3306 -0+ -#3307 -b10101100 . -b10101100 B -b10101100 : -b1010110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#3308 -0+ -#3309 -b0 $ -b0 2 -b10000000 < -b1010110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3310 -0+ -#3311 -b1010110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#3312 -0+ -#3313 -b10101101 4 -b1010110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#3314 -0+ -#3315 -b10101101 . -b10101101 B -b10101101 : -b1010110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#3316 -0+ -#3317 -b1000 * -b1000 9 -b10001000 < -b1010110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3318 -0+ -#3319 -b1010110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#3320 -0+ -#3321 -b10101110 4 -b1010111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#3322 -0+ -#3323 -b10101110 . -b10101110 B -b10101110 : -b1010111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#3324 -0+ -#3325 -b0 ' -b0 6 -b1000 < -b1010111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3326 -0+ -#3327 -b1010111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#3328 -0+ -#3329 -b10101111 4 -b1010111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#3330 -0+ -#3331 -b10101111 . -b10101111 B -b10101111 : -b1010111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#3332 -0+ -#3333 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1010111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3334 -0+ -#3335 -b1010111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#3336 -0+ -#3337 -b10110000 4 -b1011000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#3338 -0+ -#3339 -b10110000 . -b10110000 B -b10110000 : -b1011000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#3340 -0+ -#3341 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1011000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3342 -0+ -#3343 -b1011000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#3344 -0+ -#3345 -b10110001 4 -b1011000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#3346 -0+ -#3347 -b10110001 . -b10110001 B -b10110001 : -b1011000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#3348 -0+ -#3349 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1011000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3350 -0+ -#3351 -b1011000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#3352 -0+ -#3353 -b10110010 4 -b1011001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#3354 -0+ -#3355 -b10110010 . -b10110010 B -b10110010 : -b1011001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#3356 -0+ -#3357 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1011001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3358 -0+ -#3359 -b1011001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#3360 -0+ -#3361 -b10110011 4 -b1011001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#3362 -0+ -#3363 -b10110011 . -b10110011 B -b10110011 : -b1011001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#3364 -0+ -#3365 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1011001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3366 -0+ -#3367 -b1011001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#3368 -0+ -#3369 -b10110100 4 -b1011010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#3370 -0+ -#3371 -b10110100 . -b10110100 B -b10110100 : -b1011010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#3372 -0+ -#3373 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1011010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3374 -0+ -#3375 -b1011010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#3376 -0+ -#3377 -b10110101 4 -b1011010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#3378 -0+ -#3379 -b10110101 . -b10110101 B -b10110101 : -b1011010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#3380 -0+ -#3381 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1011010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3382 -0+ -#3383 -b1011010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#3384 -0+ -#3385 -b10110110 4 -b1011011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#3386 -0+ -#3387 -b10110110 . -b10110110 B -b10110110 : -b1011011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#3388 -0+ -#3389 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1011011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3390 -0+ -#3391 -b1011011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#3392 -0+ -#3393 -b10110111 4 -b1011011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#3394 -0+ -#3395 -b10110111 . -b10110111 B -b10110111 : -b1011011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#3396 -0+ -#3397 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1011011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3398 -0+ -#3399 -b1011011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#3400 -0+ -#3401 -b10111000 4 -b1011100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#3402 -0+ -#3403 -b10111000 . -b10111000 B -b10111000 : -b1011100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#3404 -0+ -#3405 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1011100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3406 -0+ -#3407 -b1011100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#3408 -0+ -#3409 -b10111001 4 -b1011100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#3410 -0+ -#3411 -b10111001 . -b10111001 B -b10111001 : -b1011100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#3412 -0+ -#3413 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1011100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3414 -0+ -#3415 -b1011100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#3416 -0+ -#3417 -b10111010 4 -b1011101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#3418 -0+ -#3419 -b10111010 . -b10111010 B -b10111010 : -b1011101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#3420 -0+ -#3421 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1011101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3422 -0+ -#3423 -b1011101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#3424 -0+ -#3425 -b10111011 4 -b1011101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#3426 -0+ -#3427 -b10111011 . -b10111011 B -b10111011 : -b1011101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#3428 -0+ -#3429 -b1000 ' -b1000 6 -b100010000000 < -b1011101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3430 -0+ -#3431 -b1011101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#3432 -0+ -#3433 -b10111100 4 -b1011110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#3434 -0+ -#3435 -b10111100 . -b10111100 B -b10111100 : -b1011110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#3436 -0+ -#3437 -b0 $ -b0 2 -b10000000 < -b1011110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3438 -0+ -#3439 -b1011110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#3440 -0+ -#3441 -b10111101 4 -b1011110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#3442 -0+ -#3443 -b10111101 . -b10111101 B -b10111101 : -b1011110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#3444 -0+ -#3445 -b1000 * -b1000 9 -b10001000 < -b1011110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3446 -0+ -#3447 -b1011110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#3448 -0+ -#3449 -b10111110 4 -b1011111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#3450 -0+ -#3451 -b10111110 . -b10111110 B -b10111110 : -b1011111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#3452 -0+ -#3453 -b0 ' -b0 6 -b1000 < -b1011111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3454 -0+ -#3455 -b1011111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#3456 -0+ -#3457 -b10111111 4 -b1011111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#3458 -0+ -#3459 -b10111111 . -b10111111 B -b10111111 : -b1011111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#3460 -0+ -#3461 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1011111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3462 -0+ -#3463 -b1011111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#3464 -0+ -#3465 -b11000000 4 -b1100000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#3466 -0+ -#3467 -b11000000 . -b11000000 B -b11000000 : -b1100000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#3468 -0+ -#3469 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1100000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3470 -0+ -#3471 -b1100000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#3472 -0+ -#3473 -b11000001 4 -b1100000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#3474 -0+ -#3475 -b11000001 . -b11000001 B -b11000001 : -b1100000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#3476 -0+ -#3477 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1100000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3478 -0+ -#3479 -b1100000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#3480 -0+ -#3481 -b11000010 4 -b1100001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#3482 -0+ -#3483 -b11000010 . -b11000010 B -b11000010 : -b1100001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#3484 -0+ -#3485 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1100001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3486 -0+ -#3487 -b1100001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#3488 -0+ -#3489 -b11000011 4 -b1100001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#3490 -0+ -#3491 -b11000011 . -b11000011 B -b11000011 : -b1100001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#3492 -0+ -#3493 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1100001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3494 -0+ -#3495 -b1100001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#3496 -0+ -#3497 -b11000100 4 -b1100010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#3498 -0+ -#3499 -b11000100 . -b11000100 B -b11000100 : -b1100010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#3500 -0+ -#3501 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1100010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3502 -0+ -#3503 -b1100010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#3504 -0+ -#3505 -b11000101 4 -b1100010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#3506 -0+ -#3507 -b11000101 . -b11000101 B -b11000101 : -b1100010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#3508 -0+ -#3509 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1100010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3510 -0+ -#3511 -b1100010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#3512 -0+ -#3513 -b11000110 4 -b1100011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#3514 -0+ -#3515 -b11000110 . -b11000110 B -b11000110 : -b1100011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#3516 -0+ -#3517 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1100011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3518 -0+ -#3519 -b1100011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#3520 -0+ -#3521 -b11000111 4 -b1100011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#3522 -0+ -#3523 -b11000111 . -b11000111 B -b11000111 : -b1100011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#3524 -0+ -#3525 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1100011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3526 -0+ -#3527 -b1100011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#3528 -0+ -#3529 -b11001000 4 -b1100100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#3530 -0+ -#3531 -b11001000 . -b11001000 B -b11001000 : -b1100100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#3532 -0+ -#3533 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1100100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3534 -0+ -#3535 -b1100100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#3536 -0+ -#3537 -b11001001 4 -b1100100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#3538 -0+ -#3539 -b11001001 . -b11001001 B -b11001001 : -b1100100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#3540 -0+ -#3541 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1100100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3542 -0+ -#3543 -b1100100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#3544 -0+ -#3545 -b11001010 4 -b1100101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#3546 -0+ -#3547 -b11001010 . -b11001010 B -b11001010 : -b1100101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#3548 -0+ -#3549 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1100101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3550 -0+ -#3551 -b1100101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#3552 -0+ -#3553 -b11001011 4 -b1100101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#3554 -0+ -#3555 -b11001011 . -b11001011 B -b11001011 : -b1100101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#3556 -0+ -#3557 -b1000 ' -b1000 6 -b100010000000 < -b1100101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3558 -0+ -#3559 -b1100101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#3560 -0+ -#3561 -b11001100 4 -b1100110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#3562 -0+ -#3563 -b11001100 . -b11001100 B -b11001100 : -b1100110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#3564 -0+ -#3565 -b0 $ -b0 2 -b10000000 < -b1100110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3566 -0+ -#3567 -b1100110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#3568 -0+ -#3569 -b11001101 4 -b1100110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#3570 -0+ -#3571 -b11001101 . -b11001101 B -b11001101 : -b1100110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#3572 -0+ -#3573 -b1000 * -b1000 9 -b10001000 < -b1100110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3574 -0+ -#3575 -b1100110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#3576 -0+ -#3577 -b11001110 4 -b1100111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#3578 -0+ -#3579 -b11001110 . -b11001110 B -b11001110 : -b1100111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#3580 -0+ -#3581 -b0 ' -b0 6 -b1000 < -b1100111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3582 -0+ -#3583 -b1100111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#3584 -0+ -#3585 -b11001111 4 -b1100111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#3586 -0+ -#3587 -b11001111 . -b11001111 B -b11001111 : -b1100111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#3588 -0+ -#3589 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1100111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3590 -0+ -#3591 -b1100111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#3592 -0+ -#3593 -b11010000 4 -b1101000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#3594 -0+ -#3595 -b11010000 . -b11010000 B -b11010000 : -b1101000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#3596 -0+ -#3597 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1101000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3598 -0+ -#3599 -b1101000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#3600 -0+ -#3601 -b11010001 4 -b1101000100 3 -b11000100 & -b11000100 5 -b11000100 G -1+ -#3602 -0+ -#3603 -b11010001 . -b11010001 B -b11010001 : -b1101000101 3 -b11000101 & -b11000101 5 -b11000101 G -1+ -#3604 -0+ -#3605 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1101000110 3 -b11000110 & -b11000110 5 -b11000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3606 -0+ -#3607 -b1101000111 3 -b11000111 & -b11000111 5 -b11000111 G -1+ -#3608 -0+ -#3609 -b11010010 4 -b1101001000 3 -b11001000 & -b11001000 5 -b11001000 G -1+ -#3610 -0+ -#3611 -b11010010 . -b11010010 B -b11010010 : -b1101001001 3 -b11001001 & -b11001001 5 -b11001001 G -1+ -#3612 -0+ -#3613 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1101001010 3 -b11001010 & -b11001010 5 -b11001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3614 -0+ -#3615 -b1101001011 3 -b11001011 & -b11001011 5 -b11001011 G -1+ -#3616 -0+ -#3617 -b11010011 4 -b1101001100 3 -b11001100 & -b11001100 5 -b11001100 G -1+ -#3618 -0+ -#3619 -b11010011 . -b11010011 B -b11010011 : -b1101001101 3 -b11001101 & -b11001101 5 -b11001101 G -1+ -#3620 -0+ -#3621 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1101001110 3 -b11001110 & -b11001110 5 -b11001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3622 -0+ -#3623 -b1101001111 3 -b11001111 & -b11001111 5 -b11001111 G -1+ -#3624 -0+ -#3625 -b11010100 4 -b1101010000 3 -b11010000 & -b11010000 5 -b11010000 G -1+ -#3626 -0+ -#3627 -b11010100 . -b11010100 B -b11010100 : -b1101010001 3 -b11010001 & -b11010001 5 -b11010001 G -1+ -#3628 -0+ -#3629 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1101010010 3 -b11010010 & -b11010010 5 -b11010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3630 -0+ -#3631 -b1101010011 3 -b11010011 & -b11010011 5 -b11010011 G -1+ -#3632 -0+ -#3633 -b11010101 4 -b1101010100 3 -b11010100 & -b11010100 5 -b11010100 G -1+ -#3634 -0+ -#3635 -b11010101 . -b11010101 B -b11010101 : -b1101010101 3 -b11010101 & -b11010101 5 -b11010101 G -1+ -#3636 -0+ -#3637 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1101010110 3 -b11010110 & -b11010110 5 -b11010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3638 -0+ -#3639 -b1101010111 3 -b11010111 & -b11010111 5 -b11010111 G -1+ -#3640 -0+ -#3641 -b11010110 4 -b1101011000 3 -b11011000 & -b11011000 5 -b11011000 G -1+ -#3642 -0+ -#3643 -b11010110 . -b11010110 B -b11010110 : -b1101011001 3 -b11011001 & -b11011001 5 -b11011001 G -1+ -#3644 -0+ -#3645 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1101011010 3 -b11011010 & -b11011010 5 -b11011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3646 -0+ -#3647 -b1101011011 3 -b11011011 & -b11011011 5 -b11011011 G -1+ -#3648 -0+ -#3649 -b11010111 4 -b1101011100 3 -b11011100 & -b11011100 5 -b11011100 G -1+ -#3650 -0+ -#3651 -b11010111 . -b11010111 B -b11010111 : -b1101011101 3 -b11011101 & -b11011101 5 -b11011101 G -1+ -#3652 -0+ -#3653 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1101011110 3 -b11011110 & -b11011110 5 -b11011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3654 -0+ -#3655 -b1101011111 3 -b11011111 & -b11011111 5 -b11011111 G -1+ -#3656 -0+ -#3657 -b11011000 4 -b1101100000 3 -b11100000 & -b11100000 5 -b11100000 G -1+ -#3658 -0+ -#3659 -b11011000 . -b11011000 B -b11011000 : -b1101100001 3 -b11100001 & -b11100001 5 -b11100001 G -1+ -#3660 -0+ -#3661 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1101100010 3 -b11100010 & -b11100010 5 -b11100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3662 -0+ -#3663 -b1101100011 3 -b11100011 & -b11100011 5 -b11100011 G -1+ -#3664 -0+ -#3665 -b11011001 4 -b1101100100 3 -b11100100 & -b11100100 5 -b11100100 G -1+ -#3666 -0+ -#3667 -b11011001 . -b11011001 B -b11011001 : -b1101100101 3 -b11100101 & -b11100101 5 -b11100101 G -1+ -#3668 -0+ -#3669 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1101100110 3 -b11100110 & -b11100110 5 -b11100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3670 -0+ -#3671 -b1101100111 3 -b11100111 & -b11100111 5 -b11100111 G -1+ -#3672 -0+ -#3673 -b11011010 4 -b1101101000 3 -b11101000 & -b11101000 5 -b11101000 G -1+ -#3674 -0+ -#3675 -b11011010 . -b11011010 B -b11011010 : -b1101101001 3 -b11101001 & -b11101001 5 -b11101001 G -1+ -#3676 -0+ -#3677 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1101101010 3 -b11101010 & -b11101010 5 -b11101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3678 -0+ -#3679 -b1101101011 3 -b11101011 & -b11101011 5 -b11101011 G -1+ -#3680 -0+ -#3681 -b11011011 4 -b1101101100 3 -b11101100 & -b11101100 5 -b11101100 G -1+ -#3682 -0+ -#3683 -b11011011 . -b11011011 B -b11011011 : -b1101101101 3 -b11101101 & -b11101101 5 -b11101101 G -1+ -#3684 -0+ -#3685 -b1000 ' -b1000 6 -b100010000000 < -b1101101110 3 -b11101110 & -b11101110 5 -b11101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3686 -0+ -#3687 -b1101101111 3 -b11101111 & -b11101111 5 -b11101111 G -1+ -#3688 -0+ -#3689 -b11011100 4 -b1101110000 3 -b11110000 & -b11110000 5 -b11110000 G -1+ -#3690 -0+ -#3691 -b11011100 . -b11011100 B -b11011100 : -b1101110001 3 -b11110001 & -b11110001 5 -b11110001 G -1+ -#3692 -0+ -#3693 -b0 $ -b0 2 -b10000000 < -b1101110010 3 -b11110010 & -b11110010 5 -b11110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3694 -0+ -#3695 -b1101110011 3 -b11110011 & -b11110011 5 -b11110011 G -1+ -#3696 -0+ -#3697 -b11011101 4 -b1101110100 3 -b11110100 & -b11110100 5 -b11110100 G -1+ -#3698 -0+ -#3699 -b11011101 . -b11011101 B -b11011101 : -b1101110101 3 -b11110101 & -b11110101 5 -b11110101 G -1+ -#3700 -0+ -#3701 -b1000 * -b1000 9 -b10001000 < -b1101110110 3 -b11110110 & -b11110110 5 -b11110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3702 -0+ -#3703 -b1101110111 3 -b11110111 & -b11110111 5 -b11110111 G -1+ -#3704 -0+ -#3705 -b11011110 4 -b1101111000 3 -b11111000 & -b11111000 5 -b11111000 G -1+ -#3706 -0+ -#3707 -b11011110 . -b11011110 B -b11011110 : -b1101111001 3 -b11111001 & -b11111001 5 -b11111001 G -1+ -#3708 -0+ -#3709 -b0 ' -b0 6 -b1000 < -b1101111010 3 -b11111010 & -b11111010 5 -b11111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3710 -0+ -#3711 -b1101111011 3 -b11111011 & -b11111011 5 -b11111011 G -1+ -#3712 -0+ -#3713 -b11011111 4 -b1101111100 3 -b11111100 & -b11111100 5 -b11111100 G -1+ -#3714 -0+ -#3715 -b11011111 . -b11011111 B -b11011111 : -b1101111101 3 -b11111101 & -b11111101 5 -b11111101 G -1+ -#3716 -0+ -#3717 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1101111110 3 -b11111110 & -b11111110 5 -b11111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3718 -0+ -#3719 -b1101111111 3 -b11111111 & -b11111111 5 -b11111111 G -1+ -#3720 -0+ -#3721 -b11100000 4 -b1110000000 3 -b100000000 & -b100000000 5 -b100000000 G -1+ -#3722 -0+ -#3723 -b11100000 . -b11100000 B -b11100000 : -b1110000001 3 -b100000001 & -b100000001 5 -b100000001 G -1+ -#3724 -0+ -#3725 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1110000010 3 -b100000010 & -b100000010 5 -b100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3726 -0+ -#3727 -b1110000011 3 -b100000011 & -b100000011 5 -b100000011 G -1+ -#3728 -0+ -#3729 -b11100001 4 -b1110000100 3 -b100000100 & -b100000100 5 -b100000100 G -1+ -#3730 -0+ -#3731 -b11100001 . -b11100001 B -b11100001 : -b1110000101 3 -b100000101 & -b100000101 5 -b100000101 G -1+ -#3732 -0+ -#3733 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1110000110 3 -b100000110 & -b100000110 5 -b100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3734 -0+ -#3735 -b1110000111 3 -b100000111 & -b100000111 5 -b100000111 G -1+ -#3736 -0+ -#3737 -b11100010 4 -b1110001000 3 -b100001000 & -b100001000 5 -b100001000 G -1+ -#3738 -0+ -#3739 -b11100010 . -b11100010 B -b11100010 : -b1110001001 3 -b100001001 & -b100001001 5 -b100001001 G -1+ -#3740 -0+ -#3741 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1110001010 3 -b100001010 & -b100001010 5 -b100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3742 -0+ -#3743 -b1110001011 3 -b100001011 & -b100001011 5 -b100001011 G -1+ -#3744 -0+ -#3745 -b11100011 4 -b1110001100 3 -b100001100 & -b100001100 5 -b100001100 G -1+ -#3746 -0+ -#3747 -b11100011 . -b11100011 B -b11100011 : -b1110001101 3 -b100001101 & -b100001101 5 -b100001101 G -1+ -#3748 -0+ -#3749 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1110001110 3 -b100001110 & -b100001110 5 -b100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3750 -0+ -#3751 -b1110001111 3 -b100001111 & -b100001111 5 -b100001111 G -1+ -#3752 -0+ -#3753 -b11100100 4 -b1110010000 3 -b100010000 & -b100010000 5 -b100010000 G -1+ -#3754 -0+ -#3755 -b11100100 . -b11100100 B -b11100100 : -b1110010001 3 -b100010001 & -b100010001 5 -b100010001 G -1+ -#3756 -0+ -#3757 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1110010010 3 -b100010010 & -b100010010 5 -b100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3758 -0+ -#3759 -b1110010011 3 -b100010011 & -b100010011 5 -b100010011 G -1+ -#3760 -0+ -#3761 -b11100101 4 -b1110010100 3 -b100010100 & -b100010100 5 -b100010100 G -1+ -#3762 -0+ -#3763 -b11100101 . -b11100101 B -b11100101 : -b1110010101 3 -b100010101 & -b100010101 5 -b100010101 G -1+ -#3764 -0+ -#3765 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1110010110 3 -b100010110 & -b100010110 5 -b100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3766 -0+ -#3767 -b1110010111 3 -b100010111 & -b100010111 5 -b100010111 G -1+ -#3768 -0+ -#3769 -b11100110 4 -b1110011000 3 -b100011000 & -b100011000 5 -b100011000 G -1+ -#3770 -0+ -#3771 -b11100110 . -b11100110 B -b11100110 : -b1110011001 3 -b100011001 & -b100011001 5 -b100011001 G -1+ -#3772 -0+ -#3773 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1110011010 3 -b100011010 & -b100011010 5 -b100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3774 -0+ -#3775 -b1110011011 3 -b100011011 & -b100011011 5 -b100011011 G -1+ -#3776 -0+ -#3777 -b11100111 4 -b1110011100 3 -b100011100 & -b100011100 5 -b100011100 G -1+ -#3778 -0+ -#3779 -b11100111 . -b11100111 B -b11100111 : -b1110011101 3 -b100011101 & -b100011101 5 -b100011101 G -1+ -#3780 -0+ -#3781 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1110011110 3 -b100011110 & -b100011110 5 -b100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3782 -0+ -#3783 -b1110011111 3 -b100011111 & -b100011111 5 -b100011111 G -1+ -#3784 -0+ -#3785 -b11101000 4 -b1110100000 3 -b100100000 & -b100100000 5 -b100100000 G -1+ -#3786 -0+ -#3787 -b11101000 . -b11101000 B -b11101000 : -b1110100001 3 -b100100001 & -b100100001 5 -b100100001 G -1+ -#3788 -0+ -#3789 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1110100010 3 -b100100010 & -b100100010 5 -b100100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3790 -0+ -#3791 -b1110100011 3 -b100100011 & -b100100011 5 -b100100011 G -1+ -#3792 -0+ -#3793 -b11101001 4 -b1110100100 3 -b100100100 & -b100100100 5 -b100100100 G -1+ -#3794 -0+ -#3795 -b11101001 . -b11101001 B -b11101001 : -b1110100101 3 -b100100101 & -b100100101 5 -b100100101 G -1+ -#3796 -0+ -#3797 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1110100110 3 -b100100110 & -b100100110 5 -b100100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3798 -0+ -#3799 -b1110100111 3 -b100100111 & -b100100111 5 -b100100111 G -1+ -#3800 -0+ -#3801 -b11101010 4 -b1110101000 3 -b100101000 & -b100101000 5 -b100101000 G -1+ -#3802 -0+ -#3803 -b11101010 . -b11101010 B -b11101010 : -b1110101001 3 -b100101001 & -b100101001 5 -b100101001 G -1+ -#3804 -0+ -#3805 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1110101010 3 -b100101010 & -b100101010 5 -b100101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3806 -0+ -#3807 -b1110101011 3 -b100101011 & -b100101011 5 -b100101011 G -1+ -#3808 -0+ -#3809 -b11101011 4 -b1110101100 3 -b100101100 & -b100101100 5 -b100101100 G -1+ -#3810 -0+ -#3811 -b11101011 . -b11101011 B -b11101011 : -b1110101101 3 -b100101101 & -b100101101 5 -b100101101 G -1+ -#3812 -0+ -#3813 -b1000 ' -b1000 6 -b100010000000 < -b1110101110 3 -b100101110 & -b100101110 5 -b100101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3814 -0+ -#3815 -b1110101111 3 -b100101111 & -b100101111 5 -b100101111 G -1+ -#3816 -0+ -#3817 -b11101100 4 -b1110110000 3 -b100110000 & -b100110000 5 -b100110000 G -1+ -#3818 -0+ -#3819 -b11101100 . -b11101100 B -b11101100 : -b1110110001 3 -b100110001 & -b100110001 5 -b100110001 G -1+ -#3820 -0+ -#3821 -b0 $ -b0 2 -b10000000 < -b1110110010 3 -b100110010 & -b100110010 5 -b100110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3822 -0+ -#3823 -b1110110011 3 -b100110011 & -b100110011 5 -b100110011 G -1+ -#3824 -0+ -#3825 -b11101101 4 -b1110110100 3 -b100110100 & -b100110100 5 -b100110100 G -1+ -#3826 -0+ -#3827 -b11101101 . -b11101101 B -b11101101 : -b1110110101 3 -b100110101 & -b100110101 5 -b100110101 G -1+ -#3828 -0+ -#3829 -b1000 * -b1000 9 -b10001000 < -b1110110110 3 -b100110110 & -b100110110 5 -b100110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3830 -0+ -#3831 -b1110110111 3 -b100110111 & -b100110111 5 -b100110111 G -1+ -#3832 -0+ -#3833 -b11101110 4 -b1110111000 3 -b100111000 & -b100111000 5 -b100111000 G -1+ -#3834 -0+ -#3835 -b11101110 . -b11101110 B -b11101110 : -b1110111001 3 -b100111001 & -b100111001 5 -b100111001 G -1+ -#3836 -0+ -#3837 -b0 ' -b0 6 -b1000 < -b1110111010 3 -b100111010 & -b100111010 5 -b100111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3838 -0+ -#3839 -b1110111011 3 -b100111011 & -b100111011 5 -b100111011 G -1+ -#3840 -0+ -#3841 -b11101111 4 -b1110111100 3 -b100111100 & -b100111100 5 -b100111100 G -1+ -#3842 -0+ -#3843 -b11101111 . -b11101111 B -b11101111 : -b1110111101 3 -b100111101 & -b100111101 5 -b100111101 G -1+ -#3844 -0+ -#3845 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1110111110 3 -b100111110 & -b100111110 5 -b100111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3846 -0+ -#3847 -b1110111111 3 -b100111111 & -b100111111 5 -b100111111 G -1+ -#3848 -0+ -#3849 -b11110000 4 -b1111000000 3 -b101000000 & -b101000000 5 -b101000000 G -1+ -#3850 -0+ -#3851 -b11110000 . -b11110000 B -b11110000 : -b1111000001 3 -b101000001 & -b101000001 5 -b101000001 G -1+ -#3852 -0+ -#3853 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b1111000010 3 -b101000010 & -b101000010 5 -b101000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3854 -0+ -#3855 -b1111000011 3 -b101000011 & -b101000011 5 -b101000011 G -1+ -#3856 -0+ -#3857 -b11110001 4 -b1111000100 3 -b101000100 & -b101000100 5 -b101000100 G -1+ -#3858 -0+ -#3859 -b11110001 . -b11110001 B -b11110001 : -b1111000101 3 -b101000101 & -b101000101 5 -b101000101 G -1+ -#3860 -0+ -#3861 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1111000110 3 -b101000110 & -b101000110 5 -b101000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3862 -0+ -#3863 -b1111000111 3 -b101000111 & -b101000111 5 -b101000111 G -1+ -#3864 -0+ -#3865 -b11110010 4 -b1111001000 3 -b101001000 & -b101001000 5 -b101001000 G -1+ -#3866 -0+ -#3867 -b11110010 . -b11110010 B -b11110010 : -b1111001001 3 -b101001001 & -b101001001 5 -b101001001 G -1+ -#3868 -0+ -#3869 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1111001010 3 -b101001010 & -b101001010 5 -b101001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3870 -0+ -#3871 -b1111001011 3 -b101001011 & -b101001011 5 -b101001011 G -1+ -#3872 -0+ -#3873 -b11110011 4 -b1111001100 3 -b101001100 & -b101001100 5 -b101001100 G -1+ -#3874 -0+ -#3875 -b11110011 . -b11110011 B -b11110011 : -b1111001101 3 -b101001101 & -b101001101 5 -b101001101 G -1+ -#3876 -0+ -#3877 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1111001110 3 -b101001110 & -b101001110 5 -b101001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#3878 -0+ -#3879 -b1111001111 3 -b101001111 & -b101001111 5 -b101001111 G -1+ -#3880 -0+ -#3881 -b11110100 4 -b1111010000 3 -b101010000 & -b101010000 5 -b101010000 G -1+ -#3882 -0+ -#3883 -b11110100 . -b11110100 B -b11110100 : -b1111010001 3 -b101010001 & -b101010001 5 -b101010001 G -1+ -#3884 -0+ -#3885 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1111010010 3 -b101010010 & -b101010010 5 -b101010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#3886 -0+ -#3887 -b1111010011 3 -b101010011 & -b101010011 5 -b101010011 G -1+ -#3888 -0+ -#3889 -b11110101 4 -b1111010100 3 -b101010100 & -b101010100 5 -b101010100 G -1+ -#3890 -0+ -#3891 -b11110101 . -b11110101 B -b11110101 : -b1111010101 3 -b101010101 & -b101010101 5 -b101010101 G -1+ -#3892 -0+ -#3893 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1111010110 3 -b101010110 & -b101010110 5 -b101010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#3894 -0+ -#3895 -b1111010111 3 -b101010111 & -b101010111 5 -b101010111 G -1+ -#3896 -0+ -#3897 -b11110110 4 -b1111011000 3 -b101011000 & -b101011000 5 -b101011000 G -1+ -#3898 -0+ -#3899 -b11110110 . -b11110110 B -b11110110 : -b1111011001 3 -b101011001 & -b101011001 5 -b101011001 G -1+ -#3900 -0+ -#3901 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1111011010 3 -b101011010 & -b101011010 5 -b101011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#3902 -0+ -#3903 -b1111011011 3 -b101011011 & -b101011011 5 -b101011011 G -1+ -#3904 -0+ -#3905 -b11110111 4 -b1111011100 3 -b101011100 & -b101011100 5 -b101011100 G -1+ -#3906 -0+ -#3907 -b11110111 . -b11110111 B -b11110111 : -b1111011101 3 -b101011101 & -b101011101 5 -b101011101 G -1+ -#3908 -0+ -#3909 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1111011110 3 -b101011110 & -b101011110 5 -b101011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#3910 -0+ -#3911 -b1111011111 3 -b101011111 & -b101011111 5 -b101011111 G -1+ -#3912 -0+ -#3913 -b11111000 4 -b1111100000 3 -b101100000 & -b101100000 5 -b101100000 G -1+ -#3914 -0+ -#3915 -b11111000 . -b11111000 B -b11111000 : -b1111100001 3 -b101100001 & -b101100001 5 -b101100001 G -1+ -#3916 -0+ -#3917 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1111100010 3 -b101100010 & -b101100010 5 -b101100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#3918 -0+ -#3919 -b1111100011 3 -b101100011 & -b101100011 5 -b101100011 G -1+ -#3920 -0+ -#3921 -b11111001 4 -b1111100100 3 -b101100100 & -b101100100 5 -b101100100 G -1+ -#3922 -0+ -#3923 -b11111001 . -b11111001 B -b11111001 : -b1111100101 3 -b101100101 & -b101100101 5 -b101100101 G -1+ -#3924 -0+ -#3925 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1111100110 3 -b101100110 & -b101100110 5 -b101100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#3926 -0+ -#3927 -b1111100111 3 -b101100111 & -b101100111 5 -b101100111 G -1+ -#3928 -0+ -#3929 -b11111010 4 -b1111101000 3 -b101101000 & -b101101000 5 -b101101000 G -1+ -#3930 -0+ -#3931 -b11111010 . -b11111010 B -b11111010 : -b1111101001 3 -b101101001 & -b101101001 5 -b101101001 G -1+ -#3932 -0+ -#3933 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1111101010 3 -b101101010 & -b101101010 5 -b101101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#3934 -0+ -#3935 -b1111101011 3 -b101101011 & -b101101011 5 -b101101011 G -1+ -#3936 -0+ -#3937 -b11111011 4 -b1111101100 3 -b101101100 & -b101101100 5 -b101101100 G -1+ -#3938 -0+ -#3939 -b11111011 . -b11111011 B -b11111011 : -b1111101101 3 -b101101101 & -b101101101 5 -b101101101 G -1+ -#3940 -0+ -#3941 -b1000 ' -b1000 6 -b100010000000 < -b1111101110 3 -b101101110 & -b101101110 5 -b101101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#3942 -0+ -#3943 -b1111101111 3 -b101101111 & -b101101111 5 -b101101111 G -1+ -#3944 -0+ -#3945 -b11111100 4 -b1111110000 3 -b101110000 & -b101110000 5 -b101110000 G -1+ -#3946 -0+ -#3947 -b11111100 . -b11111100 B -b11111100 : -b1111110001 3 -b101110001 & -b101110001 5 -b101110001 G -1+ -#3948 -0+ -#3949 -b0 $ -b0 2 -b10000000 < -b1111110010 3 -b101110010 & -b101110010 5 -b101110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#3950 -0+ -#3951 -b1111110011 3 -b101110011 & -b101110011 5 -b101110011 G -1+ -#3952 -0+ -#3953 -b11111101 4 -b1111110100 3 -b101110100 & -b101110100 5 -b101110100 G -1+ -#3954 -0+ -#3955 -b11111101 . -b11111101 B -b11111101 : -b1111110101 3 -b101110101 & -b101110101 5 -b101110101 G -1+ -#3956 -0+ -#3957 -b1000 * -b1000 9 -b10001000 < -b1111110110 3 -b101110110 & -b101110110 5 -b101110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#3958 -0+ -#3959 -b1111110111 3 -b101110111 & -b101110111 5 -b101110111 G -1+ -#3960 -0+ -#3961 -b11111110 4 -b1111111000 3 -b101111000 & -b101111000 5 -b101111000 G -1+ -#3962 -0+ -#3963 -b11111110 . -b11111110 B -b11111110 : -b1111111001 3 -b101111001 & -b101111001 5 -b101111001 G -1+ -#3964 -0+ -#3965 -b0 ' -b0 6 -b1000 < -b1111111010 3 -b101111010 & -b101111010 5 -b101111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#3966 -0+ -#3967 -b1111111011 3 -b101111011 & -b101111011 5 -b101111011 G -1+ -#3968 -0+ -#3969 -b11111111 4 -b1111111100 3 -b101111100 & -b101111100 5 -b101111100 G -1+ -#3970 -0+ -#3971 -b11111111 . -b11111111 B -b11111111 : -b1111111101 3 -b101111101 & -b101111101 5 -b101111101 G -1+ -#3972 -0+ -#3973 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1111111110 3 -b101111110 & -b101111110 5 -b101111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#3974 -0+ -#3975 -b1111111111 3 -b101111111 & -b101111111 5 -b101111111 G -1+ -#3976 -0+ -#3977 -b100000000 4 -b10000000000 3 -b110000000 & -b110000000 5 -b110000000 G -1+ -#3978 -0+ -#3979 -b100000000 . -b100000000 B -b100000000 : -b10000000001 3 -b110000001 & -b110000001 5 -b110000001 G -1+ -#3980 -0+ -#3981 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10000000010 3 -b110000010 & -b110000010 5 -b110000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#3982 -0+ -#3983 -b10000000011 3 -b110000011 & -b110000011 5 -b110000011 G -1+ -#3984 -0+ -#3985 -b100000001 4 -b10000000100 3 -b110000100 & -b110000100 5 -b110000100 G -1+ -#3986 -0+ -#3987 -b100000001 . -b100000001 B -b100000001 : -b10000000101 3 -b110000101 & -b110000101 5 -b110000101 G -1+ -#3988 -0+ -#3989 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10000000110 3 -b110000110 & -b110000110 5 -b110000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#3990 -0+ -#3991 -b10000000111 3 -b110000111 & -b110000111 5 -b110000111 G -1+ -#3992 -0+ -#3993 -b100000010 4 -b10000001000 3 -b110001000 & -b110001000 5 -b110001000 G -1+ -#3994 -0+ -#3995 -b100000010 . -b100000010 B -b100000010 : -b10000001001 3 -b110001001 & -b110001001 5 -b110001001 G -1+ -#3996 -0+ -#3997 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10000001010 3 -b110001010 & -b110001010 5 -b110001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#3998 -0+ -#3999 -b10000001011 3 -b110001011 & -b110001011 5 -b110001011 G -1+ -#4000 -0+ -#4001 -b100000011 4 -b10000001100 3 -b110001100 & -b110001100 5 -b110001100 G -1+ -#4002 -0+ -#4003 -b100000011 . -b100000011 B -b100000011 : -b10000001101 3 -b110001101 & -b110001101 5 -b110001101 G -1+ -#4004 -0+ -#4005 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10000001110 3 -b110001110 & -b110001110 5 -b110001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4006 -0+ -#4007 -b10000001111 3 -b110001111 & -b110001111 5 -b110001111 G -1+ -#4008 -0+ -#4009 -b100000100 4 -b10000010000 3 -b110010000 & -b110010000 5 -b110010000 G -1+ -#4010 -0+ -#4011 -b100000100 . -b100000100 B -b100000100 : -b10000010001 3 -b110010001 & -b110010001 5 -b110010001 G -1+ -#4012 -0+ -#4013 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10000010010 3 -b110010010 & -b110010010 5 -b110010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4014 -0+ -#4015 -b10000010011 3 -b110010011 & -b110010011 5 -b110010011 G -1+ -#4016 -0+ -#4017 -b100000101 4 -b10000010100 3 -b110010100 & -b110010100 5 -b110010100 G -1+ -#4018 -0+ -#4019 -b100000101 . -b100000101 B -b100000101 : -b10000010101 3 -b110010101 & -b110010101 5 -b110010101 G -1+ -#4020 -0+ -#4021 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10000010110 3 -b110010110 & -b110010110 5 -b110010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4022 -0+ -#4023 -b10000010111 3 -b110010111 & -b110010111 5 -b110010111 G -1+ -#4024 -0+ -#4025 -b100000110 4 -b10000011000 3 -b110011000 & -b110011000 5 -b110011000 G -1+ -#4026 -0+ -#4027 -b100000110 . -b100000110 B -b100000110 : -b10000011001 3 -b110011001 & -b110011001 5 -b110011001 G -1+ -#4028 -0+ -#4029 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10000011010 3 -b110011010 & -b110011010 5 -b110011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4030 -0+ -#4031 -b10000011011 3 -b110011011 & -b110011011 5 -b110011011 G -1+ -#4032 -0+ -#4033 -b100000111 4 -b10000011100 3 -b110011100 & -b110011100 5 -b110011100 G -1+ -#4034 -0+ -#4035 -b100000111 . -b100000111 B -b100000111 : -b10000011101 3 -b110011101 & -b110011101 5 -b110011101 G -1+ -#4036 -0+ -#4037 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10000011110 3 -b110011110 & -b110011110 5 -b110011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4038 -0+ -#4039 -b10000011111 3 -b110011111 & -b110011111 5 -b110011111 G -1+ -#4040 -0+ -#4041 -b100001000 4 -b10000100000 3 -b110100000 & -b110100000 5 -b110100000 G -1+ -#4042 -0+ -#4043 -b100001000 . -b100001000 B -b100001000 : -b10000100001 3 -b110100001 & -b110100001 5 -b110100001 G -1+ -#4044 -0+ -#4045 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10000100010 3 -b110100010 & -b110100010 5 -b110100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4046 -0+ -#4047 -b10000100011 3 -b110100011 & -b110100011 5 -b110100011 G -1+ -#4048 -0+ -#4049 -b100001001 4 -b10000100100 3 -b110100100 & -b110100100 5 -b110100100 G -1+ -#4050 -0+ -#4051 -b100001001 . -b100001001 B -b100001001 : -b10000100101 3 -b110100101 & -b110100101 5 -b110100101 G -1+ -#4052 -0+ -#4053 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10000100110 3 -b110100110 & -b110100110 5 -b110100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4054 -0+ -#4055 -b10000100111 3 -b110100111 & -b110100111 5 -b110100111 G -1+ -#4056 -0+ -#4057 -b100001010 4 -b10000101000 3 -b110101000 & -b110101000 5 -b110101000 G -1+ -#4058 -0+ -#4059 -b100001010 . -b100001010 B -b100001010 : -b10000101001 3 -b110101001 & -b110101001 5 -b110101001 G -1+ -#4060 -0+ -#4061 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10000101010 3 -b110101010 & -b110101010 5 -b110101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4062 -0+ -#4063 -b10000101011 3 -b110101011 & -b110101011 5 -b110101011 G -1+ -#4064 -0+ -#4065 -b100001011 4 -b10000101100 3 -b110101100 & -b110101100 5 -b110101100 G -1+ -#4066 -0+ -#4067 -b100001011 . -b100001011 B -b100001011 : -b10000101101 3 -b110101101 & -b110101101 5 -b110101101 G -1+ -#4068 -0+ -#4069 -b1000 ' -b1000 6 -b100010000000 < -b10000101110 3 -b110101110 & -b110101110 5 -b110101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4070 -0+ -#4071 -b10000101111 3 -b110101111 & -b110101111 5 -b110101111 G -1+ -#4072 -0+ -#4073 -b100001100 4 -b10000110000 3 -b110110000 & -b110110000 5 -b110110000 G -1+ -#4074 -0+ -#4075 -b100001100 . -b100001100 B -b100001100 : -b10000110001 3 -b110110001 & -b110110001 5 -b110110001 G -1+ -#4076 -0+ -#4077 -b0 $ -b0 2 -b10000000 < -b10000110010 3 -b110110010 & -b110110010 5 -b110110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4078 -0+ -#4079 -b10000110011 3 -b110110011 & -b110110011 5 -b110110011 G -1+ -#4080 -0+ -#4081 -b100001101 4 -b10000110100 3 -b110110100 & -b110110100 5 -b110110100 G -1+ -#4082 -0+ -#4083 -b100001101 . -b100001101 B -b100001101 : -b10000110101 3 -b110110101 & -b110110101 5 -b110110101 G -1+ -#4084 -0+ -#4085 -b1000 * -b1000 9 -b10001000 < -b10000110110 3 -b110110110 & -b110110110 5 -b110110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4086 -0+ -#4087 -b10000110111 3 -b110110111 & -b110110111 5 -b110110111 G -1+ -#4088 -0+ -#4089 -b100001110 4 -b10000111000 3 -b110111000 & -b110111000 5 -b110111000 G -1+ -#4090 -0+ -#4091 -b100001110 . -b100001110 B -b100001110 : -b10000111001 3 -b110111001 & -b110111001 5 -b110111001 G -1+ -#4092 -0+ -#4093 -b0 ' -b0 6 -b1000 < -b10000111010 3 -b110111010 & -b110111010 5 -b110111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4094 -0+ -#4095 -b10000111011 3 -b110111011 & -b110111011 5 -b110111011 G -1+ -#4096 -0+ -#4097 -b100001111 4 -b10000111100 3 -b110111100 & -b110111100 5 -b110111100 G -1+ -#4098 -0+ -#4099 -b100001111 . -b100001111 B -b100001111 : -b10000111101 3 -b110111101 & -b110111101 5 -b110111101 G -1+ -#4100 -0+ -#4101 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10000111110 3 -b110111110 & -b110111110 5 -b110111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4102 -0+ -#4103 -b10000111111 3 -b110111111 & -b110111111 5 -b110111111 G -1+ -#4104 -0+ -#4105 -b100010000 4 -b10001000000 3 -b111000000 & -b111000000 5 -b111000000 G -1+ -#4106 -0+ -#4107 -b100010000 . -b100010000 B -b100010000 : -b10001000001 3 -b111000001 & -b111000001 5 -b111000001 G -1+ -#4108 -0+ -#4109 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10001000010 3 -b111000010 & -b111000010 5 -b111000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4110 -0+ -#4111 -b10001000011 3 -b111000011 & -b111000011 5 -b111000011 G -1+ -#4112 -0+ -#4113 -b100010001 4 -b10001000100 3 -b111000100 & -b111000100 5 -b111000100 G -1+ -#4114 -0+ -#4115 -b100010001 . -b100010001 B -b100010001 : -b10001000101 3 -b111000101 & -b111000101 5 -b111000101 G -1+ -#4116 -0+ -#4117 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10001000110 3 -b111000110 & -b111000110 5 -b111000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4118 -0+ -#4119 -b10001000111 3 -b111000111 & -b111000111 5 -b111000111 G -1+ -#4120 -0+ -#4121 -b100010010 4 -b10001001000 3 -b111001000 & -b111001000 5 -b111001000 G -1+ -#4122 -0+ -#4123 -b100010010 . -b100010010 B -b100010010 : -b10001001001 3 -b111001001 & -b111001001 5 -b111001001 G -1+ -#4124 -0+ -#4125 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10001001010 3 -b111001010 & -b111001010 5 -b111001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4126 -0+ -#4127 -b10001001011 3 -b111001011 & -b111001011 5 -b111001011 G -1+ -#4128 -0+ -#4129 -b100010011 4 -b10001001100 3 -b111001100 & -b111001100 5 -b111001100 G -1+ -#4130 -0+ -#4131 -b100010011 . -b100010011 B -b100010011 : -b10001001101 3 -b111001101 & -b111001101 5 -b111001101 G -1+ -#4132 -0+ -#4133 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10001001110 3 -b111001110 & -b111001110 5 -b111001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4134 -0+ -#4135 -b10001001111 3 -b111001111 & -b111001111 5 -b111001111 G -1+ -#4136 -0+ -#4137 -b100010100 4 -b10001010000 3 -b111010000 & -b111010000 5 -b111010000 G -1+ -#4138 -0+ -#4139 -b100010100 . -b100010100 B -b100010100 : -b10001010001 3 -b111010001 & -b111010001 5 -b111010001 G -1+ -#4140 -0+ -#4141 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10001010010 3 -b111010010 & -b111010010 5 -b111010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4142 -0+ -#4143 -b10001010011 3 -b111010011 & -b111010011 5 -b111010011 G -1+ -#4144 -0+ -#4145 -b100010101 4 -b10001010100 3 -b111010100 & -b111010100 5 -b111010100 G -1+ -#4146 -0+ -#4147 -b100010101 . -b100010101 B -b100010101 : -b10001010101 3 -b111010101 & -b111010101 5 -b111010101 G -1+ -#4148 -0+ -#4149 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10001010110 3 -b111010110 & -b111010110 5 -b111010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4150 -0+ -#4151 -b10001010111 3 -b111010111 & -b111010111 5 -b111010111 G -1+ -#4152 -0+ -#4153 -b100010110 4 -b10001011000 3 -b111011000 & -b111011000 5 -b111011000 G -1+ -#4154 -0+ -#4155 -b100010110 . -b100010110 B -b100010110 : -b10001011001 3 -b111011001 & -b111011001 5 -b111011001 G -1+ -#4156 -0+ -#4157 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10001011010 3 -b111011010 & -b111011010 5 -b111011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4158 -0+ -#4159 -b10001011011 3 -b111011011 & -b111011011 5 -b111011011 G -1+ -#4160 -0+ -#4161 -b100010111 4 -b10001011100 3 -b111011100 & -b111011100 5 -b111011100 G -1+ -#4162 -0+ -#4163 -b100010111 . -b100010111 B -b100010111 : -b10001011101 3 -b111011101 & -b111011101 5 -b111011101 G -1+ -#4164 -0+ -#4165 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10001011110 3 -b111011110 & -b111011110 5 -b111011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4166 -0+ -#4167 -b10001011111 3 -b111011111 & -b111011111 5 -b111011111 G -1+ -#4168 -0+ -#4169 -b100011000 4 -b10001100000 3 -b111100000 & -b111100000 5 -b111100000 G -1+ -#4170 -0+ -#4171 -b100011000 . -b100011000 B -b100011000 : -b10001100001 3 -b111100001 & -b111100001 5 -b111100001 G -1+ -#4172 -0+ -#4173 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10001100010 3 -b111100010 & -b111100010 5 -b111100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4174 -0+ -#4175 -b10001100011 3 -b111100011 & -b111100011 5 -b111100011 G -1+ -#4176 -0+ -#4177 -b100011001 4 -b10001100100 3 -b111100100 & -b111100100 5 -b111100100 G -1+ -#4178 -0+ -#4179 -b100011001 . -b100011001 B -b100011001 : -b10001100101 3 -b111100101 & -b111100101 5 -b111100101 G -1+ -#4180 -0+ -#4181 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10001100110 3 -b111100110 & -b111100110 5 -b111100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4182 -0+ -#4183 -b10001100111 3 -b111100111 & -b111100111 5 -b111100111 G -1+ -#4184 -0+ -#4185 -b100011010 4 -b10001101000 3 -b111101000 & -b111101000 5 -b111101000 G -1+ -#4186 -0+ -#4187 -b100011010 . -b100011010 B -b100011010 : -b10001101001 3 -b111101001 & -b111101001 5 -b111101001 G -1+ -#4188 -0+ -#4189 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10001101010 3 -b111101010 & -b111101010 5 -b111101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4190 -0+ -#4191 -b10001101011 3 -b111101011 & -b111101011 5 -b111101011 G -1+ -#4192 -0+ -#4193 -b100011011 4 -b10001101100 3 -b111101100 & -b111101100 5 -b111101100 G -1+ -#4194 -0+ -#4195 -b100011011 . -b100011011 B -b100011011 : -b10001101101 3 -b111101101 & -b111101101 5 -b111101101 G -1+ -#4196 -0+ -#4197 -b1000 ' -b1000 6 -b100010000000 < -b10001101110 3 -b111101110 & -b111101110 5 -b111101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4198 -0+ -#4199 -b10001101111 3 -b111101111 & -b111101111 5 -b111101111 G -1+ -#4200 -0+ -#4201 -b100011100 4 -b10001110000 3 -b111110000 & -b111110000 5 -b111110000 G -1+ -#4202 -0+ -#4203 -b100011100 . -b100011100 B -b100011100 : -b10001110001 3 -b111110001 & -b111110001 5 -b111110001 G -1+ -#4204 -0+ -#4205 -b0 $ -b0 2 -b10000000 < -b10001110010 3 -b111110010 & -b111110010 5 -b111110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4206 -0+ -#4207 -b10001110011 3 -b111110011 & -b111110011 5 -b111110011 G -1+ -#4208 -0+ -#4209 -b100011101 4 -b10001110100 3 -b111110100 & -b111110100 5 -b111110100 G -1+ -#4210 -0+ -#4211 -b100011101 . -b100011101 B -b100011101 : -b10001110101 3 -b111110101 & -b111110101 5 -b111110101 G -1+ -#4212 -0+ -#4213 -b1000 * -b1000 9 -b10001000 < -b10001110110 3 -b111110110 & -b111110110 5 -b111110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4214 -0+ -#4215 -b10001110111 3 -b111110111 & -b111110111 5 -b111110111 G -1+ -#4216 -0+ -#4217 -b100011110 4 -b10001111000 3 -b111111000 & -b111111000 5 -b111111000 G -1+ -#4218 -0+ -#4219 -b100011110 . -b100011110 B -b100011110 : -b10001111001 3 -b111111001 & -b111111001 5 -b111111001 G -1+ -#4220 -0+ -#4221 -b0 ' -b0 6 -b1000 < -b10001111010 3 -b111111010 & -b111111010 5 -b111111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4222 -0+ -#4223 -b10001111011 3 -b111111011 & -b111111011 5 -b111111011 G -1+ -#4224 -0+ -#4225 -b100011111 4 -b10001111100 3 -b111111100 & -b111111100 5 -b111111100 G -1+ -#4226 -0+ -#4227 -b100011111 . -b100011111 B -b100011111 : -b10001111101 3 -b111111101 & -b111111101 5 -b111111101 G -1+ -#4228 -0+ -#4229 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10001111110 3 -b111111110 & -b111111110 5 -b111111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4230 -0+ -#4231 -b10001111111 3 -b111111111 & -b111111111 5 -b111111111 G -1+ -#4232 -0+ -#4233 -b100100000 4 -b10010000000 3 -b1000000000 & -b1000000000 5 -b1000000000 G -1+ -#4234 -0+ -#4235 -b100100000 . -b100100000 B -b100100000 : -b10010000001 3 -b1000000001 & -b1000000001 5 -b1000000001 G -1+ -#4236 -0+ -#4237 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10010000010 3 -b1000000010 & -b1000000010 5 -b1000000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4238 -0+ -#4239 -b10010000011 3 -b1000000011 & -b1000000011 5 -b1000000011 G -1+ -#4240 -0+ -#4241 -b100100001 4 -b10010000100 3 -b1000000100 & -b1000000100 5 -b1000000100 G -1+ -#4242 -0+ -#4243 -b100100001 . -b100100001 B -b100100001 : -b10010000101 3 -b1000000101 & -b1000000101 5 -b1000000101 G -1+ -#4244 -0+ -#4245 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10010000110 3 -b1000000110 & -b1000000110 5 -b1000000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4246 -0+ -#4247 -b10010000111 3 -b1000000111 & -b1000000111 5 -b1000000111 G -1+ -#4248 -0+ -#4249 -b100100010 4 -b10010001000 3 -b1000001000 & -b1000001000 5 -b1000001000 G -1+ -#4250 -0+ -#4251 -b100100010 . -b100100010 B -b100100010 : -b10010001001 3 -b1000001001 & -b1000001001 5 -b1000001001 G -1+ -#4252 -0+ -#4253 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10010001010 3 -b1000001010 & -b1000001010 5 -b1000001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4254 -0+ -#4255 -b10010001011 3 -b1000001011 & -b1000001011 5 -b1000001011 G -1+ -#4256 -0+ -#4257 -b100100011 4 -b10010001100 3 -b1000001100 & -b1000001100 5 -b1000001100 G -1+ -#4258 -0+ -#4259 -b100100011 . -b100100011 B -b100100011 : -b10010001101 3 -b1000001101 & -b1000001101 5 -b1000001101 G -1+ -#4260 -0+ -#4261 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10010001110 3 -b1000001110 & -b1000001110 5 -b1000001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4262 -0+ -#4263 -b10010001111 3 -b1000001111 & -b1000001111 5 -b1000001111 G -1+ -#4264 -0+ -#4265 -b100100100 4 -b10010010000 3 -b1000010000 & -b1000010000 5 -b1000010000 G -1+ -#4266 -0+ -#4267 -b100100100 . -b100100100 B -b100100100 : -b10010010001 3 -b1000010001 & -b1000010001 5 -b1000010001 G -1+ -#4268 -0+ -#4269 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10010010010 3 -b1000010010 & -b1000010010 5 -b1000010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4270 -0+ -#4271 -b10010010011 3 -b1000010011 & -b1000010011 5 -b1000010011 G -1+ -#4272 -0+ -#4273 -b100100101 4 -b10010010100 3 -b1000010100 & -b1000010100 5 -b1000010100 G -1+ -#4274 -0+ -#4275 -b100100101 . -b100100101 B -b100100101 : -b10010010101 3 -b1000010101 & -b1000010101 5 -b1000010101 G -1+ -#4276 -0+ -#4277 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10010010110 3 -b1000010110 & -b1000010110 5 -b1000010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4278 -0+ -#4279 -b10010010111 3 -b1000010111 & -b1000010111 5 -b1000010111 G -1+ -#4280 -0+ -#4281 -b100100110 4 -b10010011000 3 -b1000011000 & -b1000011000 5 -b1000011000 G -1+ -#4282 -0+ -#4283 -b100100110 . -b100100110 B -b100100110 : -b10010011001 3 -b1000011001 & -b1000011001 5 -b1000011001 G -1+ -#4284 -0+ -#4285 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10010011010 3 -b1000011010 & -b1000011010 5 -b1000011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4286 -0+ -#4287 -b10010011011 3 -b1000011011 & -b1000011011 5 -b1000011011 G -1+ -#4288 -0+ -#4289 -b100100111 4 -b10010011100 3 -b1000011100 & -b1000011100 5 -b1000011100 G -1+ -#4290 -0+ -#4291 -b100100111 . -b100100111 B -b100100111 : -b10010011101 3 -b1000011101 & -b1000011101 5 -b1000011101 G -1+ -#4292 -0+ -#4293 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10010011110 3 -b1000011110 & -b1000011110 5 -b1000011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4294 -0+ -#4295 -b10010011111 3 -b1000011111 & -b1000011111 5 -b1000011111 G -1+ -#4296 -0+ -#4297 -b100101000 4 -b10010100000 3 -b1000100000 & -b1000100000 5 -b1000100000 G -1+ -#4298 -0+ -#4299 -b100101000 . -b100101000 B -b100101000 : -b10010100001 3 -b1000100001 & -b1000100001 5 -b1000100001 G -1+ -#4300 -0+ -#4301 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10010100010 3 -b1000100010 & -b1000100010 5 -b1000100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4302 -0+ -#4303 -b10010100011 3 -b1000100011 & -b1000100011 5 -b1000100011 G -1+ -#4304 -0+ -#4305 -b100101001 4 -b10010100100 3 -b1000100100 & -b1000100100 5 -b1000100100 G -1+ -#4306 -0+ -#4307 -b100101001 . -b100101001 B -b100101001 : -b10010100101 3 -b1000100101 & -b1000100101 5 -b1000100101 G -1+ -#4308 -0+ -#4309 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10010100110 3 -b1000100110 & -b1000100110 5 -b1000100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4310 -0+ -#4311 -b10010100111 3 -b1000100111 & -b1000100111 5 -b1000100111 G -1+ -#4312 -0+ -#4313 -b100101010 4 -b10010101000 3 -b1000101000 & -b1000101000 5 -b1000101000 G -1+ -#4314 -0+ -#4315 -b100101010 . -b100101010 B -b100101010 : -b10010101001 3 -b1000101001 & -b1000101001 5 -b1000101001 G -1+ -#4316 -0+ -#4317 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10010101010 3 -b1000101010 & -b1000101010 5 -b1000101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4318 -0+ -#4319 -b10010101011 3 -b1000101011 & -b1000101011 5 -b1000101011 G -1+ -#4320 -0+ -#4321 -b100101011 4 -b10010101100 3 -b1000101100 & -b1000101100 5 -b1000101100 G -1+ -#4322 -0+ -#4323 -b100101011 . -b100101011 B -b100101011 : -b10010101101 3 -b1000101101 & -b1000101101 5 -b1000101101 G -1+ -#4324 -0+ -#4325 -b1000 ' -b1000 6 -b100010000000 < -b10010101110 3 -b1000101110 & -b1000101110 5 -b1000101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4326 -0+ -#4327 -b10010101111 3 -b1000101111 & -b1000101111 5 -b1000101111 G -1+ -#4328 -0+ -#4329 -b100101100 4 -b10010110000 3 -b1000110000 & -b1000110000 5 -b1000110000 G -1+ -#4330 -0+ -#4331 -b100101100 . -b100101100 B -b100101100 : -b10010110001 3 -b1000110001 & -b1000110001 5 -b1000110001 G -1+ -#4332 -0+ -#4333 -b0 $ -b0 2 -b10000000 < -b10010110010 3 -b1000110010 & -b1000110010 5 -b1000110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4334 -0+ -#4335 -b10010110011 3 -b1000110011 & -b1000110011 5 -b1000110011 G -1+ -#4336 -0+ -#4337 -b100101101 4 -b10010110100 3 -b1000110100 & -b1000110100 5 -b1000110100 G -1+ -#4338 -0+ -#4339 -b100101101 . -b100101101 B -b100101101 : -b10010110101 3 -b1000110101 & -b1000110101 5 -b1000110101 G -1+ -#4340 -0+ -#4341 -b1000 * -b1000 9 -b10001000 < -b10010110110 3 -b1000110110 & -b1000110110 5 -b1000110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4342 -0+ -#4343 -b10010110111 3 -b1000110111 & -b1000110111 5 -b1000110111 G -1+ -#4344 -0+ -#4345 -b100101110 4 -b10010111000 3 -b1000111000 & -b1000111000 5 -b1000111000 G -1+ -#4346 -0+ -#4347 -b100101110 . -b100101110 B -b100101110 : -b10010111001 3 -b1000111001 & -b1000111001 5 -b1000111001 G -1+ -#4348 -0+ -#4349 -b0 ' -b0 6 -b1000 < -b10010111010 3 -b1000111010 & -b1000111010 5 -b1000111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4350 -0+ -#4351 -b10010111011 3 -b1000111011 & -b1000111011 5 -b1000111011 G -1+ -#4352 -0+ -#4353 -b100101111 4 -b10010111100 3 -b1000111100 & -b1000111100 5 -b1000111100 G -1+ -#4354 -0+ -#4355 -b100101111 . -b100101111 B -b100101111 : -b10010111101 3 -b1000111101 & -b1000111101 5 -b1000111101 G -1+ -#4356 -0+ -#4357 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10010111110 3 -b1000111110 & -b1000111110 5 -b1000111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4358 -0+ -#4359 -b10010111111 3 -b1000111111 & -b1000111111 5 -b1000111111 G -1+ -#4360 -0+ -#4361 -b100110000 4 -b10011000000 3 -b1001000000 & -b1001000000 5 -b1001000000 G -1+ -#4362 -0+ -#4363 -b100110000 . -b100110000 B -b100110000 : -b10011000001 3 -b1001000001 & -b1001000001 5 -b1001000001 G -1+ -#4364 -0+ -#4365 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10011000010 3 -b1001000010 & -b1001000010 5 -b1001000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4366 -0+ -#4367 -b10011000011 3 -b1001000011 & -b1001000011 5 -b1001000011 G -1+ -#4368 -0+ -#4369 -b100110001 4 -b10011000100 3 -b1001000100 & -b1001000100 5 -b1001000100 G -1+ -#4370 -0+ -#4371 -b100110001 . -b100110001 B -b100110001 : -b10011000101 3 -b1001000101 & -b1001000101 5 -b1001000101 G -1+ -#4372 -0+ -#4373 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10011000110 3 -b1001000110 & -b1001000110 5 -b1001000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4374 -0+ -#4375 -b10011000111 3 -b1001000111 & -b1001000111 5 -b1001000111 G -1+ -#4376 -0+ -#4377 -b100110010 4 -b10011001000 3 -b1001001000 & -b1001001000 5 -b1001001000 G -1+ -#4378 -0+ -#4379 -b100110010 . -b100110010 B -b100110010 : -b10011001001 3 -b1001001001 & -b1001001001 5 -b1001001001 G -1+ -#4380 -0+ -#4381 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10011001010 3 -b1001001010 & -b1001001010 5 -b1001001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4382 -0+ -#4383 -b10011001011 3 -b1001001011 & -b1001001011 5 -b1001001011 G -1+ -#4384 -0+ -#4385 -b100110011 4 -b10011001100 3 -b1001001100 & -b1001001100 5 -b1001001100 G -1+ -#4386 -0+ -#4387 -b100110011 . -b100110011 B -b100110011 : -b10011001101 3 -b1001001101 & -b1001001101 5 -b1001001101 G -1+ -#4388 -0+ -#4389 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10011001110 3 -b1001001110 & -b1001001110 5 -b1001001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4390 -0+ -#4391 -b10011001111 3 -b1001001111 & -b1001001111 5 -b1001001111 G -1+ -#4392 -0+ -#4393 -b100110100 4 -b10011010000 3 -b1001010000 & -b1001010000 5 -b1001010000 G -1+ -#4394 -0+ -#4395 -b100110100 . -b100110100 B -b100110100 : -b10011010001 3 -b1001010001 & -b1001010001 5 -b1001010001 G -1+ -#4396 -0+ -#4397 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10011010010 3 -b1001010010 & -b1001010010 5 -b1001010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4398 -0+ -#4399 -b10011010011 3 -b1001010011 & -b1001010011 5 -b1001010011 G -1+ -#4400 -0+ -#4401 -b100110101 4 -b10011010100 3 -b1001010100 & -b1001010100 5 -b1001010100 G -1+ -#4402 -0+ -#4403 -b100110101 . -b100110101 B -b100110101 : -b10011010101 3 -b1001010101 & -b1001010101 5 -b1001010101 G -1+ -#4404 -0+ -#4405 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10011010110 3 -b1001010110 & -b1001010110 5 -b1001010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4406 -0+ -#4407 -b10011010111 3 -b1001010111 & -b1001010111 5 -b1001010111 G -1+ -#4408 -0+ -#4409 -b100110110 4 -b10011011000 3 -b1001011000 & -b1001011000 5 -b1001011000 G -1+ -#4410 -0+ -#4411 -b100110110 . -b100110110 B -b100110110 : -b10011011001 3 -b1001011001 & -b1001011001 5 -b1001011001 G -1+ -#4412 -0+ -#4413 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10011011010 3 -b1001011010 & -b1001011010 5 -b1001011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4414 -0+ -#4415 -b10011011011 3 -b1001011011 & -b1001011011 5 -b1001011011 G -1+ -#4416 -0+ -#4417 -b100110111 4 -b10011011100 3 -b1001011100 & -b1001011100 5 -b1001011100 G -1+ -#4418 -0+ -#4419 -b100110111 . -b100110111 B -b100110111 : -b10011011101 3 -b1001011101 & -b1001011101 5 -b1001011101 G -1+ -#4420 -0+ -#4421 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10011011110 3 -b1001011110 & -b1001011110 5 -b1001011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4422 -0+ -#4423 -b10011011111 3 -b1001011111 & -b1001011111 5 -b1001011111 G -1+ -#4424 -0+ -#4425 -b100111000 4 -b10011100000 3 -b1001100000 & -b1001100000 5 -b1001100000 G -1+ -#4426 -0+ -#4427 -b100111000 . -b100111000 B -b100111000 : -b10011100001 3 -b1001100001 & -b1001100001 5 -b1001100001 G -1+ -#4428 -0+ -#4429 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10011100010 3 -b1001100010 & -b1001100010 5 -b1001100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4430 -0+ -#4431 -b10011100011 3 -b1001100011 & -b1001100011 5 -b1001100011 G -1+ -#4432 -0+ -#4433 -b100111001 4 -b10011100100 3 -b1001100100 & -b1001100100 5 -b1001100100 G -1+ -#4434 -0+ -#4435 -b100111001 . -b100111001 B -b100111001 : -b10011100101 3 -b1001100101 & -b1001100101 5 -b1001100101 G -1+ -#4436 -0+ -#4437 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10011100110 3 -b1001100110 & -b1001100110 5 -b1001100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4438 -0+ -#4439 -b10011100111 3 -b1001100111 & -b1001100111 5 -b1001100111 G -1+ -#4440 -0+ -#4441 -b100111010 4 -b10011101000 3 -b1001101000 & -b1001101000 5 -b1001101000 G -1+ -#4442 -0+ -#4443 -b100111010 . -b100111010 B -b100111010 : -b10011101001 3 -b1001101001 & -b1001101001 5 -b1001101001 G -1+ -#4444 -0+ -#4445 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10011101010 3 -b1001101010 & -b1001101010 5 -b1001101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4446 -0+ -#4447 -b10011101011 3 -b1001101011 & -b1001101011 5 -b1001101011 G -1+ -#4448 -0+ -#4449 -b100111011 4 -b10011101100 3 -b1001101100 & -b1001101100 5 -b1001101100 G -1+ -#4450 -0+ -#4451 -b100111011 . -b100111011 B -b100111011 : -b10011101101 3 -b1001101101 & -b1001101101 5 -b1001101101 G -1+ -#4452 -0+ -#4453 -b1000 ' -b1000 6 -b100010000000 < -b10011101110 3 -b1001101110 & -b1001101110 5 -b1001101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4454 -0+ -#4455 -b10011101111 3 -b1001101111 & -b1001101111 5 -b1001101111 G -1+ -#4456 -0+ -#4457 -b100111100 4 -b10011110000 3 -b1001110000 & -b1001110000 5 -b1001110000 G -1+ -#4458 -0+ -#4459 -b100111100 . -b100111100 B -b100111100 : -b10011110001 3 -b1001110001 & -b1001110001 5 -b1001110001 G -1+ -#4460 -0+ -#4461 -b0 $ -b0 2 -b10000000 < -b10011110010 3 -b1001110010 & -b1001110010 5 -b1001110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4462 -0+ -#4463 -b10011110011 3 -b1001110011 & -b1001110011 5 -b1001110011 G -1+ -#4464 -0+ -#4465 -b100111101 4 -b10011110100 3 -b1001110100 & -b1001110100 5 -b1001110100 G -1+ -#4466 -0+ -#4467 -b100111101 . -b100111101 B -b100111101 : -b10011110101 3 -b1001110101 & -b1001110101 5 -b1001110101 G -1+ -#4468 -0+ -#4469 -b1000 * -b1000 9 -b10001000 < -b10011110110 3 -b1001110110 & -b1001110110 5 -b1001110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4470 -0+ -#4471 -b10011110111 3 -b1001110111 & -b1001110111 5 -b1001110111 G -1+ -#4472 -0+ -#4473 -b100111110 4 -b10011111000 3 -b1001111000 & -b1001111000 5 -b1001111000 G -1+ -#4474 -0+ -#4475 -b100111110 . -b100111110 B -b100111110 : -b10011111001 3 -b1001111001 & -b1001111001 5 -b1001111001 G -1+ -#4476 -0+ -#4477 -b0 ' -b0 6 -b1000 < -b10011111010 3 -b1001111010 & -b1001111010 5 -b1001111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4478 -0+ -#4479 -b10011111011 3 -b1001111011 & -b1001111011 5 -b1001111011 G -1+ -#4480 -0+ -#4481 -b100111111 4 -b10011111100 3 -b1001111100 & -b1001111100 5 -b1001111100 G -1+ -#4482 -0+ -#4483 -b100111111 . -b100111111 B -b100111111 : -b10011111101 3 -b1001111101 & -b1001111101 5 -b1001111101 G -1+ -#4484 -0+ -#4485 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10011111110 3 -b1001111110 & -b1001111110 5 -b1001111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4486 -0+ -#4487 -b10011111111 3 -b1001111111 & -b1001111111 5 -b1001111111 G -1+ -#4488 -0+ -#4489 -0( -b101000000 4 -b10100000000 3 -b1010000000 & -b1010000000 5 -b1010000000 G -1+ -#4490 -0+ -#4491 -b101000000 . -b101000000 B -b101000000 : -b10100000001 3 -b1010000001 & -b1010000001 5 -b1010000001 G -1+ -#4492 -0+ -#4493 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10100000010 3 -b1010000010 & -b1010000010 5 -b1010000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4494 -0+ -#4495 -b10100000011 3 -b1010000011 & -b1010000011 5 -b1010000011 G -1+ -#4496 -0+ -#4497 -b101000001 4 -b10100000100 3 -b1010000100 & -b1010000100 5 -b1010000100 G -1+ -#4498 -0+ -#4499 -b101000001 . -b101000001 B -b101000001 : -b10100000101 3 -b1010000101 & -b1010000101 5 -b1010000101 G -1+ -#4500 -0+ -#4501 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10100000110 3 -b1010000110 & -b1010000110 5 -b1010000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4502 -0+ -#4503 -b10100000111 3 -b1010000111 & -b1010000111 5 -b1010000111 G -1+ -#4504 -0+ -#4505 -b101000010 4 -b10100001000 3 -b1010001000 & -b1010001000 5 -b1010001000 G -1+ -#4506 -0+ -#4507 -b101000010 . -b101000010 B -b101000010 : -b10100001001 3 -b1010001001 & -b1010001001 5 -b1010001001 G -1+ -#4508 -0+ -#4509 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10100001010 3 -b1010001010 & -b1010001010 5 -b1010001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4510 -0+ -#4511 -b10100001011 3 -b1010001011 & -b1010001011 5 -b1010001011 G -1+ -#4512 -0+ -#4513 -b101000011 4 -b10100001100 3 -b1010001100 & -b1010001100 5 -b1010001100 G -1+ -#4514 -0+ -#4515 -b101000011 . -b101000011 B -b101000011 : -b10100001101 3 -b1010001101 & -b1010001101 5 -b1010001101 G -1+ -#4516 -0+ -#4517 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10100001110 3 -b1010001110 & -b1010001110 5 -b1010001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4518 -0+ -#4519 -b10100001111 3 -b1010001111 & -b1010001111 5 -b1010001111 G -1+ -#4520 -0+ -#4521 -0% -b101000100 4 -b10100010000 3 -b1010010000 & -b1010010000 5 -b1010010000 G -1+ -#4522 -0+ -#4523 -b101000100 . -b101000100 B -b101000100 : -b10100010001 3 -b1010010001 & -b1010010001 5 -b1010010001 G -1+ -#4524 -0+ -#4525 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10100010010 3 -b1010010010 & -b1010010010 5 -b1010010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4526 -0+ -#4527 -b10100010011 3 -b1010010011 & -b1010010011 5 -b1010010011 G -1+ -#4528 -0+ -#4529 -b101000101 4 -b10100010100 3 -b1010010100 & -b1010010100 5 -b1010010100 G -1+ -#4530 -0+ -#4531 -b101000101 . -b101000101 B -b101000101 : -b10100010101 3 -b1010010101 & -b1010010101 5 -b1010010101 G -1+ -#4532 -0+ -#4533 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10100010110 3 -b1010010110 & -b1010010110 5 -b1010010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4534 -0+ -#4535 -b10100010111 3 -b1010010111 & -b1010010111 5 -b1010010111 G -1+ -#4536 -0+ -#4537 -b101000110 4 -b10100011000 3 -b1010011000 & -b1010011000 5 -b1010011000 G -1+ -#4538 -0+ -#4539 -b101000110 . -b101000110 B -b101000110 : -b10100011001 3 -b1010011001 & -b1010011001 5 -b1010011001 G -1+ -#4540 -0+ -#4541 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10100011010 3 -b1010011010 & -b1010011010 5 -b1010011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4542 -0+ -#4543 -b10100011011 3 -b1010011011 & -b1010011011 5 -b1010011011 G -1+ -#4544 -0+ -#4545 -b101000111 4 -b10100011100 3 -b1010011100 & -b1010011100 5 -b1010011100 G -1+ -#4546 -0+ -#4547 -b101000111 . -b101000111 B -b101000111 : -b10100011101 3 -b1010011101 & -b1010011101 5 -b1010011101 G -1+ -#4548 -0+ -#4549 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10100011110 3 -b1010011110 & -b1010011110 5 -b1010011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4550 -0+ -#4551 -b10100011111 3 -b1010011111 & -b1010011111 5 -b1010011111 G -1+ -#4552 -0+ -#4553 -b101001000 4 -b10100100000 3 -b1010100000 & -b1010100000 5 -b1010100000 G -1+ -#4554 -0+ -#4555 -b101001000 . -b101001000 B -b101001000 : -b10100100001 3 -b1010100001 & -b1010100001 5 -b1010100001 G -1+ -#4556 -0+ -#4557 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10100100010 3 -b1010100010 & -b1010100010 5 -b1010100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4558 -0+ -#4559 -b10100100011 3 -b1010100011 & -b1010100011 5 -b1010100011 G -1+ -#4560 -0+ -#4561 -b101001001 4 -b10100100100 3 -b1010100100 & -b1010100100 5 -b1010100100 G -1+ -#4562 -0+ -#4563 -b101001001 . -b101001001 B -b101001001 : -b10100100101 3 -b1010100101 & -b1010100101 5 -b1010100101 G -1+ -#4564 -0+ -#4565 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10100100110 3 -b1010100110 & -b1010100110 5 -b1010100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4566 -0+ -#4567 -b10100100111 3 -b1010100111 & -b1010100111 5 -b1010100111 G -1+ -#4568 -0+ -#4569 -b101001010 4 -b10100101000 3 -b1010101000 & -b1010101000 5 -b1010101000 G -1+ -#4570 -0+ -#4571 -b101001010 . -b101001010 B -b101001010 : -b10100101001 3 -b1010101001 & -b1010101001 5 -b1010101001 G -1+ -#4572 -0+ -#4573 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10100101010 3 -b1010101010 & -b1010101010 5 -b1010101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4574 -0+ -#4575 -b10100101011 3 -b1010101011 & -b1010101011 5 -b1010101011 G -1+ -#4576 -0+ -#4577 -b101001011 4 -b10100101100 3 -b1010101100 & -b1010101100 5 -b1010101100 G -1+ -#4578 -0+ -#4579 -b101001011 . -b101001011 B -b101001011 : -b10100101101 3 -b1010101101 & -b1010101101 5 -b1010101101 G -1+ -#4580 -0+ -#4581 -b1000 ' -b1000 6 -b100010000000 < -b10100101110 3 -b1010101110 & -b1010101110 5 -b1010101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4582 -0+ -#4583 -b10100101111 3 -b1010101111 & -b1010101111 5 -b1010101111 G -1+ -#4584 -0+ -#4585 -b101001100 4 -b10100110000 3 -b1010110000 & -b1010110000 5 -b1010110000 G -1+ -#4586 -0+ -#4587 -b101001100 . -b101001100 B -b101001100 : -b10100110001 3 -b1010110001 & -b1010110001 5 -b1010110001 G -1+ -#4588 -0+ -#4589 -b0 $ -b0 2 -b10000000 < -b10100110010 3 -b1010110010 & -b1010110010 5 -b1010110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4590 -0+ -#4591 -b10100110011 3 -b1010110011 & -b1010110011 5 -b1010110011 G -1+ -#4592 -0+ -#4593 -b101001101 4 -b10100110100 3 -b1010110100 & -b1010110100 5 -b1010110100 G -1+ -#4594 -0+ -#4595 -b101001101 . -b101001101 B -b101001101 : -b10100110101 3 -b1010110101 & -b1010110101 5 -b1010110101 G -1+ -#4596 -0+ -#4597 -b1000 * -b1000 9 -b10001000 < -b10100110110 3 -b1010110110 & -b1010110110 5 -b1010110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4598 -0+ -#4599 -b10100110111 3 -b1010110111 & -b1010110111 5 -b1010110111 G -1+ -#4600 -0+ -#4601 -b101001110 4 -b10100111000 3 -b1010111000 & -b1010111000 5 -b1010111000 G -1+ -#4602 -0+ -#4603 -b101001110 . -b101001110 B -b101001110 : -b10100111001 3 -b1010111001 & -b1010111001 5 -b1010111001 G -1+ -#4604 -0+ -#4605 -b0 ' -b0 6 -b1000 < -b10100111010 3 -b1010111010 & -b1010111010 5 -b1010111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4606 -0+ -#4607 -b10100111011 3 -b1010111011 & -b1010111011 5 -b1010111011 G -1+ -#4608 -0+ -#4609 -b101001111 4 -b10100111100 3 -b1010111100 & -b1010111100 5 -b1010111100 G -1+ -#4610 -0+ -#4611 -b101001111 . -b101001111 B -b101001111 : -b10100111101 3 -b1010111101 & -b1010111101 5 -b1010111101 G -1+ -#4612 -0+ -#4613 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10100111110 3 -b1010111110 & -b1010111110 5 -b1010111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4614 -0+ -#4615 -b10100111111 3 -b1010111111 & -b1010111111 5 -b1010111111 G -1+ -#4616 -0+ -#4617 -b101010000 4 -b10101000000 3 -b1011000000 & -b1011000000 5 -b1011000000 G -1+ -#4618 -0+ -#4619 -b101010000 . -b101010000 B -b101010000 : -b10101000001 3 -b1011000001 & -b1011000001 5 -b1011000001 G -1+ -#4620 -0+ -#4621 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10101000010 3 -b1011000010 & -b1011000010 5 -b1011000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4622 -0+ -#4623 -b10101000011 3 -b1011000011 & -b1011000011 5 -b1011000011 G -1+ -#4624 -0+ -#4625 -b101010001 4 -b10101000100 3 -b1011000100 & -b1011000100 5 -b1011000100 G -1+ -#4626 -0+ -#4627 -b101010001 . -b101010001 B -b101010001 : -b10101000101 3 -b1011000101 & -b1011000101 5 -b1011000101 G -1+ -#4628 -0+ -#4629 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10101000110 3 -b1011000110 & -b1011000110 5 -b1011000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4630 -0+ -#4631 -b10101000111 3 -b1011000111 & -b1011000111 5 -b1011000111 G -1+ -#4632 -0+ -#4633 -b101010010 4 -b10101001000 3 -b1011001000 & -b1011001000 5 -b1011001000 G -1+ -#4634 -0+ -#4635 -b101010010 . -b101010010 B -b101010010 : -b10101001001 3 -b1011001001 & -b1011001001 5 -b1011001001 G -1+ -#4636 -0+ -#4637 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10101001010 3 -b1011001010 & -b1011001010 5 -b1011001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4638 -0+ -#4639 -b10101001011 3 -b1011001011 & -b1011001011 5 -b1011001011 G -1+ -#4640 -0+ -#4641 -b101010011 4 -b10101001100 3 -b1011001100 & -b1011001100 5 -b1011001100 G -1+ -#4642 -0+ -#4643 -b101010011 . -b101010011 B -b101010011 : -b10101001101 3 -b1011001101 & -b1011001101 5 -b1011001101 G -1+ -#4644 -0+ -#4645 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10101001110 3 -b1011001110 & -b1011001110 5 -b1011001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4646 -0+ -#4647 -b10101001111 3 -b1011001111 & -b1011001111 5 -b1011001111 G -1+ -#4648 -0+ -#4649 -b101010100 4 -b10101010000 3 -b1011010000 & -b1011010000 5 -b1011010000 G -1+ -#4650 -0+ -#4651 -b101010100 . -b101010100 B -b101010100 : -b10101010001 3 -b1011010001 & -b1011010001 5 -b1011010001 G -1+ -#4652 -0+ -#4653 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10101010010 3 -b1011010010 & -b1011010010 5 -b1011010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4654 -0+ -#4655 -b10101010011 3 -b1011010011 & -b1011010011 5 -b1011010011 G -1+ -#4656 -0+ -#4657 -b101010101 4 -b10101010100 3 -b1011010100 & -b1011010100 5 -b1011010100 G -1+ -#4658 -0+ -#4659 -b101010101 . -b101010101 B -b101010101 : -b10101010101 3 -b1011010101 & -b1011010101 5 -b1011010101 G -1+ -#4660 -0+ -#4661 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10101010110 3 -b1011010110 & -b1011010110 5 -b1011010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4662 -0+ -#4663 -b10101010111 3 -b1011010111 & -b1011010111 5 -b1011010111 G -1+ -#4664 -0+ -#4665 -b101010110 4 -b10101011000 3 -b1011011000 & -b1011011000 5 -b1011011000 G -1+ -#4666 -0+ -#4667 -b101010110 . -b101010110 B -b101010110 : -b10101011001 3 -b1011011001 & -b1011011001 5 -b1011011001 G -1+ -#4668 -0+ -#4669 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10101011010 3 -b1011011010 & -b1011011010 5 -b1011011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4670 -0+ -#4671 -b10101011011 3 -b1011011011 & -b1011011011 5 -b1011011011 G -1+ -#4672 -0+ -#4673 -b101010111 4 -b10101011100 3 -b1011011100 & -b1011011100 5 -b1011011100 G -1+ -#4674 -0+ -#4675 -b101010111 . -b101010111 B -b101010111 : -b10101011101 3 -b1011011101 & -b1011011101 5 -b1011011101 G -1+ -#4676 -0+ -#4677 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10101011110 3 -b1011011110 & -b1011011110 5 -b1011011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4678 -0+ -#4679 -b10101011111 3 -b1011011111 & -b1011011111 5 -b1011011111 G -1+ -#4680 -0+ -#4681 -b101011000 4 -b10101100000 3 -b1011100000 & -b1011100000 5 -b1011100000 G -1+ -#4682 -0+ -#4683 -b101011000 . -b101011000 B -b101011000 : -b10101100001 3 -b1011100001 & -b1011100001 5 -b1011100001 G -1+ -#4684 -0+ -#4685 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10101100010 3 -b1011100010 & -b1011100010 5 -b1011100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4686 -0+ -#4687 -b10101100011 3 -b1011100011 & -b1011100011 5 -b1011100011 G -1+ -#4688 -0+ -#4689 -b101011001 4 -b10101100100 3 -b1011100100 & -b1011100100 5 -b1011100100 G -1+ -#4690 -0+ -#4691 -b101011001 . -b101011001 B -b101011001 : -b10101100101 3 -b1011100101 & -b1011100101 5 -b1011100101 G -1+ -#4692 -0+ -#4693 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10101100110 3 -b1011100110 & -b1011100110 5 -b1011100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4694 -0+ -#4695 -b10101100111 3 -b1011100111 & -b1011100111 5 -b1011100111 G -1+ -#4696 -0+ -#4697 -b101011010 4 -b10101101000 3 -b1011101000 & -b1011101000 5 -b1011101000 G -1+ -#4698 -0+ -#4699 -b101011010 . -b101011010 B -b101011010 : -b10101101001 3 -b1011101001 & -b1011101001 5 -b1011101001 G -1+ -#4700 -0+ -#4701 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10101101010 3 -b1011101010 & -b1011101010 5 -b1011101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4702 -0+ -#4703 -b10101101011 3 -b1011101011 & -b1011101011 5 -b1011101011 G -1+ -#4704 -0+ -#4705 -b101011011 4 -b10101101100 3 -b1011101100 & -b1011101100 5 -b1011101100 G -1+ -#4706 -0+ -#4707 -b101011011 . -b101011011 B -b101011011 : -b10101101101 3 -b1011101101 & -b1011101101 5 -b1011101101 G -1+ -#4708 -0+ -#4709 -b1000 ' -b1000 6 -b100010000000 < -b10101101110 3 -b1011101110 & -b1011101110 5 -b1011101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4710 -0+ -#4711 -b10101101111 3 -b1011101111 & -b1011101111 5 -b1011101111 G -1+ -#4712 -0+ -#4713 -b101011100 4 -b10101110000 3 -b1011110000 & -b1011110000 5 -b1011110000 G -1+ -#4714 -0+ -#4715 -1% -b101011100 . -b101011100 B -b101011100 : -b10101110001 3 -b1011110001 & -b1011110001 5 -b1011110001 G -1+ -#4716 -0+ -#4717 -b0 $ -b0 2 -b10000000 < -b10101110010 3 -b1011110010 & -b1011110010 5 -b1011110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4718 -0+ -#4719 -b10101110011 3 -b1011110011 & -b1011110011 5 -b1011110011 G -1+ -#4720 -0+ -#4721 -b101011101 4 -b10101110100 3 -b1011110100 & -b1011110100 5 -b1011110100 G -1+ -#4722 -0+ -#4723 -b101011101 . -b101011101 B -b101011101 : -b10101110101 3 -b1011110101 & -b1011110101 5 -b1011110101 G -1+ -#4724 -0+ -#4725 -b1000 * -b1000 9 -b10001000 < -b10101110110 3 -b1011110110 & -b1011110110 5 -b1011110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4726 -0+ -#4727 -b10101110111 3 -b1011110111 & -b1011110111 5 -b1011110111 G -1+ -#4728 -0+ -#4729 -b101011110 4 -b10101111000 3 -b1011111000 & -b1011111000 5 -b1011111000 G -1+ -#4730 -0+ -#4731 -b101011110 . -b101011110 B -b101011110 : -b10101111001 3 -b1011111001 & -b1011111001 5 -b1011111001 G -1+ -#4732 -0+ -#4733 -b0 ' -b0 6 -b1000 < -b10101111010 3 -b1011111010 & -b1011111010 5 -b1011111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4734 -0+ -#4735 -b10101111011 3 -b1011111011 & -b1011111011 5 -b1011111011 G -1+ -#4736 -0+ -#4737 -b101011111 4 -b10101111100 3 -b1011111100 & -b1011111100 5 -b1011111100 G -1+ -#4738 -0+ -#4739 -b101011111 . -b101011111 B -b101011111 : -b10101111101 3 -b1011111101 & -b1011111101 5 -b1011111101 G -1+ -#4740 -0+ -#4741 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10101111110 3 -b1011111110 & -b1011111110 5 -b1011111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4742 -0+ -#4743 -b10101111111 3 -b1011111111 & -b1011111111 5 -b1011111111 G -1+ -#4744 -0+ -#4745 -b101100000 4 -b10110000000 3 -b1100000000 & -b1100000000 5 -b1100000000 G -1+ -#4746 -0+ -#4747 -b101100000 . -b101100000 B -b101100000 : -b10110000001 3 -b1100000001 & -b1100000001 5 -b1100000001 G -1+ -#4748 -0+ -#4749 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10110000010 3 -b1100000010 & -b1100000010 5 -b1100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4750 -0+ -#4751 -b10110000011 3 -b1100000011 & -b1100000011 5 -b1100000011 G -1+ -#4752 -0+ -#4753 -b101100001 4 -b10110000100 3 -b1100000100 & -b1100000100 5 -b1100000100 G -1+ -#4754 -0+ -#4755 -b101100001 . -b101100001 B -b101100001 : -b10110000101 3 -b1100000101 & -b1100000101 5 -b1100000101 G -1+ -#4756 -0+ -#4757 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10110000110 3 -b1100000110 & -b1100000110 5 -b1100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4758 -0+ -#4759 -b10110000111 3 -b1100000111 & -b1100000111 5 -b1100000111 G -1+ -#4760 -0+ -#4761 -b101100010 4 -b10110001000 3 -b1100001000 & -b1100001000 5 -b1100001000 G -1+ -#4762 -0+ -#4763 -b101100010 . -b101100010 B -b101100010 : -b10110001001 3 -b1100001001 & -b1100001001 5 -b1100001001 G -1+ -#4764 -0+ -#4765 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10110001010 3 -b1100001010 & -b1100001010 5 -b1100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4766 -0+ -#4767 -b10110001011 3 -b1100001011 & -b1100001011 5 -b1100001011 G -1+ -#4768 -0+ -#4769 -b101100011 4 -b10110001100 3 -b1100001100 & -b1100001100 5 -b1100001100 G -1+ -#4770 -0+ -#4771 -b101100011 . -b101100011 B -b101100011 : -b10110001101 3 -b1100001101 & -b1100001101 5 -b1100001101 G -1+ -#4772 -0+ -#4773 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10110001110 3 -b1100001110 & -b1100001110 5 -b1100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4774 -0+ -#4775 -b10110001111 3 -b1100001111 & -b1100001111 5 -b1100001111 G -1+ -#4776 -0+ -#4777 -b101100100 4 -b10110010000 3 -b1100010000 & -b1100010000 5 -b1100010000 G -1+ -#4778 -0+ -#4779 -b101100100 . -b101100100 B -b101100100 : -b10110010001 3 -b1100010001 & -b1100010001 5 -b1100010001 G -1+ -#4780 -0+ -#4781 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10110010010 3 -b1100010010 & -b1100010010 5 -b1100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4782 -0+ -#4783 -b10110010011 3 -b1100010011 & -b1100010011 5 -b1100010011 G -1+ -#4784 -0+ -#4785 -b101100101 4 -b10110010100 3 -b1100010100 & -b1100010100 5 -b1100010100 G -1+ -#4786 -0+ -#4787 -b101100101 . -b101100101 B -b101100101 : -b10110010101 3 -b1100010101 & -b1100010101 5 -b1100010101 G -1+ -#4788 -0+ -#4789 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10110010110 3 -b1100010110 & -b1100010110 5 -b1100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4790 -0+ -#4791 -b10110010111 3 -b1100010111 & -b1100010111 5 -b1100010111 G -1+ -#4792 -0+ -#4793 -b101100110 4 -b10110011000 3 -b1100011000 & -b1100011000 5 -b1100011000 G -1+ -#4794 -0+ -#4795 -b101100110 . -b101100110 B -b101100110 : -b10110011001 3 -b1100011001 & -b1100011001 5 -b1100011001 G -1+ -#4796 -0+ -#4797 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10110011010 3 -b1100011010 & -b1100011010 5 -b1100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4798 -0+ -#4799 -b10110011011 3 -b1100011011 & -b1100011011 5 -b1100011011 G -1+ -#4800 -0+ -#4801 -b101100111 4 -b10110011100 3 -b1100011100 & -b1100011100 5 -b1100011100 G -1+ -#4802 -0+ -#4803 -b101100111 . -b101100111 B -b101100111 : -b10110011101 3 -b1100011101 & -b1100011101 5 -b1100011101 G -1+ -#4804 -0+ -#4805 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10110011110 3 -b1100011110 & -b1100011110 5 -b1100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4806 -0+ -#4807 -b10110011111 3 -b1100011111 & -b1100011111 5 -b1100011111 G -1+ -#4808 -0+ -#4809 -1( -b11110000 4 -b11 # -b11 1 -b11 H -b1111000000 3 -b0 & -b0 5 -b0 G -1+ -#4810 -0+ -#4811 -b11110000 . -b11110000 B -b11110000 : -b1111000001 3 -b1 & -b1 5 -b1 G -1+ -#4812 -0+ -#4813 -b0 ' -b0 6 -b111100000000 < -b1111000010 3 -b10 & -b10 5 -b10 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4814 -0+ -#4815 -b1111000011 3 -b11 & -b11 5 -b11 G -1+ -#4816 -0+ -#4817 -b11110001 4 -b1111000100 3 -b100 & -b100 5 -b100 G -1+ -#4818 -0+ -#4819 -b11110001 . -b11110001 B -b11110001 : -b1111000101 3 -b101 & -b101 5 -b101 G -1+ -#4820 -0+ -#4821 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b1111000110 3 -b110 & -b110 5 -b110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4822 -0+ -#4823 -b1111000111 3 -b111 & -b111 5 -b111 G -1+ -#4824 -0+ -#4825 -b11110010 4 -b1111001000 3 -b1000 & -b1000 5 -b1000 G -1+ -#4826 -0+ -#4827 -b11110010 . -b11110010 B -b11110010 : -b1111001001 3 -b1001 & -b1001 5 -b1001 G -1+ -#4828 -0+ -#4829 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b1111001010 3 -b1010 & -b1010 5 -b1010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4830 -0+ -#4831 -b1111001011 3 -b1011 & -b1011 5 -b1011 G -1+ -#4832 -0+ -#4833 -b11110011 4 -b1111001100 3 -b1100 & -b1100 5 -b1100 G -1+ -#4834 -0+ -#4835 -b11110011 . -b11110011 B -b11110011 : -b1111001101 3 -b1101 & -b1101 5 -b1101 G -1+ -#4836 -0+ -#4837 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b1111001110 3 -b1110 & -b1110 5 -b1110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4838 -0+ -#4839 -b1111001111 3 -b1111 & -b1111 5 -b1111 G -1+ -#4840 -0+ -#4841 -b11110100 4 -b1111010000 3 -b10000 & -b10000 5 -b10000 G -1+ -#4842 -0+ -#4843 -b11110100 . -b11110100 B -b11110100 : -b1111010001 3 -b10001 & -b10001 5 -b10001 G -1+ -#4844 -0+ -#4845 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b1111010010 3 -b10010 & -b10010 5 -b10010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4846 -0+ -#4847 -b1111010011 3 -b10011 & -b10011 5 -b10011 G -1+ -#4848 -0+ -#4849 -b11110101 4 -b1111010100 3 -b10100 & -b10100 5 -b10100 G -1+ -#4850 -0+ -#4851 -b11110101 . -b11110101 B -b11110101 : -b1111010101 3 -b10101 & -b10101 5 -b10101 G -1+ -#4852 -0+ -#4853 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b1111010110 3 -b10110 & -b10110 5 -b10110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4854 -0+ -#4855 -b1111010111 3 -b10111 & -b10111 5 -b10111 G -1+ -#4856 -0+ -#4857 -b11110110 4 -b1111011000 3 -b11000 & -b11000 5 -b11000 G -1+ -#4858 -0+ -#4859 -b11110110 . -b11110110 B -b11110110 : -b1111011001 3 -b11001 & -b11001 5 -b11001 G -1+ -#4860 -0+ -#4861 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b1111011010 3 -b11010 & -b11010 5 -b11010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4862 -0+ -#4863 -b1111011011 3 -b11011 & -b11011 5 -b11011 G -1+ -#4864 -0+ -#4865 -b11110111 4 -b1111011100 3 -b11100 & -b11100 5 -b11100 G -1+ -#4866 -0+ -#4867 -b11110111 . -b11110111 B -b11110111 : -b1111011101 3 -b11101 & -b11101 5 -b11101 G -1+ -#4868 -0+ -#4869 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b1111011110 3 -b11110 & -b11110 5 -b11110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4870 -0+ -#4871 -b1111011111 3 -b11111 & -b11111 5 -b11111 G -1+ -#4872 -0+ -#4873 -b11111000 4 -b1111100000 3 -b100000 & -b100000 5 -b100000 G -1+ -#4874 -0+ -#4875 -b11111000 . -b11111000 B -b11111000 : -b1111100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#4876 -0+ -#4877 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b1111100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#4878 -0+ -#4879 -b1111100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#4880 -0+ -#4881 -b11111001 4 -b1111100100 3 -b100100 & -b100100 5 -b100100 G -1+ -#4882 -0+ -#4883 -b11111001 . -b11111001 B -b11111001 : -b1111100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#4884 -0+ -#4885 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b1111100110 3 -b100110 & -b100110 5 -b100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#4886 -0+ -#4887 -b1111100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#4888 -0+ -#4889 -b11111010 4 -b1111101000 3 -b101000 & -b101000 5 -b101000 G -1+ -#4890 -0+ -#4891 -b11111010 . -b11111010 B -b11111010 : -b1111101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#4892 -0+ -#4893 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b1111101010 3 -b101010 & -b101010 5 -b101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#4894 -0+ -#4895 -b1111101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#4896 -0+ -#4897 -b11111011 4 -b1111101100 3 -b101100 & -b101100 5 -b101100 G -1+ -#4898 -0+ -#4899 -b11111011 . -b11111011 B -b11111011 : -b1111101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#4900 -0+ -#4901 -b1000 ' -b1000 6 -b100010000000 < -b1111101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#4902 -0+ -#4903 -b1111101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#4904 -0+ -#4905 -b11111100 4 -b1111110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#4906 -0+ -#4907 -b11111100 . -b11111100 B -b11111100 : -b1111110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#4908 -0+ -#4909 -b0 $ -b0 2 -b10000000 < -b1111110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#4910 -0+ -#4911 -b1111110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#4912 -0+ -#4913 -b11111101 4 -b1111110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#4914 -0+ -#4915 -b11111101 . -b11111101 B -b11111101 : -b1111110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#4916 -0+ -#4917 -b1000 * -b1000 9 -b10001000 < -b1111110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#4918 -0+ -#4919 -b1111110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#4920 -0+ -#4921 -b11111110 4 -b1111111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#4922 -0+ -#4923 -b11111110 . -b11111110 B -b11111110 : -b1111111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#4924 -0+ -#4925 -b0 ' -b0 6 -b1000 < -b1111111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#4926 -0+ -#4927 -b1111111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#4928 -0+ -#4929 -b11111111 4 -b1111111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#4930 -0+ -#4931 -b11111111 . -b11111111 B -b11111111 : -b1111111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#4932 -0+ -#4933 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b1111111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#4934 -0+ -#4935 -b1111111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#4936 -0+ -#4937 -b100000000 4 -b10000000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#4938 -0+ -#4939 -b100000000 . -b100000000 B -b100000000 : -b10000000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#4940 -0+ -#4941 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10000000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#4942 -0+ -#4943 -b10000000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#4944 -0+ -#4945 -b100000001 4 -b10000000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#4946 -0+ -#4947 -b100000001 . -b100000001 B -b100000001 : -b10000000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#4948 -0+ -#4949 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10000000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#4950 -0+ -#4951 -b10000000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#4952 -0+ -#4953 -b100000010 4 -b10000001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#4954 -0+ -#4955 -b100000010 . -b100000010 B -b100000010 : -b10000001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#4956 -0+ -#4957 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10000001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#4958 -0+ -#4959 -b10000001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#4960 -0+ -#4961 -b100000011 4 -b10000001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#4962 -0+ -#4963 -b100000011 . -b100000011 B -b100000011 : -b10000001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#4964 -0+ -#4965 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10000001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#4966 -0+ -#4967 -b10000001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#4968 -0+ -#4969 -b100000100 4 -b10000010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#4970 -0+ -#4971 -b100000100 . -b100000100 B -b100000100 : -b10000010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#4972 -0+ -#4973 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10000010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#4974 -0+ -#4975 -b10000010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#4976 -0+ -#4977 -b100000101 4 -b10000010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#4978 -0+ -#4979 -b100000101 . -b100000101 B -b100000101 : -b10000010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#4980 -0+ -#4981 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10000010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#4982 -0+ -#4983 -b10000010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#4984 -0+ -#4985 -b100000110 4 -b10000011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#4986 -0+ -#4987 -b100000110 . -b100000110 B -b100000110 : -b10000011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#4988 -0+ -#4989 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10000011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#4990 -0+ -#4991 -b10000011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#4992 -0+ -#4993 -b100000111 4 -b10000011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#4994 -0+ -#4995 -b100000111 . -b100000111 B -b100000111 : -b10000011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#4996 -0+ -#4997 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10000011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#4998 -0+ -#4999 -b10000011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#5000 -0+ -#5001 -b100001000 4 -b10000100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#5002 -0+ -#5003 -b100001000 . -b100001000 B -b100001000 : -b10000100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#5004 -0+ -#5005 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10000100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5006 -0+ -#5007 -b10000100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#5008 -0+ -#5009 -b100001001 4 -b10000100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#5010 -0+ -#5011 -b100001001 . -b100001001 B -b100001001 : -b10000100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#5012 -0+ -#5013 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10000100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5014 -0+ -#5015 -b10000100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#5016 -0+ -#5017 -b100001010 4 -b10000101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#5018 -0+ -#5019 -b100001010 . -b100001010 B -b100001010 : -b10000101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#5020 -0+ -#5021 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10000101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5022 -0+ -#5023 -b10000101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#5024 -0+ -#5025 -b100001011 4 -b10000101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#5026 -0+ -#5027 -b100001011 . -b100001011 B -b100001011 : -b10000101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#5028 -0+ -#5029 -b1000 ' -b1000 6 -b100010000000 < -b10000101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5030 -0+ -#5031 -b10000101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#5032 -0+ -#5033 -b100001100 4 -b10000110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#5034 -0+ -#5035 -b100001100 . -b100001100 B -b100001100 : -b10000110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#5036 -0+ -#5037 -b0 $ -b0 2 -b10000000 < -b10000110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5038 -0+ -#5039 -b10000110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#5040 -0+ -#5041 -b100001101 4 -b10000110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#5042 -0+ -#5043 -b100001101 . -b100001101 B -b100001101 : -b10000110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#5044 -0+ -#5045 -b1000 * -b1000 9 -b10001000 < -b10000110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5046 -0+ -#5047 -b10000110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#5048 -0+ -#5049 -b100001110 4 -b10000111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#5050 -0+ -#5051 -b100001110 . -b100001110 B -b100001110 : -b10000111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#5052 -0+ -#5053 -b0 ' -b0 6 -b1000 < -b10000111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5054 -0+ -#5055 -b10000111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#5056 -0+ -#5057 -b100001111 4 -b10000111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#5058 -0+ -#5059 -b100001111 . -b100001111 B -b100001111 : -b10000111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#5060 -0+ -#5061 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10000111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5062 -0+ -#5063 -b10000111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#5064 -0+ -#5065 -b100010000 4 -b10001000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#5066 -0+ -#5067 -b100010000 . -b100010000 B -b100010000 : -b10001000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#5068 -0+ -#5069 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10001000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5070 -0+ -#5071 -b10001000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#5072 -0+ -#5073 -b100010001 4 -b10001000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#5074 -0+ -#5075 -b100010001 . -b100010001 B -b100010001 : -b10001000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#5076 -0+ -#5077 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10001000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5078 -0+ -#5079 -b10001000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#5080 -0+ -#5081 -b100010010 4 -b10001001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#5082 -0+ -#5083 -b100010010 . -b100010010 B -b100010010 : -b10001001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#5084 -0+ -#5085 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10001001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5086 -0+ -#5087 -b10001001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#5088 -0+ -#5089 -b100010011 4 -b10001001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#5090 -0+ -#5091 -b100010011 . -b100010011 B -b100010011 : -b10001001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#5092 -0+ -#5093 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10001001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5094 -0+ -#5095 -b10001001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#5096 -0+ -#5097 -b100010100 4 -b10001010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#5098 -0+ -#5099 -b100010100 . -b100010100 B -b100010100 : -b10001010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#5100 -0+ -#5101 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10001010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5102 -0+ -#5103 -b10001010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#5104 -0+ -#5105 -b100010101 4 -b10001010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#5106 -0+ -#5107 -b100010101 . -b100010101 B -b100010101 : -b10001010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#5108 -0+ -#5109 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10001010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5110 -0+ -#5111 -b10001010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#5112 -0+ -#5113 -b100010110 4 -b10001011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#5114 -0+ -#5115 -b100010110 . -b100010110 B -b100010110 : -b10001011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#5116 -0+ -#5117 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10001011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5118 -0+ -#5119 -b10001011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#5120 -0+ -#5121 -b100010111 4 -b10001011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#5122 -0+ -#5123 -b100010111 . -b100010111 B -b100010111 : -b10001011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#5124 -0+ -#5125 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10001011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5126 -0+ -#5127 -b10001011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#5128 -0+ -#5129 -b100011000 4 -b10001100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#5130 -0+ -#5131 -b100011000 . -b100011000 B -b100011000 : -b10001100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#5132 -0+ -#5133 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10001100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5134 -0+ -#5135 -b10001100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#5136 -0+ -#5137 -b100011001 4 -b10001100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#5138 -0+ -#5139 -b100011001 . -b100011001 B -b100011001 : -b10001100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#5140 -0+ -#5141 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10001100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5142 -0+ -#5143 -b10001100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#5144 -0+ -#5145 -b100011010 4 -b10001101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#5146 -0+ -#5147 -b100011010 . -b100011010 B -b100011010 : -b10001101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#5148 -0+ -#5149 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10001101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5150 -0+ -#5151 -b10001101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#5152 -0+ -#5153 -b100011011 4 -b10001101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#5154 -0+ -#5155 -b100011011 . -b100011011 B -b100011011 : -b10001101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#5156 -0+ -#5157 -b1000 ' -b1000 6 -b100010000000 < -b10001101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5158 -0+ -#5159 -b10001101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#5160 -0+ -#5161 -b100011100 4 -b10001110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#5162 -0+ -#5163 -b100011100 . -b100011100 B -b100011100 : -b10001110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#5164 -0+ -#5165 -b0 $ -b0 2 -b10000000 < -b10001110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5166 -0+ -#5167 -b10001110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#5168 -0+ -#5169 -b100011101 4 -b10001110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#5170 -0+ -#5171 -b100011101 . -b100011101 B -b100011101 : -b10001110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#5172 -0+ -#5173 -b1000 * -b1000 9 -b10001000 < -b10001110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5174 -0+ -#5175 -b10001110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#5176 -0+ -#5177 -b100011110 4 -b10001111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#5178 -0+ -#5179 -b100011110 . -b100011110 B -b100011110 : -b10001111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#5180 -0+ -#5181 -b0 ' -b0 6 -b1000 < -b10001111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5182 -0+ -#5183 -b10001111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#5184 -0+ -#5185 -b100011111 4 -b10001111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#5186 -0+ -#5187 -b100011111 . -b100011111 B -b100011111 : -b10001111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#5188 -0+ -#5189 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10001111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5190 -0+ -#5191 -b10001111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#5192 -0+ -#5193 -b100100000 4 -b10010000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#5194 -0+ -#5195 -b100100000 . -b100100000 B -b100100000 : -b10010000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#5196 -0+ -#5197 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10010000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5198 -0+ -#5199 -b10010000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#5200 -0+ -#5201 -b100100001 4 -b10010000100 3 -b11000100 & -b11000100 5 -b11000100 G -1+ -#5202 -0+ -#5203 -b100100001 . -b100100001 B -b100100001 : -b10010000101 3 -b11000101 & -b11000101 5 -b11000101 G -1+ -#5204 -0+ -#5205 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10010000110 3 -b11000110 & -b11000110 5 -b11000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5206 -0+ -#5207 -b10010000111 3 -b11000111 & -b11000111 5 -b11000111 G -1+ -#5208 -0+ -#5209 -b100100010 4 -b10010001000 3 -b11001000 & -b11001000 5 -b11001000 G -1+ -#5210 -0+ -#5211 -b100100010 . -b100100010 B -b100100010 : -b10010001001 3 -b11001001 & -b11001001 5 -b11001001 G -1+ -#5212 -0+ -#5213 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10010001010 3 -b11001010 & -b11001010 5 -b11001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5214 -0+ -#5215 -b10010001011 3 -b11001011 & -b11001011 5 -b11001011 G -1+ -#5216 -0+ -#5217 -b100100011 4 -b10010001100 3 -b11001100 & -b11001100 5 -b11001100 G -1+ -#5218 -0+ -#5219 -b100100011 . -b100100011 B -b100100011 : -b10010001101 3 -b11001101 & -b11001101 5 -b11001101 G -1+ -#5220 -0+ -#5221 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10010001110 3 -b11001110 & -b11001110 5 -b11001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5222 -0+ -#5223 -b10010001111 3 -b11001111 & -b11001111 5 -b11001111 G -1+ -#5224 -0+ -#5225 -b100100100 4 -b10010010000 3 -b11010000 & -b11010000 5 -b11010000 G -1+ -#5226 -0+ -#5227 -b100100100 . -b100100100 B -b100100100 : -b10010010001 3 -b11010001 & -b11010001 5 -b11010001 G -1+ -#5228 -0+ -#5229 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10010010010 3 -b11010010 & -b11010010 5 -b11010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5230 -0+ -#5231 -b10010010011 3 -b11010011 & -b11010011 5 -b11010011 G -1+ -#5232 -0+ -#5233 -b100100101 4 -b10010010100 3 -b11010100 & -b11010100 5 -b11010100 G -1+ -#5234 -0+ -#5235 -b100100101 . -b100100101 B -b100100101 : -b10010010101 3 -b11010101 & -b11010101 5 -b11010101 G -1+ -#5236 -0+ -#5237 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10010010110 3 -b11010110 & -b11010110 5 -b11010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5238 -0+ -#5239 -b10010010111 3 -b11010111 & -b11010111 5 -b11010111 G -1+ -#5240 -0+ -#5241 -b100100110 4 -b10010011000 3 -b11011000 & -b11011000 5 -b11011000 G -1+ -#5242 -0+ -#5243 -b100100110 . -b100100110 B -b100100110 : -b10010011001 3 -b11011001 & -b11011001 5 -b11011001 G -1+ -#5244 -0+ -#5245 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10010011010 3 -b11011010 & -b11011010 5 -b11011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5246 -0+ -#5247 -b10010011011 3 -b11011011 & -b11011011 5 -b11011011 G -1+ -#5248 -0+ -#5249 -b100100111 4 -b10010011100 3 -b11011100 & -b11011100 5 -b11011100 G -1+ -#5250 -0+ -#5251 -b100100111 . -b100100111 B -b100100111 : -b10010011101 3 -b11011101 & -b11011101 5 -b11011101 G -1+ -#5252 -0+ -#5253 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10010011110 3 -b11011110 & -b11011110 5 -b11011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5254 -0+ -#5255 -b10010011111 3 -b11011111 & -b11011111 5 -b11011111 G -1+ -#5256 -0+ -#5257 -b100101000 4 -b10010100000 3 -b11100000 & -b11100000 5 -b11100000 G -1+ -#5258 -0+ -#5259 -b100101000 . -b100101000 B -b100101000 : -b10010100001 3 -b11100001 & -b11100001 5 -b11100001 G -1+ -#5260 -0+ -#5261 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10010100010 3 -b11100010 & -b11100010 5 -b11100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5262 -0+ -#5263 -b10010100011 3 -b11100011 & -b11100011 5 -b11100011 G -1+ -#5264 -0+ -#5265 -b100101001 4 -b10010100100 3 -b11100100 & -b11100100 5 -b11100100 G -1+ -#5266 -0+ -#5267 -b100101001 . -b100101001 B -b100101001 : -b10010100101 3 -b11100101 & -b11100101 5 -b11100101 G -1+ -#5268 -0+ -#5269 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10010100110 3 -b11100110 & -b11100110 5 -b11100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5270 -0+ -#5271 -b10010100111 3 -b11100111 & -b11100111 5 -b11100111 G -1+ -#5272 -0+ -#5273 -b100101010 4 -b10010101000 3 -b11101000 & -b11101000 5 -b11101000 G -1+ -#5274 -0+ -#5275 -b100101010 . -b100101010 B -b100101010 : -b10010101001 3 -b11101001 & -b11101001 5 -b11101001 G -1+ -#5276 -0+ -#5277 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10010101010 3 -b11101010 & -b11101010 5 -b11101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5278 -0+ -#5279 -b10010101011 3 -b11101011 & -b11101011 5 -b11101011 G -1+ -#5280 -0+ -#5281 -b100101011 4 -b10010101100 3 -b11101100 & -b11101100 5 -b11101100 G -1+ -#5282 -0+ -#5283 -b100101011 . -b100101011 B -b100101011 : -b10010101101 3 -b11101101 & -b11101101 5 -b11101101 G -1+ -#5284 -0+ -#5285 -b1000 ' -b1000 6 -b100010000000 < -b10010101110 3 -b11101110 & -b11101110 5 -b11101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5286 -0+ -#5287 -b10010101111 3 -b11101111 & -b11101111 5 -b11101111 G -1+ -#5288 -0+ -#5289 -b100101100 4 -b10010110000 3 -b11110000 & -b11110000 5 -b11110000 G -1+ -#5290 -0+ -#5291 -b100101100 . -b100101100 B -b100101100 : -b10010110001 3 -b11110001 & -b11110001 5 -b11110001 G -1+ -#5292 -0+ -#5293 -b0 $ -b0 2 -b10000000 < -b10010110010 3 -b11110010 & -b11110010 5 -b11110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5294 -0+ -#5295 -b10010110011 3 -b11110011 & -b11110011 5 -b11110011 G -1+ -#5296 -0+ -#5297 -b100101101 4 -b10010110100 3 -b11110100 & -b11110100 5 -b11110100 G -1+ -#5298 -0+ -#5299 -b100101101 . -b100101101 B -b100101101 : -b10010110101 3 -b11110101 & -b11110101 5 -b11110101 G -1+ -#5300 -0+ -#5301 -b1000 * -b1000 9 -b10001000 < -b10010110110 3 -b11110110 & -b11110110 5 -b11110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5302 -0+ -#5303 -b10010110111 3 -b11110111 & -b11110111 5 -b11110111 G -1+ -#5304 -0+ -#5305 -b100101110 4 -b10010111000 3 -b11111000 & -b11111000 5 -b11111000 G -1+ -#5306 -0+ -#5307 -b100101110 . -b100101110 B -b100101110 : -b10010111001 3 -b11111001 & -b11111001 5 -b11111001 G -1+ -#5308 -0+ -#5309 -b0 ' -b0 6 -b1000 < -b10010111010 3 -b11111010 & -b11111010 5 -b11111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5310 -0+ -#5311 -b10010111011 3 -b11111011 & -b11111011 5 -b11111011 G -1+ -#5312 -0+ -#5313 -b100101111 4 -b10010111100 3 -b11111100 & -b11111100 5 -b11111100 G -1+ -#5314 -0+ -#5315 -b100101111 . -b100101111 B -b100101111 : -b10010111101 3 -b11111101 & -b11111101 5 -b11111101 G -1+ -#5316 -0+ -#5317 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10010111110 3 -b11111110 & -b11111110 5 -b11111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5318 -0+ -#5319 -b10010111111 3 -b11111111 & -b11111111 5 -b11111111 G -1+ -#5320 -0+ -#5321 -b100110000 4 -b10011000000 3 -b100000000 & -b100000000 5 -b100000000 G -1+ -#5322 -0+ -#5323 -b100110000 . -b100110000 B -b100110000 : -b10011000001 3 -b100000001 & -b100000001 5 -b100000001 G -1+ -#5324 -0+ -#5325 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10011000010 3 -b100000010 & -b100000010 5 -b100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5326 -0+ -#5327 -b10011000011 3 -b100000011 & -b100000011 5 -b100000011 G -1+ -#5328 -0+ -#5329 -b100110001 4 -b10011000100 3 -b100000100 & -b100000100 5 -b100000100 G -1+ -#5330 -0+ -#5331 -b100110001 . -b100110001 B -b100110001 : -b10011000101 3 -b100000101 & -b100000101 5 -b100000101 G -1+ -#5332 -0+ -#5333 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10011000110 3 -b100000110 & -b100000110 5 -b100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5334 -0+ -#5335 -b10011000111 3 -b100000111 & -b100000111 5 -b100000111 G -1+ -#5336 -0+ -#5337 -b100110010 4 -b10011001000 3 -b100001000 & -b100001000 5 -b100001000 G -1+ -#5338 -0+ -#5339 -b100110010 . -b100110010 B -b100110010 : -b10011001001 3 -b100001001 & -b100001001 5 -b100001001 G -1+ -#5340 -0+ -#5341 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10011001010 3 -b100001010 & -b100001010 5 -b100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5342 -0+ -#5343 -b10011001011 3 -b100001011 & -b100001011 5 -b100001011 G -1+ -#5344 -0+ -#5345 -b100110011 4 -b10011001100 3 -b100001100 & -b100001100 5 -b100001100 G -1+ -#5346 -0+ -#5347 -b100110011 . -b100110011 B -b100110011 : -b10011001101 3 -b100001101 & -b100001101 5 -b100001101 G -1+ -#5348 -0+ -#5349 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10011001110 3 -b100001110 & -b100001110 5 -b100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5350 -0+ -#5351 -b10011001111 3 -b100001111 & -b100001111 5 -b100001111 G -1+ -#5352 -0+ -#5353 -b100110100 4 -b10011010000 3 -b100010000 & -b100010000 5 -b100010000 G -1+ -#5354 -0+ -#5355 -b100110100 . -b100110100 B -b100110100 : -b10011010001 3 -b100010001 & -b100010001 5 -b100010001 G -1+ -#5356 -0+ -#5357 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10011010010 3 -b100010010 & -b100010010 5 -b100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5358 -0+ -#5359 -b10011010011 3 -b100010011 & -b100010011 5 -b100010011 G -1+ -#5360 -0+ -#5361 -b100110101 4 -b10011010100 3 -b100010100 & -b100010100 5 -b100010100 G -1+ -#5362 -0+ -#5363 -b100110101 . -b100110101 B -b100110101 : -b10011010101 3 -b100010101 & -b100010101 5 -b100010101 G -1+ -#5364 -0+ -#5365 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10011010110 3 -b100010110 & -b100010110 5 -b100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5366 -0+ -#5367 -b10011010111 3 -b100010111 & -b100010111 5 -b100010111 G -1+ -#5368 -0+ -#5369 -b100110110 4 -b10011011000 3 -b100011000 & -b100011000 5 -b100011000 G -1+ -#5370 -0+ -#5371 -b100110110 . -b100110110 B -b100110110 : -b10011011001 3 -b100011001 & -b100011001 5 -b100011001 G -1+ -#5372 -0+ -#5373 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10011011010 3 -b100011010 & -b100011010 5 -b100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5374 -0+ -#5375 -b10011011011 3 -b100011011 & -b100011011 5 -b100011011 G -1+ -#5376 -0+ -#5377 -b100110111 4 -b10011011100 3 -b100011100 & -b100011100 5 -b100011100 G -1+ -#5378 -0+ -#5379 -b100110111 . -b100110111 B -b100110111 : -b10011011101 3 -b100011101 & -b100011101 5 -b100011101 G -1+ -#5380 -0+ -#5381 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10011011110 3 -b100011110 & -b100011110 5 -b100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5382 -0+ -#5383 -b10011011111 3 -b100011111 & -b100011111 5 -b100011111 G -1+ -#5384 -0+ -#5385 -b100111000 4 -b10011100000 3 -b100100000 & -b100100000 5 -b100100000 G -1+ -#5386 -0+ -#5387 -b100111000 . -b100111000 B -b100111000 : -b10011100001 3 -b100100001 & -b100100001 5 -b100100001 G -1+ -#5388 -0+ -#5389 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10011100010 3 -b100100010 & -b100100010 5 -b100100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5390 -0+ -#5391 -b10011100011 3 -b100100011 & -b100100011 5 -b100100011 G -1+ -#5392 -0+ -#5393 -b100111001 4 -b10011100100 3 -b100100100 & -b100100100 5 -b100100100 G -1+ -#5394 -0+ -#5395 -b100111001 . -b100111001 B -b100111001 : -b10011100101 3 -b100100101 & -b100100101 5 -b100100101 G -1+ -#5396 -0+ -#5397 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10011100110 3 -b100100110 & -b100100110 5 -b100100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5398 -0+ -#5399 -b10011100111 3 -b100100111 & -b100100111 5 -b100100111 G -1+ -#5400 -0+ -#5401 -b100111010 4 -b10011101000 3 -b100101000 & -b100101000 5 -b100101000 G -1+ -#5402 -0+ -#5403 -b100111010 . -b100111010 B -b100111010 : -b10011101001 3 -b100101001 & -b100101001 5 -b100101001 G -1+ -#5404 -0+ -#5405 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10011101010 3 -b100101010 & -b100101010 5 -b100101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5406 -0+ -#5407 -b10011101011 3 -b100101011 & -b100101011 5 -b100101011 G -1+ -#5408 -0+ -#5409 -b100111011 4 -b10011101100 3 -b100101100 & -b100101100 5 -b100101100 G -1+ -#5410 -0+ -#5411 -b100111011 . -b100111011 B -b100111011 : -b10011101101 3 -b100101101 & -b100101101 5 -b100101101 G -1+ -#5412 -0+ -#5413 -b1000 ' -b1000 6 -b100010000000 < -b10011101110 3 -b100101110 & -b100101110 5 -b100101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5414 -0+ -#5415 -b10011101111 3 -b100101111 & -b100101111 5 -b100101111 G -1+ -#5416 -0+ -#5417 -b100111100 4 -b10011110000 3 -b100110000 & -b100110000 5 -b100110000 G -1+ -#5418 -0+ -#5419 -b100111100 . -b100111100 B -b100111100 : -b10011110001 3 -b100110001 & -b100110001 5 -b100110001 G -1+ -#5420 -0+ -#5421 -b0 $ -b0 2 -b10000000 < -b10011110010 3 -b100110010 & -b100110010 5 -b100110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5422 -0+ -#5423 -b10011110011 3 -b100110011 & -b100110011 5 -b100110011 G -1+ -#5424 -0+ -#5425 -b100111101 4 -b10011110100 3 -b100110100 & -b100110100 5 -b100110100 G -1+ -#5426 -0+ -#5427 -b100111101 . -b100111101 B -b100111101 : -b10011110101 3 -b100110101 & -b100110101 5 -b100110101 G -1+ -#5428 -0+ -#5429 -b1000 * -b1000 9 -b10001000 < -b10011110110 3 -b100110110 & -b100110110 5 -b100110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5430 -0+ -#5431 -b10011110111 3 -b100110111 & -b100110111 5 -b100110111 G -1+ -#5432 -0+ -#5433 -b100111110 4 -b10011111000 3 -b100111000 & -b100111000 5 -b100111000 G -1+ -#5434 -0+ -#5435 -b100111110 . -b100111110 B -b100111110 : -b10011111001 3 -b100111001 & -b100111001 5 -b100111001 G -1+ -#5436 -0+ -#5437 -b0 ' -b0 6 -b1000 < -b10011111010 3 -b100111010 & -b100111010 5 -b100111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5438 -0+ -#5439 -b10011111011 3 -b100111011 & -b100111011 5 -b100111011 G -1+ -#5440 -0+ -#5441 -b100111111 4 -b10011111100 3 -b100111100 & -b100111100 5 -b100111100 G -1+ -#5442 -0+ -#5443 -b100111111 . -b100111111 B -b100111111 : -b10011111101 3 -b100111101 & -b100111101 5 -b100111101 G -1+ -#5444 -0+ -#5445 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10011111110 3 -b100111110 & -b100111110 5 -b100111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5446 -0+ -#5447 -b10011111111 3 -b100111111 & -b100111111 5 -b100111111 G -1+ -#5448 -0+ -#5449 -b101000000 4 -b10100000000 3 -b101000000 & -b101000000 5 -b101000000 G -1+ -#5450 -0+ -#5451 -b101000000 . -b101000000 B -b101000000 : -b10100000001 3 -b101000001 & -b101000001 5 -b101000001 G -1+ -#5452 -0+ -#5453 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10100000010 3 -b101000010 & -b101000010 5 -b101000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5454 -0+ -#5455 -b10100000011 3 -b101000011 & -b101000011 5 -b101000011 G -1+ -#5456 -0+ -#5457 -b101000001 4 -b10100000100 3 -b101000100 & -b101000100 5 -b101000100 G -1+ -#5458 -0+ -#5459 -b101000001 . -b101000001 B -b101000001 : -b10100000101 3 -b101000101 & -b101000101 5 -b101000101 G -1+ -#5460 -0+ -#5461 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10100000110 3 -b101000110 & -b101000110 5 -b101000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5462 -0+ -#5463 -b10100000111 3 -b101000111 & -b101000111 5 -b101000111 G -1+ -#5464 -0+ -#5465 -b101000010 4 -b10100001000 3 -b101001000 & -b101001000 5 -b101001000 G -1+ -#5466 -0+ -#5467 -b101000010 . -b101000010 B -b101000010 : -b10100001001 3 -b101001001 & -b101001001 5 -b101001001 G -1+ -#5468 -0+ -#5469 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10100001010 3 -b101001010 & -b101001010 5 -b101001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5470 -0+ -#5471 -b10100001011 3 -b101001011 & -b101001011 5 -b101001011 G -1+ -#5472 -0+ -#5473 -b101000011 4 -b10100001100 3 -b101001100 & -b101001100 5 -b101001100 G -1+ -#5474 -0+ -#5475 -b101000011 . -b101000011 B -b101000011 : -b10100001101 3 -b101001101 & -b101001101 5 -b101001101 G -1+ -#5476 -0+ -#5477 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10100001110 3 -b101001110 & -b101001110 5 -b101001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5478 -0+ -#5479 -b10100001111 3 -b101001111 & -b101001111 5 -b101001111 G -1+ -#5480 -0+ -#5481 -b101000100 4 -b10100010000 3 -b101010000 & -b101010000 5 -b101010000 G -1+ -#5482 -0+ -#5483 -b101000100 . -b101000100 B -b101000100 : -b10100010001 3 -b101010001 & -b101010001 5 -b101010001 G -1+ -#5484 -0+ -#5485 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10100010010 3 -b101010010 & -b101010010 5 -b101010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5486 -0+ -#5487 -b10100010011 3 -b101010011 & -b101010011 5 -b101010011 G -1+ -#5488 -0+ -#5489 -b101000101 4 -b10100010100 3 -b101010100 & -b101010100 5 -b101010100 G -1+ -#5490 -0+ -#5491 -b101000101 . -b101000101 B -b101000101 : -b10100010101 3 -b101010101 & -b101010101 5 -b101010101 G -1+ -#5492 -0+ -#5493 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10100010110 3 -b101010110 & -b101010110 5 -b101010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5494 -0+ -#5495 -b10100010111 3 -b101010111 & -b101010111 5 -b101010111 G -1+ -#5496 -0+ -#5497 -b101000110 4 -b10100011000 3 -b101011000 & -b101011000 5 -b101011000 G -1+ -#5498 -0+ -#5499 -b101000110 . -b101000110 B -b101000110 : -b10100011001 3 -b101011001 & -b101011001 5 -b101011001 G -1+ -#5500 -0+ -#5501 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10100011010 3 -b101011010 & -b101011010 5 -b101011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5502 -0+ -#5503 -b10100011011 3 -b101011011 & -b101011011 5 -b101011011 G -1+ -#5504 -0+ -#5505 -b101000111 4 -b10100011100 3 -b101011100 & -b101011100 5 -b101011100 G -1+ -#5506 -0+ -#5507 -b101000111 . -b101000111 B -b101000111 : -b10100011101 3 -b101011101 & -b101011101 5 -b101011101 G -1+ -#5508 -0+ -#5509 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10100011110 3 -b101011110 & -b101011110 5 -b101011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5510 -0+ -#5511 -b10100011111 3 -b101011111 & -b101011111 5 -b101011111 G -1+ -#5512 -0+ -#5513 -b101001000 4 -b10100100000 3 -b101100000 & -b101100000 5 -b101100000 G -1+ -#5514 -0+ -#5515 -b101001000 . -b101001000 B -b101001000 : -b10100100001 3 -b101100001 & -b101100001 5 -b101100001 G -1+ -#5516 -0+ -#5517 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10100100010 3 -b101100010 & -b101100010 5 -b101100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5518 -0+ -#5519 -b10100100011 3 -b101100011 & -b101100011 5 -b101100011 G -1+ -#5520 -0+ -#5521 -b101001001 4 -b10100100100 3 -b101100100 & -b101100100 5 -b101100100 G -1+ -#5522 -0+ -#5523 -b101001001 . -b101001001 B -b101001001 : -b10100100101 3 -b101100101 & -b101100101 5 -b101100101 G -1+ -#5524 -0+ -#5525 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10100100110 3 -b101100110 & -b101100110 5 -b101100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5526 -0+ -#5527 -b10100100111 3 -b101100111 & -b101100111 5 -b101100111 G -1+ -#5528 -0+ -#5529 -b101001010 4 -b10100101000 3 -b101101000 & -b101101000 5 -b101101000 G -1+ -#5530 -0+ -#5531 -b101001010 . -b101001010 B -b101001010 : -b10100101001 3 -b101101001 & -b101101001 5 -b101101001 G -1+ -#5532 -0+ -#5533 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10100101010 3 -b101101010 & -b101101010 5 -b101101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5534 -0+ -#5535 -b10100101011 3 -b101101011 & -b101101011 5 -b101101011 G -1+ -#5536 -0+ -#5537 -b101001011 4 -b10100101100 3 -b101101100 & -b101101100 5 -b101101100 G -1+ -#5538 -0+ -#5539 -b101001011 . -b101001011 B -b101001011 : -b10100101101 3 -b101101101 & -b101101101 5 -b101101101 G -1+ -#5540 -0+ -#5541 -b1000 ' -b1000 6 -b100010000000 < -b10100101110 3 -b101101110 & -b101101110 5 -b101101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5542 -0+ -#5543 -b10100101111 3 -b101101111 & -b101101111 5 -b101101111 G -1+ -#5544 -0+ -#5545 -b101001100 4 -b10100110000 3 -b101110000 & -b101110000 5 -b101110000 G -1+ -#5546 -0+ -#5547 -b101001100 . -b101001100 B -b101001100 : -b10100110001 3 -b101110001 & -b101110001 5 -b101110001 G -1+ -#5548 -0+ -#5549 -b0 $ -b0 2 -b10000000 < -b10100110010 3 -b101110010 & -b101110010 5 -b101110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5550 -0+ -#5551 -b10100110011 3 -b101110011 & -b101110011 5 -b101110011 G -1+ -#5552 -0+ -#5553 -b101001101 4 -b10100110100 3 -b101110100 & -b101110100 5 -b101110100 G -1+ -#5554 -0+ -#5555 -b101001101 . -b101001101 B -b101001101 : -b10100110101 3 -b101110101 & -b101110101 5 -b101110101 G -1+ -#5556 -0+ -#5557 -b1000 * -b1000 9 -b10001000 < -b10100110110 3 -b101110110 & -b101110110 5 -b101110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5558 -0+ -#5559 -b10100110111 3 -b101110111 & -b101110111 5 -b101110111 G -1+ -#5560 -0+ -#5561 -b101001110 4 -b10100111000 3 -b101111000 & -b101111000 5 -b101111000 G -1+ -#5562 -0+ -#5563 -b101001110 . -b101001110 B -b101001110 : -b10100111001 3 -b101111001 & -b101111001 5 -b101111001 G -1+ -#5564 -0+ -#5565 -b0 ' -b0 6 -b1000 < -b10100111010 3 -b101111010 & -b101111010 5 -b101111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5566 -0+ -#5567 -b10100111011 3 -b101111011 & -b101111011 5 -b101111011 G -1+ -#5568 -0+ -#5569 -b101001111 4 -b10100111100 3 -b101111100 & -b101111100 5 -b101111100 G -1+ -#5570 -0+ -#5571 -b101001111 . -b101001111 B -b101001111 : -b10100111101 3 -b101111101 & -b101111101 5 -b101111101 G -1+ -#5572 -0+ -#5573 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10100111110 3 -b101111110 & -b101111110 5 -b101111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5574 -0+ -#5575 -b10100111111 3 -b101111111 & -b101111111 5 -b101111111 G -1+ -#5576 -0+ -#5577 -b101010000 4 -b10101000000 3 -b110000000 & -b110000000 5 -b110000000 G -1+ -#5578 -0+ -#5579 -b101010000 . -b101010000 B -b101010000 : -b10101000001 3 -b110000001 & -b110000001 5 -b110000001 G -1+ -#5580 -0+ -#5581 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10101000010 3 -b110000010 & -b110000010 5 -b110000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5582 -0+ -#5583 -b10101000011 3 -b110000011 & -b110000011 5 -b110000011 G -1+ -#5584 -0+ -#5585 -b101010001 4 -b10101000100 3 -b110000100 & -b110000100 5 -b110000100 G -1+ -#5586 -0+ -#5587 -b101010001 . -b101010001 B -b101010001 : -b10101000101 3 -b110000101 & -b110000101 5 -b110000101 G -1+ -#5588 -0+ -#5589 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10101000110 3 -b110000110 & -b110000110 5 -b110000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5590 -0+ -#5591 -b10101000111 3 -b110000111 & -b110000111 5 -b110000111 G -1+ -#5592 -0+ -#5593 -b101010010 4 -b10101001000 3 -b110001000 & -b110001000 5 -b110001000 G -1+ -#5594 -0+ -#5595 -b101010010 . -b101010010 B -b101010010 : -b10101001001 3 -b110001001 & -b110001001 5 -b110001001 G -1+ -#5596 -0+ -#5597 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10101001010 3 -b110001010 & -b110001010 5 -b110001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5598 -0+ -#5599 -b10101001011 3 -b110001011 & -b110001011 5 -b110001011 G -1+ -#5600 -0+ -#5601 -b101010011 4 -b10101001100 3 -b110001100 & -b110001100 5 -b110001100 G -1+ -#5602 -0+ -#5603 -b101010011 . -b101010011 B -b101010011 : -b10101001101 3 -b110001101 & -b110001101 5 -b110001101 G -1+ -#5604 -0+ -#5605 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10101001110 3 -b110001110 & -b110001110 5 -b110001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5606 -0+ -#5607 -b10101001111 3 -b110001111 & -b110001111 5 -b110001111 G -1+ -#5608 -0+ -#5609 -b101010100 4 -b10101010000 3 -b110010000 & -b110010000 5 -b110010000 G -1+ -#5610 -0+ -#5611 -b101010100 . -b101010100 B -b101010100 : -b10101010001 3 -b110010001 & -b110010001 5 -b110010001 G -1+ -#5612 -0+ -#5613 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10101010010 3 -b110010010 & -b110010010 5 -b110010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5614 -0+ -#5615 -b10101010011 3 -b110010011 & -b110010011 5 -b110010011 G -1+ -#5616 -0+ -#5617 -b101010101 4 -b10101010100 3 -b110010100 & -b110010100 5 -b110010100 G -1+ -#5618 -0+ -#5619 -b101010101 . -b101010101 B -b101010101 : -b10101010101 3 -b110010101 & -b110010101 5 -b110010101 G -1+ -#5620 -0+ -#5621 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10101010110 3 -b110010110 & -b110010110 5 -b110010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5622 -0+ -#5623 -b10101010111 3 -b110010111 & -b110010111 5 -b110010111 G -1+ -#5624 -0+ -#5625 -b101010110 4 -b10101011000 3 -b110011000 & -b110011000 5 -b110011000 G -1+ -#5626 -0+ -#5627 -b101010110 . -b101010110 B -b101010110 : -b10101011001 3 -b110011001 & -b110011001 5 -b110011001 G -1+ -#5628 -0+ -#5629 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10101011010 3 -b110011010 & -b110011010 5 -b110011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5630 -0+ -#5631 -b10101011011 3 -b110011011 & -b110011011 5 -b110011011 G -1+ -#5632 -0+ -#5633 -b101010111 4 -b10101011100 3 -b110011100 & -b110011100 5 -b110011100 G -1+ -#5634 -0+ -#5635 -b101010111 . -b101010111 B -b101010111 : -b10101011101 3 -b110011101 & -b110011101 5 -b110011101 G -1+ -#5636 -0+ -#5637 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10101011110 3 -b110011110 & -b110011110 5 -b110011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5638 -0+ -#5639 -b10101011111 3 -b110011111 & -b110011111 5 -b110011111 G -1+ -#5640 -0+ -#5641 -b101011000 4 -b10101100000 3 -b110100000 & -b110100000 5 -b110100000 G -1+ -#5642 -0+ -#5643 -b101011000 . -b101011000 B -b101011000 : -b10101100001 3 -b110100001 & -b110100001 5 -b110100001 G -1+ -#5644 -0+ -#5645 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10101100010 3 -b110100010 & -b110100010 5 -b110100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5646 -0+ -#5647 -b10101100011 3 -b110100011 & -b110100011 5 -b110100011 G -1+ -#5648 -0+ -#5649 -b101011001 4 -b10101100100 3 -b110100100 & -b110100100 5 -b110100100 G -1+ -#5650 -0+ -#5651 -b101011001 . -b101011001 B -b101011001 : -b10101100101 3 -b110100101 & -b110100101 5 -b110100101 G -1+ -#5652 -0+ -#5653 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10101100110 3 -b110100110 & -b110100110 5 -b110100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5654 -0+ -#5655 -b10101100111 3 -b110100111 & -b110100111 5 -b110100111 G -1+ -#5656 -0+ -#5657 -b101011010 4 -b10101101000 3 -b110101000 & -b110101000 5 -b110101000 G -1+ -#5658 -0+ -#5659 -b101011010 . -b101011010 B -b101011010 : -b10101101001 3 -b110101001 & -b110101001 5 -b110101001 G -1+ -#5660 -0+ -#5661 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10101101010 3 -b110101010 & -b110101010 5 -b110101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5662 -0+ -#5663 -b10101101011 3 -b110101011 & -b110101011 5 -b110101011 G -1+ -#5664 -0+ -#5665 -b101011011 4 -b10101101100 3 -b110101100 & -b110101100 5 -b110101100 G -1+ -#5666 -0+ -#5667 -b101011011 . -b101011011 B -b101011011 : -b10101101101 3 -b110101101 & -b110101101 5 -b110101101 G -1+ -#5668 -0+ -#5669 -b1000 ' -b1000 6 -b100010000000 < -b10101101110 3 -b110101110 & -b110101110 5 -b110101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5670 -0+ -#5671 -b10101101111 3 -b110101111 & -b110101111 5 -b110101111 G -1+ -#5672 -0+ -#5673 -b101011100 4 -b10101110000 3 -b110110000 & -b110110000 5 -b110110000 G -1+ -#5674 -0+ -#5675 -b101011100 . -b101011100 B -b101011100 : -b10101110001 3 -b110110001 & -b110110001 5 -b110110001 G -1+ -#5676 -0+ -#5677 -b0 $ -b0 2 -b10000000 < -b10101110010 3 -b110110010 & -b110110010 5 -b110110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5678 -0+ -#5679 -b10101110011 3 -b110110011 & -b110110011 5 -b110110011 G -1+ -#5680 -0+ -#5681 -b101011101 4 -b10101110100 3 -b110110100 & -b110110100 5 -b110110100 G -1+ -#5682 -0+ -#5683 -b101011101 . -b101011101 B -b101011101 : -b10101110101 3 -b110110101 & -b110110101 5 -b110110101 G -1+ -#5684 -0+ -#5685 -b1000 * -b1000 9 -b10001000 < -b10101110110 3 -b110110110 & -b110110110 5 -b110110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5686 -0+ -#5687 -b10101110111 3 -b110110111 & -b110110111 5 -b110110111 G -1+ -#5688 -0+ -#5689 -b101011110 4 -b10101111000 3 -b110111000 & -b110111000 5 -b110111000 G -1+ -#5690 -0+ -#5691 -b101011110 . -b101011110 B -b101011110 : -b10101111001 3 -b110111001 & -b110111001 5 -b110111001 G -1+ -#5692 -0+ -#5693 -b0 ' -b0 6 -b1000 < -b10101111010 3 -b110111010 & -b110111010 5 -b110111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5694 -0+ -#5695 -b10101111011 3 -b110111011 & -b110111011 5 -b110111011 G -1+ -#5696 -0+ -#5697 -b101011111 4 -b10101111100 3 -b110111100 & -b110111100 5 -b110111100 G -1+ -#5698 -0+ -#5699 -b101011111 . -b101011111 B -b101011111 : -b10101111101 3 -b110111101 & -b110111101 5 -b110111101 G -1+ -#5700 -0+ -#5701 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10101111110 3 -b110111110 & -b110111110 5 -b110111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5702 -0+ -#5703 -b10101111111 3 -b110111111 & -b110111111 5 -b110111111 G -1+ -#5704 -0+ -#5705 -b101100000 4 -b10110000000 3 -b111000000 & -b111000000 5 -b111000000 G -1+ -#5706 -0+ -#5707 -b101100000 . -b101100000 B -b101100000 : -b10110000001 3 -b111000001 & -b111000001 5 -b111000001 G -1+ -#5708 -0+ -#5709 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10110000010 3 -b111000010 & -b111000010 5 -b111000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5710 -0+ -#5711 -b10110000011 3 -b111000011 & -b111000011 5 -b111000011 G -1+ -#5712 -0+ -#5713 -b101100001 4 -b10110000100 3 -b111000100 & -b111000100 5 -b111000100 G -1+ -#5714 -0+ -#5715 -b101100001 . -b101100001 B -b101100001 : -b10110000101 3 -b111000101 & -b111000101 5 -b111000101 G -1+ -#5716 -0+ -#5717 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10110000110 3 -b111000110 & -b111000110 5 -b111000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5718 -0+ -#5719 -b10110000111 3 -b111000111 & -b111000111 5 -b111000111 G -1+ -#5720 -0+ -#5721 -b101100010 4 -b10110001000 3 -b111001000 & -b111001000 5 -b111001000 G -1+ -#5722 -0+ -#5723 -b101100010 . -b101100010 B -b101100010 : -b10110001001 3 -b111001001 & -b111001001 5 -b111001001 G -1+ -#5724 -0+ -#5725 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10110001010 3 -b111001010 & -b111001010 5 -b111001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5726 -0+ -#5727 -b10110001011 3 -b111001011 & -b111001011 5 -b111001011 G -1+ -#5728 -0+ -#5729 -b101100011 4 -b10110001100 3 -b111001100 & -b111001100 5 -b111001100 G -1+ -#5730 -0+ -#5731 -b101100011 . -b101100011 B -b101100011 : -b10110001101 3 -b111001101 & -b111001101 5 -b111001101 G -1+ -#5732 -0+ -#5733 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10110001110 3 -b111001110 & -b111001110 5 -b111001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5734 -0+ -#5735 -b10110001111 3 -b111001111 & -b111001111 5 -b111001111 G -1+ -#5736 -0+ -#5737 -b101100100 4 -b10110010000 3 -b111010000 & -b111010000 5 -b111010000 G -1+ -#5738 -0+ -#5739 -b101100100 . -b101100100 B -b101100100 : -b10110010001 3 -b111010001 & -b111010001 5 -b111010001 G -1+ -#5740 -0+ -#5741 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10110010010 3 -b111010010 & -b111010010 5 -b111010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5742 -0+ -#5743 -b10110010011 3 -b111010011 & -b111010011 5 -b111010011 G -1+ -#5744 -0+ -#5745 -b101100101 4 -b10110010100 3 -b111010100 & -b111010100 5 -b111010100 G -1+ -#5746 -0+ -#5747 -b101100101 . -b101100101 B -b101100101 : -b10110010101 3 -b111010101 & -b111010101 5 -b111010101 G -1+ -#5748 -0+ -#5749 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10110010110 3 -b111010110 & -b111010110 5 -b111010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5750 -0+ -#5751 -b10110010111 3 -b111010111 & -b111010111 5 -b111010111 G -1+ -#5752 -0+ -#5753 -b101100110 4 -b10110011000 3 -b111011000 & -b111011000 5 -b111011000 G -1+ -#5754 -0+ -#5755 -b101100110 . -b101100110 B -b101100110 : -b10110011001 3 -b111011001 & -b111011001 5 -b111011001 G -1+ -#5756 -0+ -#5757 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10110011010 3 -b111011010 & -b111011010 5 -b111011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5758 -0+ -#5759 -b10110011011 3 -b111011011 & -b111011011 5 -b111011011 G -1+ -#5760 -0+ -#5761 -b101100111 4 -b10110011100 3 -b111011100 & -b111011100 5 -b111011100 G -1+ -#5762 -0+ -#5763 -b101100111 . -b101100111 B -b101100111 : -b10110011101 3 -b111011101 & -b111011101 5 -b111011101 G -1+ -#5764 -0+ -#5765 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10110011110 3 -b111011110 & -b111011110 5 -b111011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5766 -0+ -#5767 -b10110011111 3 -b111011111 & -b111011111 5 -b111011111 G -1+ -#5768 -0+ -#5769 -b101101000 4 -b10110100000 3 -b111100000 & -b111100000 5 -b111100000 G -1+ -#5770 -0+ -#5771 -b101101000 . -b101101000 B -b101101000 : -b10110100001 3 -b111100001 & -b111100001 5 -b111100001 G -1+ -#5772 -0+ -#5773 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10110100010 3 -b111100010 & -b111100010 5 -b111100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5774 -0+ -#5775 -b10110100011 3 -b111100011 & -b111100011 5 -b111100011 G -1+ -#5776 -0+ -#5777 -b101101001 4 -b10110100100 3 -b111100100 & -b111100100 5 -b111100100 G -1+ -#5778 -0+ -#5779 -b101101001 . -b101101001 B -b101101001 : -b10110100101 3 -b111100101 & -b111100101 5 -b111100101 G -1+ -#5780 -0+ -#5781 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10110100110 3 -b111100110 & -b111100110 5 -b111100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5782 -0+ -#5783 -b10110100111 3 -b111100111 & -b111100111 5 -b111100111 G -1+ -#5784 -0+ -#5785 -b101101010 4 -b10110101000 3 -b111101000 & -b111101000 5 -b111101000 G -1+ -#5786 -0+ -#5787 -b101101010 . -b101101010 B -b101101010 : -b10110101001 3 -b111101001 & -b111101001 5 -b111101001 G -1+ -#5788 -0+ -#5789 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10110101010 3 -b111101010 & -b111101010 5 -b111101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5790 -0+ -#5791 -b10110101011 3 -b111101011 & -b111101011 5 -b111101011 G -1+ -#5792 -0+ -#5793 -b101101011 4 -b10110101100 3 -b111101100 & -b111101100 5 -b111101100 G -1+ -#5794 -0+ -#5795 -b101101011 . -b101101011 B -b101101011 : -b10110101101 3 -b111101101 & -b111101101 5 -b111101101 G -1+ -#5796 -0+ -#5797 -b1000 ' -b1000 6 -b100010000000 < -b10110101110 3 -b111101110 & -b111101110 5 -b111101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5798 -0+ -#5799 -b10110101111 3 -b111101111 & -b111101111 5 -b111101111 G -1+ -#5800 -0+ -#5801 -b101101100 4 -b10110110000 3 -b111110000 & -b111110000 5 -b111110000 G -1+ -#5802 -0+ -#5803 -b101101100 . -b101101100 B -b101101100 : -b10110110001 3 -b111110001 & -b111110001 5 -b111110001 G -1+ -#5804 -0+ -#5805 -b0 $ -b0 2 -b10000000 < -b10110110010 3 -b111110010 & -b111110010 5 -b111110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5806 -0+ -#5807 -b10110110011 3 -b111110011 & -b111110011 5 -b111110011 G -1+ -#5808 -0+ -#5809 -b101101101 4 -b10110110100 3 -b111110100 & -b111110100 5 -b111110100 G -1+ -#5810 -0+ -#5811 -b101101101 . -b101101101 B -b101101101 : -b10110110101 3 -b111110101 & -b111110101 5 -b111110101 G -1+ -#5812 -0+ -#5813 -b1000 * -b1000 9 -b10001000 < -b10110110110 3 -b111110110 & -b111110110 5 -b111110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5814 -0+ -#5815 -b10110110111 3 -b111110111 & -b111110111 5 -b111110111 G -1+ -#5816 -0+ -#5817 -b101101110 4 -b10110111000 3 -b111111000 & -b111111000 5 -b111111000 G -1+ -#5818 -0+ -#5819 -b101101110 . -b101101110 B -b101101110 : -b10110111001 3 -b111111001 & -b111111001 5 -b111111001 G -1+ -#5820 -0+ -#5821 -b0 ' -b0 6 -b1000 < -b10110111010 3 -b111111010 & -b111111010 5 -b111111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5822 -0+ -#5823 -b10110111011 3 -b111111011 & -b111111011 5 -b111111011 G -1+ -#5824 -0+ -#5825 -b101101111 4 -b10110111100 3 -b111111100 & -b111111100 5 -b111111100 G -1+ -#5826 -0+ -#5827 -b101101111 . -b101101111 B -b101101111 : -b10110111101 3 -b111111101 & -b111111101 5 -b111111101 G -1+ -#5828 -0+ -#5829 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10110111110 3 -b111111110 & -b111111110 5 -b111111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5830 -0+ -#5831 -b10110111111 3 -b111111111 & -b111111111 5 -b111111111 G -1+ -#5832 -0+ -#5833 -b101110000 4 -b10111000000 3 -b1000000000 & -b1000000000 5 -b1000000000 G -1+ -#5834 -0+ -#5835 -b101110000 . -b101110000 B -b101110000 : -b10111000001 3 -b1000000001 & -b1000000001 5 -b1000000001 G -1+ -#5836 -0+ -#5837 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10111000010 3 -b1000000010 & -b1000000010 5 -b1000000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5838 -0+ -#5839 -b10111000011 3 -b1000000011 & -b1000000011 5 -b1000000011 G -1+ -#5840 -0+ -#5841 -b101110001 4 -b10111000100 3 -b1000000100 & -b1000000100 5 -b1000000100 G -1+ -#5842 -0+ -#5843 -b101110001 . -b101110001 B -b101110001 : -b10111000101 3 -b1000000101 & -b1000000101 5 -b1000000101 G -1+ -#5844 -0+ -#5845 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10111000110 3 -b1000000110 & -b1000000110 5 -b1000000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5846 -0+ -#5847 -b10111000111 3 -b1000000111 & -b1000000111 5 -b1000000111 G -1+ -#5848 -0+ -#5849 -b101110010 4 -b10111001000 3 -b1000001000 & -b1000001000 5 -b1000001000 G -1+ -#5850 -0+ -#5851 -b101110010 . -b101110010 B -b101110010 : -b10111001001 3 -b1000001001 & -b1000001001 5 -b1000001001 G -1+ -#5852 -0+ -#5853 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10111001010 3 -b1000001010 & -b1000001010 5 -b1000001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5854 -0+ -#5855 -b10111001011 3 -b1000001011 & -b1000001011 5 -b1000001011 G -1+ -#5856 -0+ -#5857 -b101110011 4 -b10111001100 3 -b1000001100 & -b1000001100 5 -b1000001100 G -1+ -#5858 -0+ -#5859 -b101110011 . -b101110011 B -b101110011 : -b10111001101 3 -b1000001101 & -b1000001101 5 -b1000001101 G -1+ -#5860 -0+ -#5861 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10111001110 3 -b1000001110 & -b1000001110 5 -b1000001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5862 -0+ -#5863 -b10111001111 3 -b1000001111 & -b1000001111 5 -b1000001111 G -1+ -#5864 -0+ -#5865 -b101110100 4 -b10111010000 3 -b1000010000 & -b1000010000 5 -b1000010000 G -1+ -#5866 -0+ -#5867 -b101110100 . -b101110100 B -b101110100 : -b10111010001 3 -b1000010001 & -b1000010001 5 -b1000010001 G -1+ -#5868 -0+ -#5869 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10111010010 3 -b1000010010 & -b1000010010 5 -b1000010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5870 -0+ -#5871 -b10111010011 3 -b1000010011 & -b1000010011 5 -b1000010011 G -1+ -#5872 -0+ -#5873 -b101110101 4 -b10111010100 3 -b1000010100 & -b1000010100 5 -b1000010100 G -1+ -#5874 -0+ -#5875 -b101110101 . -b101110101 B -b101110101 : -b10111010101 3 -b1000010101 & -b1000010101 5 -b1000010101 G -1+ -#5876 -0+ -#5877 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10111010110 3 -b1000010110 & -b1000010110 5 -b1000010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#5878 -0+ -#5879 -b10111010111 3 -b1000010111 & -b1000010111 5 -b1000010111 G -1+ -#5880 -0+ -#5881 -b101110110 4 -b10111011000 3 -b1000011000 & -b1000011000 5 -b1000011000 G -1+ -#5882 -0+ -#5883 -b101110110 . -b101110110 B -b101110110 : -b10111011001 3 -b1000011001 & -b1000011001 5 -b1000011001 G -1+ -#5884 -0+ -#5885 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10111011010 3 -b1000011010 & -b1000011010 5 -b1000011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#5886 -0+ -#5887 -b10111011011 3 -b1000011011 & -b1000011011 5 -b1000011011 G -1+ -#5888 -0+ -#5889 -b101110111 4 -b10111011100 3 -b1000011100 & -b1000011100 5 -b1000011100 G -1+ -#5890 -0+ -#5891 -b101110111 . -b101110111 B -b101110111 : -b10111011101 3 -b1000011101 & -b1000011101 5 -b1000011101 G -1+ -#5892 -0+ -#5893 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10111011110 3 -b1000011110 & -b1000011110 5 -b1000011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#5894 -0+ -#5895 -b10111011111 3 -b1000011111 & -b1000011111 5 -b1000011111 G -1+ -#5896 -0+ -#5897 -b101111000 4 -b10111100000 3 -b1000100000 & -b1000100000 5 -b1000100000 G -1+ -#5898 -0+ -#5899 -b101111000 . -b101111000 B -b101111000 : -b10111100001 3 -b1000100001 & -b1000100001 5 -b1000100001 G -1+ -#5900 -0+ -#5901 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10111100010 3 -b1000100010 & -b1000100010 5 -b1000100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#5902 -0+ -#5903 -b10111100011 3 -b1000100011 & -b1000100011 5 -b1000100011 G -1+ -#5904 -0+ -#5905 -b101111001 4 -b10111100100 3 -b1000100100 & -b1000100100 5 -b1000100100 G -1+ -#5906 -0+ -#5907 -b101111001 . -b101111001 B -b101111001 : -b10111100101 3 -b1000100101 & -b1000100101 5 -b1000100101 G -1+ -#5908 -0+ -#5909 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10111100110 3 -b1000100110 & -b1000100110 5 -b1000100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#5910 -0+ -#5911 -b10111100111 3 -b1000100111 & -b1000100111 5 -b1000100111 G -1+ -#5912 -0+ -#5913 -b101111010 4 -b10111101000 3 -b1000101000 & -b1000101000 5 -b1000101000 G -1+ -#5914 -0+ -#5915 -b101111010 . -b101111010 B -b101111010 : -b10111101001 3 -b1000101001 & -b1000101001 5 -b1000101001 G -1+ -#5916 -0+ -#5917 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10111101010 3 -b1000101010 & -b1000101010 5 -b1000101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#5918 -0+ -#5919 -b10111101011 3 -b1000101011 & -b1000101011 5 -b1000101011 G -1+ -#5920 -0+ -#5921 -b101111011 4 -b10111101100 3 -b1000101100 & -b1000101100 5 -b1000101100 G -1+ -#5922 -0+ -#5923 -b101111011 . -b101111011 B -b101111011 : -b10111101101 3 -b1000101101 & -b1000101101 5 -b1000101101 G -1+ -#5924 -0+ -#5925 -b1000 ' -b1000 6 -b100010000000 < -b10111101110 3 -b1000101110 & -b1000101110 5 -b1000101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#5926 -0+ -#5927 -b10111101111 3 -b1000101111 & -b1000101111 5 -b1000101111 G -1+ -#5928 -0+ -#5929 -b101111100 4 -b10111110000 3 -b1000110000 & -b1000110000 5 -b1000110000 G -1+ -#5930 -0+ -#5931 -b101111100 . -b101111100 B -b101111100 : -b10111110001 3 -b1000110001 & -b1000110001 5 -b1000110001 G -1+ -#5932 -0+ -#5933 -b0 $ -b0 2 -b10000000 < -b10111110010 3 -b1000110010 & -b1000110010 5 -b1000110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#5934 -0+ -#5935 -b10111110011 3 -b1000110011 & -b1000110011 5 -b1000110011 G -1+ -#5936 -0+ -#5937 -b101111101 4 -b10111110100 3 -b1000110100 & -b1000110100 5 -b1000110100 G -1+ -#5938 -0+ -#5939 -b101111101 . -b101111101 B -b101111101 : -b10111110101 3 -b1000110101 & -b1000110101 5 -b1000110101 G -1+ -#5940 -0+ -#5941 -b1000 * -b1000 9 -b10001000 < -b10111110110 3 -b1000110110 & -b1000110110 5 -b1000110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#5942 -0+ -#5943 -b10111110111 3 -b1000110111 & -b1000110111 5 -b1000110111 G -1+ -#5944 -0+ -#5945 -b101111110 4 -b10111111000 3 -b1000111000 & -b1000111000 5 -b1000111000 G -1+ -#5946 -0+ -#5947 -b101111110 . -b101111110 B -b101111110 : -b10111111001 3 -b1000111001 & -b1000111001 5 -b1000111001 G -1+ -#5948 -0+ -#5949 -b0 ' -b0 6 -b1000 < -b10111111010 3 -b1000111010 & -b1000111010 5 -b1000111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#5950 -0+ -#5951 -b10111111011 3 -b1000111011 & -b1000111011 5 -b1000111011 G -1+ -#5952 -0+ -#5953 -b101111111 4 -b10111111100 3 -b1000111100 & -b1000111100 5 -b1000111100 G -1+ -#5954 -0+ -#5955 -b101111111 . -b101111111 B -b101111111 : -b10111111101 3 -b1000111101 & -b1000111101 5 -b1000111101 G -1+ -#5956 -0+ -#5957 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10111111110 3 -b1000111110 & -b1000111110 5 -b1000111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#5958 -0+ -#5959 -b10111111111 3 -b1000111111 & -b1000111111 5 -b1000111111 G -1+ -#5960 -0+ -#5961 -b110000000 4 -b11000000000 3 -b1001000000 & -b1001000000 5 -b1001000000 G -1+ -#5962 -0+ -#5963 -b110000000 . -b110000000 B -b110000000 : -b11000000001 3 -b1001000001 & -b1001000001 5 -b1001000001 G -1+ -#5964 -0+ -#5965 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11000000010 3 -b1001000010 & -b1001000010 5 -b1001000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#5966 -0+ -#5967 -b11000000011 3 -b1001000011 & -b1001000011 5 -b1001000011 G -1+ -#5968 -0+ -#5969 -b110000001 4 -b11000000100 3 -b1001000100 & -b1001000100 5 -b1001000100 G -1+ -#5970 -0+ -#5971 -b110000001 . -b110000001 B -b110000001 : -b11000000101 3 -b1001000101 & -b1001000101 5 -b1001000101 G -1+ -#5972 -0+ -#5973 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11000000110 3 -b1001000110 & -b1001000110 5 -b1001000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#5974 -0+ -#5975 -b11000000111 3 -b1001000111 & -b1001000111 5 -b1001000111 G -1+ -#5976 -0+ -#5977 -b110000010 4 -b11000001000 3 -b1001001000 & -b1001001000 5 -b1001001000 G -1+ -#5978 -0+ -#5979 -b110000010 . -b110000010 B -b110000010 : -b11000001001 3 -b1001001001 & -b1001001001 5 -b1001001001 G -1+ -#5980 -0+ -#5981 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11000001010 3 -b1001001010 & -b1001001010 5 -b1001001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#5982 -0+ -#5983 -b11000001011 3 -b1001001011 & -b1001001011 5 -b1001001011 G -1+ -#5984 -0+ -#5985 -b110000011 4 -b11000001100 3 -b1001001100 & -b1001001100 5 -b1001001100 G -1+ -#5986 -0+ -#5987 -b110000011 . -b110000011 B -b110000011 : -b11000001101 3 -b1001001101 & -b1001001101 5 -b1001001101 G -1+ -#5988 -0+ -#5989 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11000001110 3 -b1001001110 & -b1001001110 5 -b1001001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#5990 -0+ -#5991 -b11000001111 3 -b1001001111 & -b1001001111 5 -b1001001111 G -1+ -#5992 -0+ -#5993 -b110000100 4 -b11000010000 3 -b1001010000 & -b1001010000 5 -b1001010000 G -1+ -#5994 -0+ -#5995 -b110000100 . -b110000100 B -b110000100 : -b11000010001 3 -b1001010001 & -b1001010001 5 -b1001010001 G -1+ -#5996 -0+ -#5997 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11000010010 3 -b1001010010 & -b1001010010 5 -b1001010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#5998 -0+ -#5999 -b11000010011 3 -b1001010011 & -b1001010011 5 -b1001010011 G -1+ -#6000 -0+ -#6001 -b110000101 4 -b11000010100 3 -b1001010100 & -b1001010100 5 -b1001010100 G -1+ -#6002 -0+ -#6003 -b110000101 . -b110000101 B -b110000101 : -b11000010101 3 -b1001010101 & -b1001010101 5 -b1001010101 G -1+ -#6004 -0+ -#6005 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11000010110 3 -b1001010110 & -b1001010110 5 -b1001010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6006 -0+ -#6007 -b11000010111 3 -b1001010111 & -b1001010111 5 -b1001010111 G -1+ -#6008 -0+ -#6009 -b110000110 4 -b11000011000 3 -b1001011000 & -b1001011000 5 -b1001011000 G -1+ -#6010 -0+ -#6011 -b110000110 . -b110000110 B -b110000110 : -b11000011001 3 -b1001011001 & -b1001011001 5 -b1001011001 G -1+ -#6012 -0+ -#6013 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11000011010 3 -b1001011010 & -b1001011010 5 -b1001011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6014 -0+ -#6015 -b11000011011 3 -b1001011011 & -b1001011011 5 -b1001011011 G -1+ -#6016 -0+ -#6017 -b110000111 4 -b11000011100 3 -b1001011100 & -b1001011100 5 -b1001011100 G -1+ -#6018 -0+ -#6019 -b110000111 . -b110000111 B -b110000111 : -b11000011101 3 -b1001011101 & -b1001011101 5 -b1001011101 G -1+ -#6020 -0+ -#6021 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11000011110 3 -b1001011110 & -b1001011110 5 -b1001011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6022 -0+ -#6023 -b11000011111 3 -b1001011111 & -b1001011111 5 -b1001011111 G -1+ -#6024 -0+ -#6025 -b110001000 4 -b11000100000 3 -b1001100000 & -b1001100000 5 -b1001100000 G -1+ -#6026 -0+ -#6027 -b110001000 . -b110001000 B -b110001000 : -b11000100001 3 -b1001100001 & -b1001100001 5 -b1001100001 G -1+ -#6028 -0+ -#6029 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11000100010 3 -b1001100010 & -b1001100010 5 -b1001100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6030 -0+ -#6031 -b11000100011 3 -b1001100011 & -b1001100011 5 -b1001100011 G -1+ -#6032 -0+ -#6033 -b110001001 4 -b11000100100 3 -b1001100100 & -b1001100100 5 -b1001100100 G -1+ -#6034 -0+ -#6035 -b110001001 . -b110001001 B -b110001001 : -b11000100101 3 -b1001100101 & -b1001100101 5 -b1001100101 G -1+ -#6036 -0+ -#6037 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11000100110 3 -b1001100110 & -b1001100110 5 -b1001100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6038 -0+ -#6039 -b11000100111 3 -b1001100111 & -b1001100111 5 -b1001100111 G -1+ -#6040 -0+ -#6041 -b110001010 4 -b11000101000 3 -b1001101000 & -b1001101000 5 -b1001101000 G -1+ -#6042 -0+ -#6043 -b110001010 . -b110001010 B -b110001010 : -b11000101001 3 -b1001101001 & -b1001101001 5 -b1001101001 G -1+ -#6044 -0+ -#6045 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11000101010 3 -b1001101010 & -b1001101010 5 -b1001101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6046 -0+ -#6047 -b11000101011 3 -b1001101011 & -b1001101011 5 -b1001101011 G -1+ -#6048 -0+ -#6049 -b110001011 4 -b11000101100 3 -b1001101100 & -b1001101100 5 -b1001101100 G -1+ -#6050 -0+ -#6051 -b110001011 . -b110001011 B -b110001011 : -b11000101101 3 -b1001101101 & -b1001101101 5 -b1001101101 G -1+ -#6052 -0+ -#6053 -b1000 ' -b1000 6 -b100010000000 < -b11000101110 3 -b1001101110 & -b1001101110 5 -b1001101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6054 -0+ -#6055 -b11000101111 3 -b1001101111 & -b1001101111 5 -b1001101111 G -1+ -#6056 -0+ -#6057 -b110001100 4 -b11000110000 3 -b1001110000 & -b1001110000 5 -b1001110000 G -1+ -#6058 -0+ -#6059 -b110001100 . -b110001100 B -b110001100 : -b11000110001 3 -b1001110001 & -b1001110001 5 -b1001110001 G -1+ -#6060 -0+ -#6061 -b0 $ -b0 2 -b10000000 < -b11000110010 3 -b1001110010 & -b1001110010 5 -b1001110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6062 -0+ -#6063 -b11000110011 3 -b1001110011 & -b1001110011 5 -b1001110011 G -1+ -#6064 -0+ -#6065 -b110001101 4 -b11000110100 3 -b1001110100 & -b1001110100 5 -b1001110100 G -1+ -#6066 -0+ -#6067 -b110001101 . -b110001101 B -b110001101 : -b11000110101 3 -b1001110101 & -b1001110101 5 -b1001110101 G -1+ -#6068 -0+ -#6069 -b1000 * -b1000 9 -b10001000 < -b11000110110 3 -b1001110110 & -b1001110110 5 -b1001110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6070 -0+ -#6071 -b11000110111 3 -b1001110111 & -b1001110111 5 -b1001110111 G -1+ -#6072 -0+ -#6073 -b110001110 4 -b11000111000 3 -b1001111000 & -b1001111000 5 -b1001111000 G -1+ -#6074 -0+ -#6075 -b110001110 . -b110001110 B -b110001110 : -b11000111001 3 -b1001111001 & -b1001111001 5 -b1001111001 G -1+ -#6076 -0+ -#6077 -b0 ' -b0 6 -b1000 < -b11000111010 3 -b1001111010 & -b1001111010 5 -b1001111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6078 -0+ -#6079 -b11000111011 3 -b1001111011 & -b1001111011 5 -b1001111011 G -1+ -#6080 -0+ -#6081 -b110001111 4 -b11000111100 3 -b1001111100 & -b1001111100 5 -b1001111100 G -1+ -#6082 -0+ -#6083 -b110001111 . -b110001111 B -b110001111 : -b11000111101 3 -b1001111101 & -b1001111101 5 -b1001111101 G -1+ -#6084 -0+ -#6085 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11000111110 3 -b1001111110 & -b1001111110 5 -b1001111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6086 -0+ -#6087 -b11000111111 3 -b1001111111 & -b1001111111 5 -b1001111111 G -1+ -#6088 -0+ -#6089 -0( -b110010000 4 -b11001000000 3 -b1010000000 & -b1010000000 5 -b1010000000 G -1+ -#6090 -0+ -#6091 -b110010000 . -b110010000 B -b110010000 : -b11001000001 3 -b1010000001 & -b1010000001 5 -b1010000001 G -1+ -#6092 -0+ -#6093 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11001000010 3 -b1010000010 & -b1010000010 5 -b1010000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6094 -0+ -#6095 -b11001000011 3 -b1010000011 & -b1010000011 5 -b1010000011 G -1+ -#6096 -0+ -#6097 -b110010001 4 -b11001000100 3 -b1010000100 & -b1010000100 5 -b1010000100 G -1+ -#6098 -0+ -#6099 -b110010001 . -b110010001 B -b110010001 : -b11001000101 3 -b1010000101 & -b1010000101 5 -b1010000101 G -1+ -#6100 -0+ -#6101 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11001000110 3 -b1010000110 & -b1010000110 5 -b1010000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6102 -0+ -#6103 -b11001000111 3 -b1010000111 & -b1010000111 5 -b1010000111 G -1+ -#6104 -0+ -#6105 -b110010010 4 -b11001001000 3 -b1010001000 & -b1010001000 5 -b1010001000 G -1+ -#6106 -0+ -#6107 -b110010010 . -b110010010 B -b110010010 : -b11001001001 3 -b1010001001 & -b1010001001 5 -b1010001001 G -1+ -#6108 -0+ -#6109 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11001001010 3 -b1010001010 & -b1010001010 5 -b1010001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6110 -0+ -#6111 -b11001001011 3 -b1010001011 & -b1010001011 5 -b1010001011 G -1+ -#6112 -0+ -#6113 -b110010011 4 -b11001001100 3 -b1010001100 & -b1010001100 5 -b1010001100 G -1+ -#6114 -0+ -#6115 -b110010011 . -b110010011 B -b110010011 : -b11001001101 3 -b1010001101 & -b1010001101 5 -b1010001101 G -1+ -#6116 -0+ -#6117 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11001001110 3 -b1010001110 & -b1010001110 5 -b1010001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6118 -0+ -#6119 -b11001001111 3 -b1010001111 & -b1010001111 5 -b1010001111 G -1+ -#6120 -0+ -#6121 -0% -b110010100 4 -b11001010000 3 -b1010010000 & -b1010010000 5 -b1010010000 G -1+ -#6122 -0+ -#6123 -b110010100 . -b110010100 B -b110010100 : -b11001010001 3 -b1010010001 & -b1010010001 5 -b1010010001 G -1+ -#6124 -0+ -#6125 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11001010010 3 -b1010010010 & -b1010010010 5 -b1010010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6126 -0+ -#6127 -b11001010011 3 -b1010010011 & -b1010010011 5 -b1010010011 G -1+ -#6128 -0+ -#6129 -b110010101 4 -b11001010100 3 -b1010010100 & -b1010010100 5 -b1010010100 G -1+ -#6130 -0+ -#6131 -b110010101 . -b110010101 B -b110010101 : -b11001010101 3 -b1010010101 & -b1010010101 5 -b1010010101 G -1+ -#6132 -0+ -#6133 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11001010110 3 -b1010010110 & -b1010010110 5 -b1010010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6134 -0+ -#6135 -b11001010111 3 -b1010010111 & -b1010010111 5 -b1010010111 G -1+ -#6136 -0+ -#6137 -b110010110 4 -b11001011000 3 -b1010011000 & -b1010011000 5 -b1010011000 G -1+ -#6138 -0+ -#6139 -b110010110 . -b110010110 B -b110010110 : -b11001011001 3 -b1010011001 & -b1010011001 5 -b1010011001 G -1+ -#6140 -0+ -#6141 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11001011010 3 -b1010011010 & -b1010011010 5 -b1010011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6142 -0+ -#6143 -b11001011011 3 -b1010011011 & -b1010011011 5 -b1010011011 G -1+ -#6144 -0+ -#6145 -b110010111 4 -b11001011100 3 -b1010011100 & -b1010011100 5 -b1010011100 G -1+ -#6146 -0+ -#6147 -b110010111 . -b110010111 B -b110010111 : -b11001011101 3 -b1010011101 & -b1010011101 5 -b1010011101 G -1+ -#6148 -0+ -#6149 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11001011110 3 -b1010011110 & -b1010011110 5 -b1010011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6150 -0+ -#6151 -b11001011111 3 -b1010011111 & -b1010011111 5 -b1010011111 G -1+ -#6152 -0+ -#6153 -b110011000 4 -b11001100000 3 -b1010100000 & -b1010100000 5 -b1010100000 G -1+ -#6154 -0+ -#6155 -b110011000 . -b110011000 B -b110011000 : -b11001100001 3 -b1010100001 & -b1010100001 5 -b1010100001 G -1+ -#6156 -0+ -#6157 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11001100010 3 -b1010100010 & -b1010100010 5 -b1010100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6158 -0+ -#6159 -b11001100011 3 -b1010100011 & -b1010100011 5 -b1010100011 G -1+ -#6160 -0+ -#6161 -b110011001 4 -b11001100100 3 -b1010100100 & -b1010100100 5 -b1010100100 G -1+ -#6162 -0+ -#6163 -b110011001 . -b110011001 B -b110011001 : -b11001100101 3 -b1010100101 & -b1010100101 5 -b1010100101 G -1+ -#6164 -0+ -#6165 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11001100110 3 -b1010100110 & -b1010100110 5 -b1010100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6166 -0+ -#6167 -b11001100111 3 -b1010100111 & -b1010100111 5 -b1010100111 G -1+ -#6168 -0+ -#6169 -b110011010 4 -b11001101000 3 -b1010101000 & -b1010101000 5 -b1010101000 G -1+ -#6170 -0+ -#6171 -b110011010 . -b110011010 B -b110011010 : -b11001101001 3 -b1010101001 & -b1010101001 5 -b1010101001 G -1+ -#6172 -0+ -#6173 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11001101010 3 -b1010101010 & -b1010101010 5 -b1010101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6174 -0+ -#6175 -b11001101011 3 -b1010101011 & -b1010101011 5 -b1010101011 G -1+ -#6176 -0+ -#6177 -b110011011 4 -b11001101100 3 -b1010101100 & -b1010101100 5 -b1010101100 G -1+ -#6178 -0+ -#6179 -b110011011 . -b110011011 B -b110011011 : -b11001101101 3 -b1010101101 & -b1010101101 5 -b1010101101 G -1+ -#6180 -0+ -#6181 -b1000 ' -b1000 6 -b100010000000 < -b11001101110 3 -b1010101110 & -b1010101110 5 -b1010101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6182 -0+ -#6183 -b11001101111 3 -b1010101111 & -b1010101111 5 -b1010101111 G -1+ -#6184 -0+ -#6185 -b110011100 4 -b11001110000 3 -b1010110000 & -b1010110000 5 -b1010110000 G -1+ -#6186 -0+ -#6187 -b110011100 . -b110011100 B -b110011100 : -b11001110001 3 -b1010110001 & -b1010110001 5 -b1010110001 G -1+ -#6188 -0+ -#6189 -b0 $ -b0 2 -b10000000 < -b11001110010 3 -b1010110010 & -b1010110010 5 -b1010110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6190 -0+ -#6191 -b11001110011 3 -b1010110011 & -b1010110011 5 -b1010110011 G -1+ -#6192 -0+ -#6193 -b110011101 4 -b11001110100 3 -b1010110100 & -b1010110100 5 -b1010110100 G -1+ -#6194 -0+ -#6195 -b110011101 . -b110011101 B -b110011101 : -b11001110101 3 -b1010110101 & -b1010110101 5 -b1010110101 G -1+ -#6196 -0+ -#6197 -b1000 * -b1000 9 -b10001000 < -b11001110110 3 -b1010110110 & -b1010110110 5 -b1010110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6198 -0+ -#6199 -b11001110111 3 -b1010110111 & -b1010110111 5 -b1010110111 G -1+ -#6200 -0+ -#6201 -b110011110 4 -b11001111000 3 -b1010111000 & -b1010111000 5 -b1010111000 G -1+ -#6202 -0+ -#6203 -b110011110 . -b110011110 B -b110011110 : -b11001111001 3 -b1010111001 & -b1010111001 5 -b1010111001 G -1+ -#6204 -0+ -#6205 -b0 ' -b0 6 -b1000 < -b11001111010 3 -b1010111010 & -b1010111010 5 -b1010111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6206 -0+ -#6207 -b11001111011 3 -b1010111011 & -b1010111011 5 -b1010111011 G -1+ -#6208 -0+ -#6209 -b110011111 4 -b11001111100 3 -b1010111100 & -b1010111100 5 -b1010111100 G -1+ -#6210 -0+ -#6211 -b110011111 . -b110011111 B -b110011111 : -b11001111101 3 -b1010111101 & -b1010111101 5 -b1010111101 G -1+ -#6212 -0+ -#6213 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11001111110 3 -b1010111110 & -b1010111110 5 -b1010111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6214 -0+ -#6215 -b11001111111 3 -b1010111111 & -b1010111111 5 -b1010111111 G -1+ -#6216 -0+ -#6217 -b110100000 4 -b11010000000 3 -b1011000000 & -b1011000000 5 -b1011000000 G -1+ -#6218 -0+ -#6219 -b110100000 . -b110100000 B -b110100000 : -b11010000001 3 -b1011000001 & -b1011000001 5 -b1011000001 G -1+ -#6220 -0+ -#6221 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11010000010 3 -b1011000010 & -b1011000010 5 -b1011000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6222 -0+ -#6223 -b11010000011 3 -b1011000011 & -b1011000011 5 -b1011000011 G -1+ -#6224 -0+ -#6225 -b110100001 4 -b11010000100 3 -b1011000100 & -b1011000100 5 -b1011000100 G -1+ -#6226 -0+ -#6227 -b110100001 . -b110100001 B -b110100001 : -b11010000101 3 -b1011000101 & -b1011000101 5 -b1011000101 G -1+ -#6228 -0+ -#6229 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11010000110 3 -b1011000110 & -b1011000110 5 -b1011000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6230 -0+ -#6231 -b11010000111 3 -b1011000111 & -b1011000111 5 -b1011000111 G -1+ -#6232 -0+ -#6233 -b110100010 4 -b11010001000 3 -b1011001000 & -b1011001000 5 -b1011001000 G -1+ -#6234 -0+ -#6235 -b110100010 . -b110100010 B -b110100010 : -b11010001001 3 -b1011001001 & -b1011001001 5 -b1011001001 G -1+ -#6236 -0+ -#6237 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11010001010 3 -b1011001010 & -b1011001010 5 -b1011001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6238 -0+ -#6239 -b11010001011 3 -b1011001011 & -b1011001011 5 -b1011001011 G -1+ -#6240 -0+ -#6241 -b110100011 4 -b11010001100 3 -b1011001100 & -b1011001100 5 -b1011001100 G -1+ -#6242 -0+ -#6243 -b110100011 . -b110100011 B -b110100011 : -b11010001101 3 -b1011001101 & -b1011001101 5 -b1011001101 G -1+ -#6244 -0+ -#6245 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11010001110 3 -b1011001110 & -b1011001110 5 -b1011001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6246 -0+ -#6247 -b11010001111 3 -b1011001111 & -b1011001111 5 -b1011001111 G -1+ -#6248 -0+ -#6249 -b110100100 4 -b11010010000 3 -b1011010000 & -b1011010000 5 -b1011010000 G -1+ -#6250 -0+ -#6251 -b110100100 . -b110100100 B -b110100100 : -b11010010001 3 -b1011010001 & -b1011010001 5 -b1011010001 G -1+ -#6252 -0+ -#6253 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11010010010 3 -b1011010010 & -b1011010010 5 -b1011010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6254 -0+ -#6255 -b11010010011 3 -b1011010011 & -b1011010011 5 -b1011010011 G -1+ -#6256 -0+ -#6257 -b110100101 4 -b11010010100 3 -b1011010100 & -b1011010100 5 -b1011010100 G -1+ -#6258 -0+ -#6259 -b110100101 . -b110100101 B -b110100101 : -b11010010101 3 -b1011010101 & -b1011010101 5 -b1011010101 G -1+ -#6260 -0+ -#6261 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11010010110 3 -b1011010110 & -b1011010110 5 -b1011010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6262 -0+ -#6263 -b11010010111 3 -b1011010111 & -b1011010111 5 -b1011010111 G -1+ -#6264 -0+ -#6265 -b110100110 4 -b11010011000 3 -b1011011000 & -b1011011000 5 -b1011011000 G -1+ -#6266 -0+ -#6267 -b110100110 . -b110100110 B -b110100110 : -b11010011001 3 -b1011011001 & -b1011011001 5 -b1011011001 G -1+ -#6268 -0+ -#6269 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11010011010 3 -b1011011010 & -b1011011010 5 -b1011011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6270 -0+ -#6271 -b11010011011 3 -b1011011011 & -b1011011011 5 -b1011011011 G -1+ -#6272 -0+ -#6273 -b110100111 4 -b11010011100 3 -b1011011100 & -b1011011100 5 -b1011011100 G -1+ -#6274 -0+ -#6275 -b110100111 . -b110100111 B -b110100111 : -b11010011101 3 -b1011011101 & -b1011011101 5 -b1011011101 G -1+ -#6276 -0+ -#6277 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11010011110 3 -b1011011110 & -b1011011110 5 -b1011011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6278 -0+ -#6279 -b11010011111 3 -b1011011111 & -b1011011111 5 -b1011011111 G -1+ -#6280 -0+ -#6281 -b110101000 4 -b11010100000 3 -b1011100000 & -b1011100000 5 -b1011100000 G -1+ -#6282 -0+ -#6283 -b110101000 . -b110101000 B -b110101000 : -b11010100001 3 -b1011100001 & -b1011100001 5 -b1011100001 G -1+ -#6284 -0+ -#6285 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11010100010 3 -b1011100010 & -b1011100010 5 -b1011100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6286 -0+ -#6287 -b11010100011 3 -b1011100011 & -b1011100011 5 -b1011100011 G -1+ -#6288 -0+ -#6289 -b110101001 4 -b11010100100 3 -b1011100100 & -b1011100100 5 -b1011100100 G -1+ -#6290 -0+ -#6291 -b110101001 . -b110101001 B -b110101001 : -b11010100101 3 -b1011100101 & -b1011100101 5 -b1011100101 G -1+ -#6292 -0+ -#6293 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11010100110 3 -b1011100110 & -b1011100110 5 -b1011100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6294 -0+ -#6295 -b11010100111 3 -b1011100111 & -b1011100111 5 -b1011100111 G -1+ -#6296 -0+ -#6297 -b110101010 4 -b11010101000 3 -b1011101000 & -b1011101000 5 -b1011101000 G -1+ -#6298 -0+ -#6299 -b110101010 . -b110101010 B -b110101010 : -b11010101001 3 -b1011101001 & -b1011101001 5 -b1011101001 G -1+ -#6300 -0+ -#6301 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11010101010 3 -b1011101010 & -b1011101010 5 -b1011101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6302 -0+ -#6303 -b11010101011 3 -b1011101011 & -b1011101011 5 -b1011101011 G -1+ -#6304 -0+ -#6305 -b110101011 4 -b11010101100 3 -b1011101100 & -b1011101100 5 -b1011101100 G -1+ -#6306 -0+ -#6307 -b110101011 . -b110101011 B -b110101011 : -b11010101101 3 -b1011101101 & -b1011101101 5 -b1011101101 G -1+ -#6308 -0+ -#6309 -b1000 ' -b1000 6 -b100010000000 < -b11010101110 3 -b1011101110 & -b1011101110 5 -b1011101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6310 -0+ -#6311 -b11010101111 3 -b1011101111 & -b1011101111 5 -b1011101111 G -1+ -#6312 -0+ -#6313 -b110101100 4 -b11010110000 3 -b1011110000 & -b1011110000 5 -b1011110000 G -1+ -#6314 -0+ -#6315 -1% -b110101100 . -b110101100 B -b110101100 : -b11010110001 3 -b1011110001 & -b1011110001 5 -b1011110001 G -1+ -#6316 -0+ -#6317 -b0 $ -b0 2 -b10000000 < -b11010110010 3 -b1011110010 & -b1011110010 5 -b1011110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6318 -0+ -#6319 -b11010110011 3 -b1011110011 & -b1011110011 5 -b1011110011 G -1+ -#6320 -0+ -#6321 -b110101101 4 -b11010110100 3 -b1011110100 & -b1011110100 5 -b1011110100 G -1+ -#6322 -0+ -#6323 -b110101101 . -b110101101 B -b110101101 : -b11010110101 3 -b1011110101 & -b1011110101 5 -b1011110101 G -1+ -#6324 -0+ -#6325 -b1000 * -b1000 9 -b10001000 < -b11010110110 3 -b1011110110 & -b1011110110 5 -b1011110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6326 -0+ -#6327 -b11010110111 3 -b1011110111 & -b1011110111 5 -b1011110111 G -1+ -#6328 -0+ -#6329 -b110101110 4 -b11010111000 3 -b1011111000 & -b1011111000 5 -b1011111000 G -1+ -#6330 -0+ -#6331 -b110101110 . -b110101110 B -b110101110 : -b11010111001 3 -b1011111001 & -b1011111001 5 -b1011111001 G -1+ -#6332 -0+ -#6333 -b0 ' -b0 6 -b1000 < -b11010111010 3 -b1011111010 & -b1011111010 5 -b1011111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6334 -0+ -#6335 -b11010111011 3 -b1011111011 & -b1011111011 5 -b1011111011 G -1+ -#6336 -0+ -#6337 -b110101111 4 -b11010111100 3 -b1011111100 & -b1011111100 5 -b1011111100 G -1+ -#6338 -0+ -#6339 -b110101111 . -b110101111 B -b110101111 : -b11010111101 3 -b1011111101 & -b1011111101 5 -b1011111101 G -1+ -#6340 -0+ -#6341 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11010111110 3 -b1011111110 & -b1011111110 5 -b1011111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6342 -0+ -#6343 -b11010111111 3 -b1011111111 & -b1011111111 5 -b1011111111 G -1+ -#6344 -0+ -#6345 -b110110000 4 -b11011000000 3 -b1100000000 & -b1100000000 5 -b1100000000 G -1+ -#6346 -0+ -#6347 -b110110000 . -b110110000 B -b110110000 : -b11011000001 3 -b1100000001 & -b1100000001 5 -b1100000001 G -1+ -#6348 -0+ -#6349 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11011000010 3 -b1100000010 & -b1100000010 5 -b1100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6350 -0+ -#6351 -b11011000011 3 -b1100000011 & -b1100000011 5 -b1100000011 G -1+ -#6352 -0+ -#6353 -b110110001 4 -b11011000100 3 -b1100000100 & -b1100000100 5 -b1100000100 G -1+ -#6354 -0+ -#6355 -b110110001 . -b110110001 B -b110110001 : -b11011000101 3 -b1100000101 & -b1100000101 5 -b1100000101 G -1+ -#6356 -0+ -#6357 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11011000110 3 -b1100000110 & -b1100000110 5 -b1100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6358 -0+ -#6359 -b11011000111 3 -b1100000111 & -b1100000111 5 -b1100000111 G -1+ -#6360 -0+ -#6361 -b110110010 4 -b11011001000 3 -b1100001000 & -b1100001000 5 -b1100001000 G -1+ -#6362 -0+ -#6363 -b110110010 . -b110110010 B -b110110010 : -b11011001001 3 -b1100001001 & -b1100001001 5 -b1100001001 G -1+ -#6364 -0+ -#6365 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11011001010 3 -b1100001010 & -b1100001010 5 -b1100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6366 -0+ -#6367 -b11011001011 3 -b1100001011 & -b1100001011 5 -b1100001011 G -1+ -#6368 -0+ -#6369 -b110110011 4 -b11011001100 3 -b1100001100 & -b1100001100 5 -b1100001100 G -1+ -#6370 -0+ -#6371 -b110110011 . -b110110011 B -b110110011 : -b11011001101 3 -b1100001101 & -b1100001101 5 -b1100001101 G -1+ -#6372 -0+ -#6373 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11011001110 3 -b1100001110 & -b1100001110 5 -b1100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6374 -0+ -#6375 -b11011001111 3 -b1100001111 & -b1100001111 5 -b1100001111 G -1+ -#6376 -0+ -#6377 -b110110100 4 -b11011010000 3 -b1100010000 & -b1100010000 5 -b1100010000 G -1+ -#6378 -0+ -#6379 -b110110100 . -b110110100 B -b110110100 : -b11011010001 3 -b1100010001 & -b1100010001 5 -b1100010001 G -1+ -#6380 -0+ -#6381 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11011010010 3 -b1100010010 & -b1100010010 5 -b1100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6382 -0+ -#6383 -b11011010011 3 -b1100010011 & -b1100010011 5 -b1100010011 G -1+ -#6384 -0+ -#6385 -b110110101 4 -b11011010100 3 -b1100010100 & -b1100010100 5 -b1100010100 G -1+ -#6386 -0+ -#6387 -b110110101 . -b110110101 B -b110110101 : -b11011010101 3 -b1100010101 & -b1100010101 5 -b1100010101 G -1+ -#6388 -0+ -#6389 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11011010110 3 -b1100010110 & -b1100010110 5 -b1100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6390 -0+ -#6391 -b11011010111 3 -b1100010111 & -b1100010111 5 -b1100010111 G -1+ -#6392 -0+ -#6393 -b110110110 4 -b11011011000 3 -b1100011000 & -b1100011000 5 -b1100011000 G -1+ -#6394 -0+ -#6395 -b110110110 . -b110110110 B -b110110110 : -b11011011001 3 -b1100011001 & -b1100011001 5 -b1100011001 G -1+ -#6396 -0+ -#6397 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11011011010 3 -b1100011010 & -b1100011010 5 -b1100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6398 -0+ -#6399 -b11011011011 3 -b1100011011 & -b1100011011 5 -b1100011011 G -1+ -#6400 -0+ -#6401 -b110110111 4 -b11011011100 3 -b1100011100 & -b1100011100 5 -b1100011100 G -1+ -#6402 -0+ -#6403 -b110110111 . -b110110111 B -b110110111 : -b11011011101 3 -b1100011101 & -b1100011101 5 -b1100011101 G -1+ -#6404 -0+ -#6405 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11011011110 3 -b1100011110 & -b1100011110 5 -b1100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6406 -0+ -#6407 -b11011011111 3 -b1100011111 & -b1100011111 5 -b1100011111 G -1+ -#6408 -0+ -#6409 -1( -b101000000 4 -b100 # -b100 1 -b100 H -b10100000000 3 -b0 & -b0 5 -b0 G -1+ -#6410 -0+ -#6411 -b101000000 . -b101000000 B -b101000000 : -b10100000001 3 -b1 & -b1 5 -b1 G -1+ -#6412 -0+ -#6413 -b0 ' -b0 6 -b111100000000 < -b10100000010 3 -b10 & -b10 5 -b10 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6414 -0+ -#6415 -b10100000011 3 -b11 & -b11 5 -b11 G -1+ -#6416 -0+ -#6417 -b101000001 4 -b10100000100 3 -b100 & -b100 5 -b100 G -1+ -#6418 -0+ -#6419 -b101000001 . -b101000001 B -b101000001 : -b10100000101 3 -b101 & -b101 5 -b101 G -1+ -#6420 -0+ -#6421 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10100000110 3 -b110 & -b110 5 -b110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6422 -0+ -#6423 -b10100000111 3 -b111 & -b111 5 -b111 G -1+ -#6424 -0+ -#6425 -b101000010 4 -b10100001000 3 -b1000 & -b1000 5 -b1000 G -1+ -#6426 -0+ -#6427 -b101000010 . -b101000010 B -b101000010 : -b10100001001 3 -b1001 & -b1001 5 -b1001 G -1+ -#6428 -0+ -#6429 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10100001010 3 -b1010 & -b1010 5 -b1010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6430 -0+ -#6431 -b10100001011 3 -b1011 & -b1011 5 -b1011 G -1+ -#6432 -0+ -#6433 -b101000011 4 -b10100001100 3 -b1100 & -b1100 5 -b1100 G -1+ -#6434 -0+ -#6435 -b101000011 . -b101000011 B -b101000011 : -b10100001101 3 -b1101 & -b1101 5 -b1101 G -1+ -#6436 -0+ -#6437 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10100001110 3 -b1110 & -b1110 5 -b1110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6438 -0+ -#6439 -b10100001111 3 -b1111 & -b1111 5 -b1111 G -1+ -#6440 -0+ -#6441 -b101000100 4 -b10100010000 3 -b10000 & -b10000 5 -b10000 G -1+ -#6442 -0+ -#6443 -b101000100 . -b101000100 B -b101000100 : -b10100010001 3 -b10001 & -b10001 5 -b10001 G -1+ -#6444 -0+ -#6445 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10100010010 3 -b10010 & -b10010 5 -b10010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6446 -0+ -#6447 -b10100010011 3 -b10011 & -b10011 5 -b10011 G -1+ -#6448 -0+ -#6449 -b101000101 4 -b10100010100 3 -b10100 & -b10100 5 -b10100 G -1+ -#6450 -0+ -#6451 -b101000101 . -b101000101 B -b101000101 : -b10100010101 3 -b10101 & -b10101 5 -b10101 G -1+ -#6452 -0+ -#6453 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10100010110 3 -b10110 & -b10110 5 -b10110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6454 -0+ -#6455 -b10100010111 3 -b10111 & -b10111 5 -b10111 G -1+ -#6456 -0+ -#6457 -b101000110 4 -b10100011000 3 -b11000 & -b11000 5 -b11000 G -1+ -#6458 -0+ -#6459 -b101000110 . -b101000110 B -b101000110 : -b10100011001 3 -b11001 & -b11001 5 -b11001 G -1+ -#6460 -0+ -#6461 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10100011010 3 -b11010 & -b11010 5 -b11010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6462 -0+ -#6463 -b10100011011 3 -b11011 & -b11011 5 -b11011 G -1+ -#6464 -0+ -#6465 -b101000111 4 -b10100011100 3 -b11100 & -b11100 5 -b11100 G -1+ -#6466 -0+ -#6467 -b101000111 . -b101000111 B -b101000111 : -b10100011101 3 -b11101 & -b11101 5 -b11101 G -1+ -#6468 -0+ -#6469 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10100011110 3 -b11110 & -b11110 5 -b11110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6470 -0+ -#6471 -b10100011111 3 -b11111 & -b11111 5 -b11111 G -1+ -#6472 -0+ -#6473 -b101001000 4 -b10100100000 3 -b100000 & -b100000 5 -b100000 G -1+ -#6474 -0+ -#6475 -b101001000 . -b101001000 B -b101001000 : -b10100100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#6476 -0+ -#6477 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10100100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6478 -0+ -#6479 -b10100100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#6480 -0+ -#6481 -b101001001 4 -b10100100100 3 -b100100 & -b100100 5 -b100100 G -1+ -#6482 -0+ -#6483 -b101001001 . -b101001001 B -b101001001 : -b10100100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#6484 -0+ -#6485 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10100100110 3 -b100110 & -b100110 5 -b100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6486 -0+ -#6487 -b10100100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#6488 -0+ -#6489 -b101001010 4 -b10100101000 3 -b101000 & -b101000 5 -b101000 G -1+ -#6490 -0+ -#6491 -b101001010 . -b101001010 B -b101001010 : -b10100101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#6492 -0+ -#6493 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10100101010 3 -b101010 & -b101010 5 -b101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6494 -0+ -#6495 -b10100101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#6496 -0+ -#6497 -b101001011 4 -b10100101100 3 -b101100 & -b101100 5 -b101100 G -1+ -#6498 -0+ -#6499 -b101001011 . -b101001011 B -b101001011 : -b10100101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#6500 -0+ -#6501 -b1000 ' -b1000 6 -b100010000000 < -b10100101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6502 -0+ -#6503 -b10100101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#6504 -0+ -#6505 -b101001100 4 -b10100110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#6506 -0+ -#6507 -b101001100 . -b101001100 B -b101001100 : -b10100110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#6508 -0+ -#6509 -b0 $ -b0 2 -b10000000 < -b10100110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6510 -0+ -#6511 -b10100110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#6512 -0+ -#6513 -b101001101 4 -b10100110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#6514 -0+ -#6515 -b101001101 . -b101001101 B -b101001101 : -b10100110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#6516 -0+ -#6517 -b1000 * -b1000 9 -b10001000 < -b10100110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6518 -0+ -#6519 -b10100110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#6520 -0+ -#6521 -b101001110 4 -b10100111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#6522 -0+ -#6523 -b101001110 . -b101001110 B -b101001110 : -b10100111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#6524 -0+ -#6525 -b0 ' -b0 6 -b1000 < -b10100111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6526 -0+ -#6527 -b10100111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#6528 -0+ -#6529 -b101001111 4 -b10100111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#6530 -0+ -#6531 -b101001111 . -b101001111 B -b101001111 : -b10100111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#6532 -0+ -#6533 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10100111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6534 -0+ -#6535 -b10100111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#6536 -0+ -#6537 -b101010000 4 -b10101000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#6538 -0+ -#6539 -b101010000 . -b101010000 B -b101010000 : -b10101000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#6540 -0+ -#6541 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10101000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6542 -0+ -#6543 -b10101000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#6544 -0+ -#6545 -b101010001 4 -b10101000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#6546 -0+ -#6547 -b101010001 . -b101010001 B -b101010001 : -b10101000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#6548 -0+ -#6549 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10101000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6550 -0+ -#6551 -b10101000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#6552 -0+ -#6553 -b101010010 4 -b10101001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#6554 -0+ -#6555 -b101010010 . -b101010010 B -b101010010 : -b10101001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#6556 -0+ -#6557 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10101001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6558 -0+ -#6559 -b10101001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#6560 -0+ -#6561 -b101010011 4 -b10101001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#6562 -0+ -#6563 -b101010011 . -b101010011 B -b101010011 : -b10101001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#6564 -0+ -#6565 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10101001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6566 -0+ -#6567 -b10101001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#6568 -0+ -#6569 -b101010100 4 -b10101010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#6570 -0+ -#6571 -b101010100 . -b101010100 B -b101010100 : -b10101010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#6572 -0+ -#6573 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10101010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6574 -0+ -#6575 -b10101010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#6576 -0+ -#6577 -b101010101 4 -b10101010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#6578 -0+ -#6579 -b101010101 . -b101010101 B -b101010101 : -b10101010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#6580 -0+ -#6581 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10101010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6582 -0+ -#6583 -b10101010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#6584 -0+ -#6585 -b101010110 4 -b10101011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#6586 -0+ -#6587 -b101010110 . -b101010110 B -b101010110 : -b10101011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#6588 -0+ -#6589 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10101011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6590 -0+ -#6591 -b10101011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#6592 -0+ -#6593 -b101010111 4 -b10101011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#6594 -0+ -#6595 -b101010111 . -b101010111 B -b101010111 : -b10101011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#6596 -0+ -#6597 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10101011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6598 -0+ -#6599 -b10101011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#6600 -0+ -#6601 -b101011000 4 -b10101100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#6602 -0+ -#6603 -b101011000 . -b101011000 B -b101011000 : -b10101100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#6604 -0+ -#6605 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10101100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6606 -0+ -#6607 -b10101100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#6608 -0+ -#6609 -b101011001 4 -b10101100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#6610 -0+ -#6611 -b101011001 . -b101011001 B -b101011001 : -b10101100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#6612 -0+ -#6613 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10101100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6614 -0+ -#6615 -b10101100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#6616 -0+ -#6617 -b101011010 4 -b10101101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#6618 -0+ -#6619 -b101011010 . -b101011010 B -b101011010 : -b10101101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#6620 -0+ -#6621 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10101101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6622 -0+ -#6623 -b10101101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#6624 -0+ -#6625 -b101011011 4 -b10101101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#6626 -0+ -#6627 -b101011011 . -b101011011 B -b101011011 : -b10101101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#6628 -0+ -#6629 -b1000 ' -b1000 6 -b100010000000 < -b10101101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6630 -0+ -#6631 -b10101101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#6632 -0+ -#6633 -b101011100 4 -b10101110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#6634 -0+ -#6635 -b101011100 . -b101011100 B -b101011100 : -b10101110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#6636 -0+ -#6637 -b0 $ -b0 2 -b10000000 < -b10101110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6638 -0+ -#6639 -b10101110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#6640 -0+ -#6641 -b101011101 4 -b10101110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#6642 -0+ -#6643 -b101011101 . -b101011101 B -b101011101 : -b10101110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#6644 -0+ -#6645 -b1000 * -b1000 9 -b10001000 < -b10101110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6646 -0+ -#6647 -b10101110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#6648 -0+ -#6649 -b101011110 4 -b10101111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#6650 -0+ -#6651 -b101011110 . -b101011110 B -b101011110 : -b10101111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#6652 -0+ -#6653 -b0 ' -b0 6 -b1000 < -b10101111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6654 -0+ -#6655 -b10101111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#6656 -0+ -#6657 -b101011111 4 -b10101111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#6658 -0+ -#6659 -b101011111 . -b101011111 B -b101011111 : -b10101111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#6660 -0+ -#6661 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10101111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6662 -0+ -#6663 -b10101111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#6664 -0+ -#6665 -b101100000 4 -b10110000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#6666 -0+ -#6667 -b101100000 . -b101100000 B -b101100000 : -b10110000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#6668 -0+ -#6669 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10110000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6670 -0+ -#6671 -b10110000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#6672 -0+ -#6673 -b101100001 4 -b10110000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#6674 -0+ -#6675 -b101100001 . -b101100001 B -b101100001 : -b10110000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#6676 -0+ -#6677 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10110000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6678 -0+ -#6679 -b10110000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#6680 -0+ -#6681 -b101100010 4 -b10110001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#6682 -0+ -#6683 -b101100010 . -b101100010 B -b101100010 : -b10110001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#6684 -0+ -#6685 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10110001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6686 -0+ -#6687 -b10110001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#6688 -0+ -#6689 -b101100011 4 -b10110001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#6690 -0+ -#6691 -b101100011 . -b101100011 B -b101100011 : -b10110001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#6692 -0+ -#6693 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10110001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6694 -0+ -#6695 -b10110001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#6696 -0+ -#6697 -b101100100 4 -b10110010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#6698 -0+ -#6699 -b101100100 . -b101100100 B -b101100100 : -b10110010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#6700 -0+ -#6701 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10110010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6702 -0+ -#6703 -b10110010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#6704 -0+ -#6705 -b101100101 4 -b10110010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#6706 -0+ -#6707 -b101100101 . -b101100101 B -b101100101 : -b10110010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#6708 -0+ -#6709 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10110010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6710 -0+ -#6711 -b10110010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#6712 -0+ -#6713 -b101100110 4 -b10110011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#6714 -0+ -#6715 -b101100110 . -b101100110 B -b101100110 : -b10110011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#6716 -0+ -#6717 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10110011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6718 -0+ -#6719 -b10110011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#6720 -0+ -#6721 -b101100111 4 -b10110011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#6722 -0+ -#6723 -b101100111 . -b101100111 B -b101100111 : -b10110011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#6724 -0+ -#6725 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10110011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6726 -0+ -#6727 -b10110011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#6728 -0+ -#6729 -b101101000 4 -b10110100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#6730 -0+ -#6731 -b101101000 . -b101101000 B -b101101000 : -b10110100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#6732 -0+ -#6733 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10110100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6734 -0+ -#6735 -b10110100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#6736 -0+ -#6737 -b101101001 4 -b10110100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#6738 -0+ -#6739 -b101101001 . -b101101001 B -b101101001 : -b10110100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#6740 -0+ -#6741 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10110100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6742 -0+ -#6743 -b10110100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#6744 -0+ -#6745 -b101101010 4 -b10110101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#6746 -0+ -#6747 -b101101010 . -b101101010 B -b101101010 : -b10110101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#6748 -0+ -#6749 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10110101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6750 -0+ -#6751 -b10110101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#6752 -0+ -#6753 -b101101011 4 -b10110101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#6754 -0+ -#6755 -b101101011 . -b101101011 B -b101101011 : -b10110101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#6756 -0+ -#6757 -b1000 ' -b1000 6 -b100010000000 < -b10110101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6758 -0+ -#6759 -b10110101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#6760 -0+ -#6761 -b101101100 4 -b10110110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#6762 -0+ -#6763 -b101101100 . -b101101100 B -b101101100 : -b10110110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#6764 -0+ -#6765 -b0 $ -b0 2 -b10000000 < -b10110110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6766 -0+ -#6767 -b10110110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#6768 -0+ -#6769 -b101101101 4 -b10110110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#6770 -0+ -#6771 -b101101101 . -b101101101 B -b101101101 : -b10110110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#6772 -0+ -#6773 -b1000 * -b1000 9 -b10001000 < -b10110110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6774 -0+ -#6775 -b10110110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#6776 -0+ -#6777 -b101101110 4 -b10110111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#6778 -0+ -#6779 -b101101110 . -b101101110 B -b101101110 : -b10110111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#6780 -0+ -#6781 -b0 ' -b0 6 -b1000 < -b10110111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6782 -0+ -#6783 -b10110111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#6784 -0+ -#6785 -b101101111 4 -b10110111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#6786 -0+ -#6787 -b101101111 . -b101101111 B -b101101111 : -b10110111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#6788 -0+ -#6789 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10110111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6790 -0+ -#6791 -b10110111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#6792 -0+ -#6793 -b101110000 4 -b10111000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#6794 -0+ -#6795 -b101110000 . -b101110000 B -b101110000 : -b10111000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#6796 -0+ -#6797 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b10111000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6798 -0+ -#6799 -b10111000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#6800 -0+ -#6801 -b101110001 4 -b10111000100 3 -b11000100 & -b11000100 5 -b11000100 G -1+ -#6802 -0+ -#6803 -b101110001 . -b101110001 B -b101110001 : -b10111000101 3 -b11000101 & -b11000101 5 -b11000101 G -1+ -#6804 -0+ -#6805 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b10111000110 3 -b11000110 & -b11000110 5 -b11000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6806 -0+ -#6807 -b10111000111 3 -b11000111 & -b11000111 5 -b11000111 G -1+ -#6808 -0+ -#6809 -b101110010 4 -b10111001000 3 -b11001000 & -b11001000 5 -b11001000 G -1+ -#6810 -0+ -#6811 -b101110010 . -b101110010 B -b101110010 : -b10111001001 3 -b11001001 & -b11001001 5 -b11001001 G -1+ -#6812 -0+ -#6813 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b10111001010 3 -b11001010 & -b11001010 5 -b11001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6814 -0+ -#6815 -b10111001011 3 -b11001011 & -b11001011 5 -b11001011 G -1+ -#6816 -0+ -#6817 -b101110011 4 -b10111001100 3 -b11001100 & -b11001100 5 -b11001100 G -1+ -#6818 -0+ -#6819 -b101110011 . -b101110011 B -b101110011 : -b10111001101 3 -b11001101 & -b11001101 5 -b11001101 G -1+ -#6820 -0+ -#6821 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b10111001110 3 -b11001110 & -b11001110 5 -b11001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6822 -0+ -#6823 -b10111001111 3 -b11001111 & -b11001111 5 -b11001111 G -1+ -#6824 -0+ -#6825 -b101110100 4 -b10111010000 3 -b11010000 & -b11010000 5 -b11010000 G -1+ -#6826 -0+ -#6827 -b101110100 . -b101110100 B -b101110100 : -b10111010001 3 -b11010001 & -b11010001 5 -b11010001 G -1+ -#6828 -0+ -#6829 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b10111010010 3 -b11010010 & -b11010010 5 -b11010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6830 -0+ -#6831 -b10111010011 3 -b11010011 & -b11010011 5 -b11010011 G -1+ -#6832 -0+ -#6833 -b101110101 4 -b10111010100 3 -b11010100 & -b11010100 5 -b11010100 G -1+ -#6834 -0+ -#6835 -b101110101 . -b101110101 B -b101110101 : -b10111010101 3 -b11010101 & -b11010101 5 -b11010101 G -1+ -#6836 -0+ -#6837 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b10111010110 3 -b11010110 & -b11010110 5 -b11010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6838 -0+ -#6839 -b10111010111 3 -b11010111 & -b11010111 5 -b11010111 G -1+ -#6840 -0+ -#6841 -b101110110 4 -b10111011000 3 -b11011000 & -b11011000 5 -b11011000 G -1+ -#6842 -0+ -#6843 -b101110110 . -b101110110 B -b101110110 : -b10111011001 3 -b11011001 & -b11011001 5 -b11011001 G -1+ -#6844 -0+ -#6845 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b10111011010 3 -b11011010 & -b11011010 5 -b11011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6846 -0+ -#6847 -b10111011011 3 -b11011011 & -b11011011 5 -b11011011 G -1+ -#6848 -0+ -#6849 -b101110111 4 -b10111011100 3 -b11011100 & -b11011100 5 -b11011100 G -1+ -#6850 -0+ -#6851 -b101110111 . -b101110111 B -b101110111 : -b10111011101 3 -b11011101 & -b11011101 5 -b11011101 G -1+ -#6852 -0+ -#6853 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b10111011110 3 -b11011110 & -b11011110 5 -b11011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6854 -0+ -#6855 -b10111011111 3 -b11011111 & -b11011111 5 -b11011111 G -1+ -#6856 -0+ -#6857 -b101111000 4 -b10111100000 3 -b11100000 & -b11100000 5 -b11100000 G -1+ -#6858 -0+ -#6859 -b101111000 . -b101111000 B -b101111000 : -b10111100001 3 -b11100001 & -b11100001 5 -b11100001 G -1+ -#6860 -0+ -#6861 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b10111100010 3 -b11100010 & -b11100010 5 -b11100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6862 -0+ -#6863 -b10111100011 3 -b11100011 & -b11100011 5 -b11100011 G -1+ -#6864 -0+ -#6865 -b101111001 4 -b10111100100 3 -b11100100 & -b11100100 5 -b11100100 G -1+ -#6866 -0+ -#6867 -b101111001 . -b101111001 B -b101111001 : -b10111100101 3 -b11100101 & -b11100101 5 -b11100101 G -1+ -#6868 -0+ -#6869 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b10111100110 3 -b11100110 & -b11100110 5 -b11100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6870 -0+ -#6871 -b10111100111 3 -b11100111 & -b11100111 5 -b11100111 G -1+ -#6872 -0+ -#6873 -b101111010 4 -b10111101000 3 -b11101000 & -b11101000 5 -b11101000 G -1+ -#6874 -0+ -#6875 -b101111010 . -b101111010 B -b101111010 : -b10111101001 3 -b11101001 & -b11101001 5 -b11101001 G -1+ -#6876 -0+ -#6877 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b10111101010 3 -b11101010 & -b11101010 5 -b11101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#6878 -0+ -#6879 -b10111101011 3 -b11101011 & -b11101011 5 -b11101011 G -1+ -#6880 -0+ -#6881 -b101111011 4 -b10111101100 3 -b11101100 & -b11101100 5 -b11101100 G -1+ -#6882 -0+ -#6883 -b101111011 . -b101111011 B -b101111011 : -b10111101101 3 -b11101101 & -b11101101 5 -b11101101 G -1+ -#6884 -0+ -#6885 -b1000 ' -b1000 6 -b100010000000 < -b10111101110 3 -b11101110 & -b11101110 5 -b11101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#6886 -0+ -#6887 -b10111101111 3 -b11101111 & -b11101111 5 -b11101111 G -1+ -#6888 -0+ -#6889 -b101111100 4 -b10111110000 3 -b11110000 & -b11110000 5 -b11110000 G -1+ -#6890 -0+ -#6891 -b101111100 . -b101111100 B -b101111100 : -b10111110001 3 -b11110001 & -b11110001 5 -b11110001 G -1+ -#6892 -0+ -#6893 -b0 $ -b0 2 -b10000000 < -b10111110010 3 -b11110010 & -b11110010 5 -b11110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#6894 -0+ -#6895 -b10111110011 3 -b11110011 & -b11110011 5 -b11110011 G -1+ -#6896 -0+ -#6897 -b101111101 4 -b10111110100 3 -b11110100 & -b11110100 5 -b11110100 G -1+ -#6898 -0+ -#6899 -b101111101 . -b101111101 B -b101111101 : -b10111110101 3 -b11110101 & -b11110101 5 -b11110101 G -1+ -#6900 -0+ -#6901 -b1000 * -b1000 9 -b10001000 < -b10111110110 3 -b11110110 & -b11110110 5 -b11110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#6902 -0+ -#6903 -b10111110111 3 -b11110111 & -b11110111 5 -b11110111 G -1+ -#6904 -0+ -#6905 -b101111110 4 -b10111111000 3 -b11111000 & -b11111000 5 -b11111000 G -1+ -#6906 -0+ -#6907 -b101111110 . -b101111110 B -b101111110 : -b10111111001 3 -b11111001 & -b11111001 5 -b11111001 G -1+ -#6908 -0+ -#6909 -b0 ' -b0 6 -b1000 < -b10111111010 3 -b11111010 & -b11111010 5 -b11111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#6910 -0+ -#6911 -b10111111011 3 -b11111011 & -b11111011 5 -b11111011 G -1+ -#6912 -0+ -#6913 -b101111111 4 -b10111111100 3 -b11111100 & -b11111100 5 -b11111100 G -1+ -#6914 -0+ -#6915 -b101111111 . -b101111111 B -b101111111 : -b10111111101 3 -b11111101 & -b11111101 5 -b11111101 G -1+ -#6916 -0+ -#6917 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b10111111110 3 -b11111110 & -b11111110 5 -b11111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#6918 -0+ -#6919 -b10111111111 3 -b11111111 & -b11111111 5 -b11111111 G -1+ -#6920 -0+ -#6921 -b110000000 4 -b11000000000 3 -b100000000 & -b100000000 5 -b100000000 G -1+ -#6922 -0+ -#6923 -b110000000 . -b110000000 B -b110000000 : -b11000000001 3 -b100000001 & -b100000001 5 -b100000001 G -1+ -#6924 -0+ -#6925 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11000000010 3 -b100000010 & -b100000010 5 -b100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#6926 -0+ -#6927 -b11000000011 3 -b100000011 & -b100000011 5 -b100000011 G -1+ -#6928 -0+ -#6929 -b110000001 4 -b11000000100 3 -b100000100 & -b100000100 5 -b100000100 G -1+ -#6930 -0+ -#6931 -b110000001 . -b110000001 B -b110000001 : -b11000000101 3 -b100000101 & -b100000101 5 -b100000101 G -1+ -#6932 -0+ -#6933 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11000000110 3 -b100000110 & -b100000110 5 -b100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#6934 -0+ -#6935 -b11000000111 3 -b100000111 & -b100000111 5 -b100000111 G -1+ -#6936 -0+ -#6937 -b110000010 4 -b11000001000 3 -b100001000 & -b100001000 5 -b100001000 G -1+ -#6938 -0+ -#6939 -b110000010 . -b110000010 B -b110000010 : -b11000001001 3 -b100001001 & -b100001001 5 -b100001001 G -1+ -#6940 -0+ -#6941 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11000001010 3 -b100001010 & -b100001010 5 -b100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#6942 -0+ -#6943 -b11000001011 3 -b100001011 & -b100001011 5 -b100001011 G -1+ -#6944 -0+ -#6945 -b110000011 4 -b11000001100 3 -b100001100 & -b100001100 5 -b100001100 G -1+ -#6946 -0+ -#6947 -b110000011 . -b110000011 B -b110000011 : -b11000001101 3 -b100001101 & -b100001101 5 -b100001101 G -1+ -#6948 -0+ -#6949 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11000001110 3 -b100001110 & -b100001110 5 -b100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#6950 -0+ -#6951 -b11000001111 3 -b100001111 & -b100001111 5 -b100001111 G -1+ -#6952 -0+ -#6953 -b110000100 4 -b11000010000 3 -b100010000 & -b100010000 5 -b100010000 G -1+ -#6954 -0+ -#6955 -b110000100 . -b110000100 B -b110000100 : -b11000010001 3 -b100010001 & -b100010001 5 -b100010001 G -1+ -#6956 -0+ -#6957 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11000010010 3 -b100010010 & -b100010010 5 -b100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#6958 -0+ -#6959 -b11000010011 3 -b100010011 & -b100010011 5 -b100010011 G -1+ -#6960 -0+ -#6961 -b110000101 4 -b11000010100 3 -b100010100 & -b100010100 5 -b100010100 G -1+ -#6962 -0+ -#6963 -b110000101 . -b110000101 B -b110000101 : -b11000010101 3 -b100010101 & -b100010101 5 -b100010101 G -1+ -#6964 -0+ -#6965 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11000010110 3 -b100010110 & -b100010110 5 -b100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#6966 -0+ -#6967 -b11000010111 3 -b100010111 & -b100010111 5 -b100010111 G -1+ -#6968 -0+ -#6969 -b110000110 4 -b11000011000 3 -b100011000 & -b100011000 5 -b100011000 G -1+ -#6970 -0+ -#6971 -b110000110 . -b110000110 B -b110000110 : -b11000011001 3 -b100011001 & -b100011001 5 -b100011001 G -1+ -#6972 -0+ -#6973 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11000011010 3 -b100011010 & -b100011010 5 -b100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#6974 -0+ -#6975 -b11000011011 3 -b100011011 & -b100011011 5 -b100011011 G -1+ -#6976 -0+ -#6977 -b110000111 4 -b11000011100 3 -b100011100 & -b100011100 5 -b100011100 G -1+ -#6978 -0+ -#6979 -b110000111 . -b110000111 B -b110000111 : -b11000011101 3 -b100011101 & -b100011101 5 -b100011101 G -1+ -#6980 -0+ -#6981 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11000011110 3 -b100011110 & -b100011110 5 -b100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#6982 -0+ -#6983 -b11000011111 3 -b100011111 & -b100011111 5 -b100011111 G -1+ -#6984 -0+ -#6985 -b110001000 4 -b11000100000 3 -b100100000 & -b100100000 5 -b100100000 G -1+ -#6986 -0+ -#6987 -b110001000 . -b110001000 B -b110001000 : -b11000100001 3 -b100100001 & -b100100001 5 -b100100001 G -1+ -#6988 -0+ -#6989 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11000100010 3 -b100100010 & -b100100010 5 -b100100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#6990 -0+ -#6991 -b11000100011 3 -b100100011 & -b100100011 5 -b100100011 G -1+ -#6992 -0+ -#6993 -b110001001 4 -b11000100100 3 -b100100100 & -b100100100 5 -b100100100 G -1+ -#6994 -0+ -#6995 -b110001001 . -b110001001 B -b110001001 : -b11000100101 3 -b100100101 & -b100100101 5 -b100100101 G -1+ -#6996 -0+ -#6997 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11000100110 3 -b100100110 & -b100100110 5 -b100100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#6998 -0+ -#6999 -b11000100111 3 -b100100111 & -b100100111 5 -b100100111 G -1+ -#7000 -0+ -#7001 -b110001010 4 -b11000101000 3 -b100101000 & -b100101000 5 -b100101000 G -1+ -#7002 -0+ -#7003 -b110001010 . -b110001010 B -b110001010 : -b11000101001 3 -b100101001 & -b100101001 5 -b100101001 G -1+ -#7004 -0+ -#7005 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11000101010 3 -b100101010 & -b100101010 5 -b100101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7006 -0+ -#7007 -b11000101011 3 -b100101011 & -b100101011 5 -b100101011 G -1+ -#7008 -0+ -#7009 -b110001011 4 -b11000101100 3 -b100101100 & -b100101100 5 -b100101100 G -1+ -#7010 -0+ -#7011 -b110001011 . -b110001011 B -b110001011 : -b11000101101 3 -b100101101 & -b100101101 5 -b100101101 G -1+ -#7012 -0+ -#7013 -b1000 ' -b1000 6 -b100010000000 < -b11000101110 3 -b100101110 & -b100101110 5 -b100101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7014 -0+ -#7015 -b11000101111 3 -b100101111 & -b100101111 5 -b100101111 G -1+ -#7016 -0+ -#7017 -b110001100 4 -b11000110000 3 -b100110000 & -b100110000 5 -b100110000 G -1+ -#7018 -0+ -#7019 -b110001100 . -b110001100 B -b110001100 : -b11000110001 3 -b100110001 & -b100110001 5 -b100110001 G -1+ -#7020 -0+ -#7021 -b0 $ -b0 2 -b10000000 < -b11000110010 3 -b100110010 & -b100110010 5 -b100110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7022 -0+ -#7023 -b11000110011 3 -b100110011 & -b100110011 5 -b100110011 G -1+ -#7024 -0+ -#7025 -b110001101 4 -b11000110100 3 -b100110100 & -b100110100 5 -b100110100 G -1+ -#7026 -0+ -#7027 -b110001101 . -b110001101 B -b110001101 : -b11000110101 3 -b100110101 & -b100110101 5 -b100110101 G -1+ -#7028 -0+ -#7029 -b1000 * -b1000 9 -b10001000 < -b11000110110 3 -b100110110 & -b100110110 5 -b100110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7030 -0+ -#7031 -b11000110111 3 -b100110111 & -b100110111 5 -b100110111 G -1+ -#7032 -0+ -#7033 -b110001110 4 -b11000111000 3 -b100111000 & -b100111000 5 -b100111000 G -1+ -#7034 -0+ -#7035 -b110001110 . -b110001110 B -b110001110 : -b11000111001 3 -b100111001 & -b100111001 5 -b100111001 G -1+ -#7036 -0+ -#7037 -b0 ' -b0 6 -b1000 < -b11000111010 3 -b100111010 & -b100111010 5 -b100111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7038 -0+ -#7039 -b11000111011 3 -b100111011 & -b100111011 5 -b100111011 G -1+ -#7040 -0+ -#7041 -b110001111 4 -b11000111100 3 -b100111100 & -b100111100 5 -b100111100 G -1+ -#7042 -0+ -#7043 -b110001111 . -b110001111 B -b110001111 : -b11000111101 3 -b100111101 & -b100111101 5 -b100111101 G -1+ -#7044 -0+ -#7045 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11000111110 3 -b100111110 & -b100111110 5 -b100111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7046 -0+ -#7047 -b11000111111 3 -b100111111 & -b100111111 5 -b100111111 G -1+ -#7048 -0+ -#7049 -b110010000 4 -b11001000000 3 -b101000000 & -b101000000 5 -b101000000 G -1+ -#7050 -0+ -#7051 -b110010000 . -b110010000 B -b110010000 : -b11001000001 3 -b101000001 & -b101000001 5 -b101000001 G -1+ -#7052 -0+ -#7053 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11001000010 3 -b101000010 & -b101000010 5 -b101000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7054 -0+ -#7055 -b11001000011 3 -b101000011 & -b101000011 5 -b101000011 G -1+ -#7056 -0+ -#7057 -b110010001 4 -b11001000100 3 -b101000100 & -b101000100 5 -b101000100 G -1+ -#7058 -0+ -#7059 -b110010001 . -b110010001 B -b110010001 : -b11001000101 3 -b101000101 & -b101000101 5 -b101000101 G -1+ -#7060 -0+ -#7061 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11001000110 3 -b101000110 & -b101000110 5 -b101000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7062 -0+ -#7063 -b11001000111 3 -b101000111 & -b101000111 5 -b101000111 G -1+ -#7064 -0+ -#7065 -b110010010 4 -b11001001000 3 -b101001000 & -b101001000 5 -b101001000 G -1+ -#7066 -0+ -#7067 -b110010010 . -b110010010 B -b110010010 : -b11001001001 3 -b101001001 & -b101001001 5 -b101001001 G -1+ -#7068 -0+ -#7069 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11001001010 3 -b101001010 & -b101001010 5 -b101001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7070 -0+ -#7071 -b11001001011 3 -b101001011 & -b101001011 5 -b101001011 G -1+ -#7072 -0+ -#7073 -b110010011 4 -b11001001100 3 -b101001100 & -b101001100 5 -b101001100 G -1+ -#7074 -0+ -#7075 -b110010011 . -b110010011 B -b110010011 : -b11001001101 3 -b101001101 & -b101001101 5 -b101001101 G -1+ -#7076 -0+ -#7077 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11001001110 3 -b101001110 & -b101001110 5 -b101001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7078 -0+ -#7079 -b11001001111 3 -b101001111 & -b101001111 5 -b101001111 G -1+ -#7080 -0+ -#7081 -b110010100 4 -b11001010000 3 -b101010000 & -b101010000 5 -b101010000 G -1+ -#7082 -0+ -#7083 -b110010100 . -b110010100 B -b110010100 : -b11001010001 3 -b101010001 & -b101010001 5 -b101010001 G -1+ -#7084 -0+ -#7085 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11001010010 3 -b101010010 & -b101010010 5 -b101010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7086 -0+ -#7087 -b11001010011 3 -b101010011 & -b101010011 5 -b101010011 G -1+ -#7088 -0+ -#7089 -b110010101 4 -b11001010100 3 -b101010100 & -b101010100 5 -b101010100 G -1+ -#7090 -0+ -#7091 -b110010101 . -b110010101 B -b110010101 : -b11001010101 3 -b101010101 & -b101010101 5 -b101010101 G -1+ -#7092 -0+ -#7093 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11001010110 3 -b101010110 & -b101010110 5 -b101010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7094 -0+ -#7095 -b11001010111 3 -b101010111 & -b101010111 5 -b101010111 G -1+ -#7096 -0+ -#7097 -b110010110 4 -b11001011000 3 -b101011000 & -b101011000 5 -b101011000 G -1+ -#7098 -0+ -#7099 -b110010110 . -b110010110 B -b110010110 : -b11001011001 3 -b101011001 & -b101011001 5 -b101011001 G -1+ -#7100 -0+ -#7101 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11001011010 3 -b101011010 & -b101011010 5 -b101011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7102 -0+ -#7103 -b11001011011 3 -b101011011 & -b101011011 5 -b101011011 G -1+ -#7104 -0+ -#7105 -b110010111 4 -b11001011100 3 -b101011100 & -b101011100 5 -b101011100 G -1+ -#7106 -0+ -#7107 -b110010111 . -b110010111 B -b110010111 : -b11001011101 3 -b101011101 & -b101011101 5 -b101011101 G -1+ -#7108 -0+ -#7109 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11001011110 3 -b101011110 & -b101011110 5 -b101011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7110 -0+ -#7111 -b11001011111 3 -b101011111 & -b101011111 5 -b101011111 G -1+ -#7112 -0+ -#7113 -b110011000 4 -b11001100000 3 -b101100000 & -b101100000 5 -b101100000 G -1+ -#7114 -0+ -#7115 -b110011000 . -b110011000 B -b110011000 : -b11001100001 3 -b101100001 & -b101100001 5 -b101100001 G -1+ -#7116 -0+ -#7117 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11001100010 3 -b101100010 & -b101100010 5 -b101100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7118 -0+ -#7119 -b11001100011 3 -b101100011 & -b101100011 5 -b101100011 G -1+ -#7120 -0+ -#7121 -b110011001 4 -b11001100100 3 -b101100100 & -b101100100 5 -b101100100 G -1+ -#7122 -0+ -#7123 -b110011001 . -b110011001 B -b110011001 : -b11001100101 3 -b101100101 & -b101100101 5 -b101100101 G -1+ -#7124 -0+ -#7125 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11001100110 3 -b101100110 & -b101100110 5 -b101100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7126 -0+ -#7127 -b11001100111 3 -b101100111 & -b101100111 5 -b101100111 G -1+ -#7128 -0+ -#7129 -b110011010 4 -b11001101000 3 -b101101000 & -b101101000 5 -b101101000 G -1+ -#7130 -0+ -#7131 -b110011010 . -b110011010 B -b110011010 : -b11001101001 3 -b101101001 & -b101101001 5 -b101101001 G -1+ -#7132 -0+ -#7133 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11001101010 3 -b101101010 & -b101101010 5 -b101101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7134 -0+ -#7135 -b11001101011 3 -b101101011 & -b101101011 5 -b101101011 G -1+ -#7136 -0+ -#7137 -b110011011 4 -b11001101100 3 -b101101100 & -b101101100 5 -b101101100 G -1+ -#7138 -0+ -#7139 -b110011011 . -b110011011 B -b110011011 : -b11001101101 3 -b101101101 & -b101101101 5 -b101101101 G -1+ -#7140 -0+ -#7141 -b1000 ' -b1000 6 -b100010000000 < -b11001101110 3 -b101101110 & -b101101110 5 -b101101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7142 -0+ -#7143 -b11001101111 3 -b101101111 & -b101101111 5 -b101101111 G -1+ -#7144 -0+ -#7145 -b110011100 4 -b11001110000 3 -b101110000 & -b101110000 5 -b101110000 G -1+ -#7146 -0+ -#7147 -b110011100 . -b110011100 B -b110011100 : -b11001110001 3 -b101110001 & -b101110001 5 -b101110001 G -1+ -#7148 -0+ -#7149 -b0 $ -b0 2 -b10000000 < -b11001110010 3 -b101110010 & -b101110010 5 -b101110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7150 -0+ -#7151 -b11001110011 3 -b101110011 & -b101110011 5 -b101110011 G -1+ -#7152 -0+ -#7153 -b110011101 4 -b11001110100 3 -b101110100 & -b101110100 5 -b101110100 G -1+ -#7154 -0+ -#7155 -b110011101 . -b110011101 B -b110011101 : -b11001110101 3 -b101110101 & -b101110101 5 -b101110101 G -1+ -#7156 -0+ -#7157 -b1000 * -b1000 9 -b10001000 < -b11001110110 3 -b101110110 & -b101110110 5 -b101110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7158 -0+ -#7159 -b11001110111 3 -b101110111 & -b101110111 5 -b101110111 G -1+ -#7160 -0+ -#7161 -b110011110 4 -b11001111000 3 -b101111000 & -b101111000 5 -b101111000 G -1+ -#7162 -0+ -#7163 -b110011110 . -b110011110 B -b110011110 : -b11001111001 3 -b101111001 & -b101111001 5 -b101111001 G -1+ -#7164 -0+ -#7165 -b0 ' -b0 6 -b1000 < -b11001111010 3 -b101111010 & -b101111010 5 -b101111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7166 -0+ -#7167 -b11001111011 3 -b101111011 & -b101111011 5 -b101111011 G -1+ -#7168 -0+ -#7169 -b110011111 4 -b11001111100 3 -b101111100 & -b101111100 5 -b101111100 G -1+ -#7170 -0+ -#7171 -b110011111 . -b110011111 B -b110011111 : -b11001111101 3 -b101111101 & -b101111101 5 -b101111101 G -1+ -#7172 -0+ -#7173 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11001111110 3 -b101111110 & -b101111110 5 -b101111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7174 -0+ -#7175 -b11001111111 3 -b101111111 & -b101111111 5 -b101111111 G -1+ -#7176 -0+ -#7177 -b110100000 4 -b11010000000 3 -b110000000 & -b110000000 5 -b110000000 G -1+ -#7178 -0+ -#7179 -b110100000 . -b110100000 B -b110100000 : -b11010000001 3 -b110000001 & -b110000001 5 -b110000001 G -1+ -#7180 -0+ -#7181 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11010000010 3 -b110000010 & -b110000010 5 -b110000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7182 -0+ -#7183 -b11010000011 3 -b110000011 & -b110000011 5 -b110000011 G -1+ -#7184 -0+ -#7185 -b110100001 4 -b11010000100 3 -b110000100 & -b110000100 5 -b110000100 G -1+ -#7186 -0+ -#7187 -b110100001 . -b110100001 B -b110100001 : -b11010000101 3 -b110000101 & -b110000101 5 -b110000101 G -1+ -#7188 -0+ -#7189 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11010000110 3 -b110000110 & -b110000110 5 -b110000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7190 -0+ -#7191 -b11010000111 3 -b110000111 & -b110000111 5 -b110000111 G -1+ -#7192 -0+ -#7193 -b110100010 4 -b11010001000 3 -b110001000 & -b110001000 5 -b110001000 G -1+ -#7194 -0+ -#7195 -b110100010 . -b110100010 B -b110100010 : -b11010001001 3 -b110001001 & -b110001001 5 -b110001001 G -1+ -#7196 -0+ -#7197 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11010001010 3 -b110001010 & -b110001010 5 -b110001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7198 -0+ -#7199 -b11010001011 3 -b110001011 & -b110001011 5 -b110001011 G -1+ -#7200 -0+ -#7201 -b110100011 4 -b11010001100 3 -b110001100 & -b110001100 5 -b110001100 G -1+ -#7202 -0+ -#7203 -b110100011 . -b110100011 B -b110100011 : -b11010001101 3 -b110001101 & -b110001101 5 -b110001101 G -1+ -#7204 -0+ -#7205 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11010001110 3 -b110001110 & -b110001110 5 -b110001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7206 -0+ -#7207 -b11010001111 3 -b110001111 & -b110001111 5 -b110001111 G -1+ -#7208 -0+ -#7209 -b110100100 4 -b11010010000 3 -b110010000 & -b110010000 5 -b110010000 G -1+ -#7210 -0+ -#7211 -b110100100 . -b110100100 B -b110100100 : -b11010010001 3 -b110010001 & -b110010001 5 -b110010001 G -1+ -#7212 -0+ -#7213 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11010010010 3 -b110010010 & -b110010010 5 -b110010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7214 -0+ -#7215 -b11010010011 3 -b110010011 & -b110010011 5 -b110010011 G -1+ -#7216 -0+ -#7217 -b110100101 4 -b11010010100 3 -b110010100 & -b110010100 5 -b110010100 G -1+ -#7218 -0+ -#7219 -b110100101 . -b110100101 B -b110100101 : -b11010010101 3 -b110010101 & -b110010101 5 -b110010101 G -1+ -#7220 -0+ -#7221 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11010010110 3 -b110010110 & -b110010110 5 -b110010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7222 -0+ -#7223 -b11010010111 3 -b110010111 & -b110010111 5 -b110010111 G -1+ -#7224 -0+ -#7225 -b110100110 4 -b11010011000 3 -b110011000 & -b110011000 5 -b110011000 G -1+ -#7226 -0+ -#7227 -b110100110 . -b110100110 B -b110100110 : -b11010011001 3 -b110011001 & -b110011001 5 -b110011001 G -1+ -#7228 -0+ -#7229 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11010011010 3 -b110011010 & -b110011010 5 -b110011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7230 -0+ -#7231 -b11010011011 3 -b110011011 & -b110011011 5 -b110011011 G -1+ -#7232 -0+ -#7233 -b110100111 4 -b11010011100 3 -b110011100 & -b110011100 5 -b110011100 G -1+ -#7234 -0+ -#7235 -b110100111 . -b110100111 B -b110100111 : -b11010011101 3 -b110011101 & -b110011101 5 -b110011101 G -1+ -#7236 -0+ -#7237 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11010011110 3 -b110011110 & -b110011110 5 -b110011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7238 -0+ -#7239 -b11010011111 3 -b110011111 & -b110011111 5 -b110011111 G -1+ -#7240 -0+ -#7241 -b110101000 4 -b11010100000 3 -b110100000 & -b110100000 5 -b110100000 G -1+ -#7242 -0+ -#7243 -b110101000 . -b110101000 B -b110101000 : -b11010100001 3 -b110100001 & -b110100001 5 -b110100001 G -1+ -#7244 -0+ -#7245 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11010100010 3 -b110100010 & -b110100010 5 -b110100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7246 -0+ -#7247 -b11010100011 3 -b110100011 & -b110100011 5 -b110100011 G -1+ -#7248 -0+ -#7249 -b110101001 4 -b11010100100 3 -b110100100 & -b110100100 5 -b110100100 G -1+ -#7250 -0+ -#7251 -b110101001 . -b110101001 B -b110101001 : -b11010100101 3 -b110100101 & -b110100101 5 -b110100101 G -1+ -#7252 -0+ -#7253 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11010100110 3 -b110100110 & -b110100110 5 -b110100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7254 -0+ -#7255 -b11010100111 3 -b110100111 & -b110100111 5 -b110100111 G -1+ -#7256 -0+ -#7257 -b110101010 4 -b11010101000 3 -b110101000 & -b110101000 5 -b110101000 G -1+ -#7258 -0+ -#7259 -b110101010 . -b110101010 B -b110101010 : -b11010101001 3 -b110101001 & -b110101001 5 -b110101001 G -1+ -#7260 -0+ -#7261 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11010101010 3 -b110101010 & -b110101010 5 -b110101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7262 -0+ -#7263 -b11010101011 3 -b110101011 & -b110101011 5 -b110101011 G -1+ -#7264 -0+ -#7265 -b110101011 4 -b11010101100 3 -b110101100 & -b110101100 5 -b110101100 G -1+ -#7266 -0+ -#7267 -b110101011 . -b110101011 B -b110101011 : -b11010101101 3 -b110101101 & -b110101101 5 -b110101101 G -1+ -#7268 -0+ -#7269 -b1000 ' -b1000 6 -b100010000000 < -b11010101110 3 -b110101110 & -b110101110 5 -b110101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7270 -0+ -#7271 -b11010101111 3 -b110101111 & -b110101111 5 -b110101111 G -1+ -#7272 -0+ -#7273 -b110101100 4 -b11010110000 3 -b110110000 & -b110110000 5 -b110110000 G -1+ -#7274 -0+ -#7275 -b110101100 . -b110101100 B -b110101100 : -b11010110001 3 -b110110001 & -b110110001 5 -b110110001 G -1+ -#7276 -0+ -#7277 -b0 $ -b0 2 -b10000000 < -b11010110010 3 -b110110010 & -b110110010 5 -b110110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7278 -0+ -#7279 -b11010110011 3 -b110110011 & -b110110011 5 -b110110011 G -1+ -#7280 -0+ -#7281 -b110101101 4 -b11010110100 3 -b110110100 & -b110110100 5 -b110110100 G -1+ -#7282 -0+ -#7283 -b110101101 . -b110101101 B -b110101101 : -b11010110101 3 -b110110101 & -b110110101 5 -b110110101 G -1+ -#7284 -0+ -#7285 -b1000 * -b1000 9 -b10001000 < -b11010110110 3 -b110110110 & -b110110110 5 -b110110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7286 -0+ -#7287 -b11010110111 3 -b110110111 & -b110110111 5 -b110110111 G -1+ -#7288 -0+ -#7289 -b110101110 4 -b11010111000 3 -b110111000 & -b110111000 5 -b110111000 G -1+ -#7290 -0+ -#7291 -b110101110 . -b110101110 B -b110101110 : -b11010111001 3 -b110111001 & -b110111001 5 -b110111001 G -1+ -#7292 -0+ -#7293 -b0 ' -b0 6 -b1000 < -b11010111010 3 -b110111010 & -b110111010 5 -b110111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7294 -0+ -#7295 -b11010111011 3 -b110111011 & -b110111011 5 -b110111011 G -1+ -#7296 -0+ -#7297 -b110101111 4 -b11010111100 3 -b110111100 & -b110111100 5 -b110111100 G -1+ -#7298 -0+ -#7299 -b110101111 . -b110101111 B -b110101111 : -b11010111101 3 -b110111101 & -b110111101 5 -b110111101 G -1+ -#7300 -0+ -#7301 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11010111110 3 -b110111110 & -b110111110 5 -b110111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7302 -0+ -#7303 -b11010111111 3 -b110111111 & -b110111111 5 -b110111111 G -1+ -#7304 -0+ -#7305 -b110110000 4 -b11011000000 3 -b111000000 & -b111000000 5 -b111000000 G -1+ -#7306 -0+ -#7307 -b110110000 . -b110110000 B -b110110000 : -b11011000001 3 -b111000001 & -b111000001 5 -b111000001 G -1+ -#7308 -0+ -#7309 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11011000010 3 -b111000010 & -b111000010 5 -b111000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7310 -0+ -#7311 -b11011000011 3 -b111000011 & -b111000011 5 -b111000011 G -1+ -#7312 -0+ -#7313 -b110110001 4 -b11011000100 3 -b111000100 & -b111000100 5 -b111000100 G -1+ -#7314 -0+ -#7315 -b110110001 . -b110110001 B -b110110001 : -b11011000101 3 -b111000101 & -b111000101 5 -b111000101 G -1+ -#7316 -0+ -#7317 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11011000110 3 -b111000110 & -b111000110 5 -b111000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7318 -0+ -#7319 -b11011000111 3 -b111000111 & -b111000111 5 -b111000111 G -1+ -#7320 -0+ -#7321 -b110110010 4 -b11011001000 3 -b111001000 & -b111001000 5 -b111001000 G -1+ -#7322 -0+ -#7323 -b110110010 . -b110110010 B -b110110010 : -b11011001001 3 -b111001001 & -b111001001 5 -b111001001 G -1+ -#7324 -0+ -#7325 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11011001010 3 -b111001010 & -b111001010 5 -b111001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7326 -0+ -#7327 -b11011001011 3 -b111001011 & -b111001011 5 -b111001011 G -1+ -#7328 -0+ -#7329 -b110110011 4 -b11011001100 3 -b111001100 & -b111001100 5 -b111001100 G -1+ -#7330 -0+ -#7331 -b110110011 . -b110110011 B -b110110011 : -b11011001101 3 -b111001101 & -b111001101 5 -b111001101 G -1+ -#7332 -0+ -#7333 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11011001110 3 -b111001110 & -b111001110 5 -b111001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7334 -0+ -#7335 -b11011001111 3 -b111001111 & -b111001111 5 -b111001111 G -1+ -#7336 -0+ -#7337 -b110110100 4 -b11011010000 3 -b111010000 & -b111010000 5 -b111010000 G -1+ -#7338 -0+ -#7339 -b110110100 . -b110110100 B -b110110100 : -b11011010001 3 -b111010001 & -b111010001 5 -b111010001 G -1+ -#7340 -0+ -#7341 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11011010010 3 -b111010010 & -b111010010 5 -b111010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7342 -0+ -#7343 -b11011010011 3 -b111010011 & -b111010011 5 -b111010011 G -1+ -#7344 -0+ -#7345 -b110110101 4 -b11011010100 3 -b111010100 & -b111010100 5 -b111010100 G -1+ -#7346 -0+ -#7347 -b110110101 . -b110110101 B -b110110101 : -b11011010101 3 -b111010101 & -b111010101 5 -b111010101 G -1+ -#7348 -0+ -#7349 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11011010110 3 -b111010110 & -b111010110 5 -b111010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7350 -0+ -#7351 -b11011010111 3 -b111010111 & -b111010111 5 -b111010111 G -1+ -#7352 -0+ -#7353 -b110110110 4 -b11011011000 3 -b111011000 & -b111011000 5 -b111011000 G -1+ -#7354 -0+ -#7355 -b110110110 . -b110110110 B -b110110110 : -b11011011001 3 -b111011001 & -b111011001 5 -b111011001 G -1+ -#7356 -0+ -#7357 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11011011010 3 -b111011010 & -b111011010 5 -b111011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7358 -0+ -#7359 -b11011011011 3 -b111011011 & -b111011011 5 -b111011011 G -1+ -#7360 -0+ -#7361 -b110110111 4 -b11011011100 3 -b111011100 & -b111011100 5 -b111011100 G -1+ -#7362 -0+ -#7363 -b110110111 . -b110110111 B -b110110111 : -b11011011101 3 -b111011101 & -b111011101 5 -b111011101 G -1+ -#7364 -0+ -#7365 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11011011110 3 -b111011110 & -b111011110 5 -b111011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7366 -0+ -#7367 -b11011011111 3 -b111011111 & -b111011111 5 -b111011111 G -1+ -#7368 -0+ -#7369 -b110111000 4 -b11011100000 3 -b111100000 & -b111100000 5 -b111100000 G -1+ -#7370 -0+ -#7371 -b110111000 . -b110111000 B -b110111000 : -b11011100001 3 -b111100001 & -b111100001 5 -b111100001 G -1+ -#7372 -0+ -#7373 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11011100010 3 -b111100010 & -b111100010 5 -b111100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7374 -0+ -#7375 -b11011100011 3 -b111100011 & -b111100011 5 -b111100011 G -1+ -#7376 -0+ -#7377 -b110111001 4 -b11011100100 3 -b111100100 & -b111100100 5 -b111100100 G -1+ -#7378 -0+ -#7379 -b110111001 . -b110111001 B -b110111001 : -b11011100101 3 -b111100101 & -b111100101 5 -b111100101 G -1+ -#7380 -0+ -#7381 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11011100110 3 -b111100110 & -b111100110 5 -b111100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7382 -0+ -#7383 -b11011100111 3 -b111100111 & -b111100111 5 -b111100111 G -1+ -#7384 -0+ -#7385 -b110111010 4 -b11011101000 3 -b111101000 & -b111101000 5 -b111101000 G -1+ -#7386 -0+ -#7387 -b110111010 . -b110111010 B -b110111010 : -b11011101001 3 -b111101001 & -b111101001 5 -b111101001 G -1+ -#7388 -0+ -#7389 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11011101010 3 -b111101010 & -b111101010 5 -b111101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7390 -0+ -#7391 -b11011101011 3 -b111101011 & -b111101011 5 -b111101011 G -1+ -#7392 -0+ -#7393 -b110111011 4 -b11011101100 3 -b111101100 & -b111101100 5 -b111101100 G -1+ -#7394 -0+ -#7395 -b110111011 . -b110111011 B -b110111011 : -b11011101101 3 -b111101101 & -b111101101 5 -b111101101 G -1+ -#7396 -0+ -#7397 -b1000 ' -b1000 6 -b100010000000 < -b11011101110 3 -b111101110 & -b111101110 5 -b111101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7398 -0+ -#7399 -b11011101111 3 -b111101111 & -b111101111 5 -b111101111 G -1+ -#7400 -0+ -#7401 -b110111100 4 -b11011110000 3 -b111110000 & -b111110000 5 -b111110000 G -1+ -#7402 -0+ -#7403 -b110111100 . -b110111100 B -b110111100 : -b11011110001 3 -b111110001 & -b111110001 5 -b111110001 G -1+ -#7404 -0+ -#7405 -b0 $ -b0 2 -b10000000 < -b11011110010 3 -b111110010 & -b111110010 5 -b111110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7406 -0+ -#7407 -b11011110011 3 -b111110011 & -b111110011 5 -b111110011 G -1+ -#7408 -0+ -#7409 -b110111101 4 -b11011110100 3 -b111110100 & -b111110100 5 -b111110100 G -1+ -#7410 -0+ -#7411 -b110111101 . -b110111101 B -b110111101 : -b11011110101 3 -b111110101 & -b111110101 5 -b111110101 G -1+ -#7412 -0+ -#7413 -b1000 * -b1000 9 -b10001000 < -b11011110110 3 -b111110110 & -b111110110 5 -b111110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7414 -0+ -#7415 -b11011110111 3 -b111110111 & -b111110111 5 -b111110111 G -1+ -#7416 -0+ -#7417 -b110111110 4 -b11011111000 3 -b111111000 & -b111111000 5 -b111111000 G -1+ -#7418 -0+ -#7419 -b110111110 . -b110111110 B -b110111110 : -b11011111001 3 -b111111001 & -b111111001 5 -b111111001 G -1+ -#7420 -0+ -#7421 -b0 ' -b0 6 -b1000 < -b11011111010 3 -b111111010 & -b111111010 5 -b111111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7422 -0+ -#7423 -b11011111011 3 -b111111011 & -b111111011 5 -b111111011 G -1+ -#7424 -0+ -#7425 -b110111111 4 -b11011111100 3 -b111111100 & -b111111100 5 -b111111100 G -1+ -#7426 -0+ -#7427 -b110111111 . -b110111111 B -b110111111 : -b11011111101 3 -b111111101 & -b111111101 5 -b111111101 G -1+ -#7428 -0+ -#7429 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11011111110 3 -b111111110 & -b111111110 5 -b111111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7430 -0+ -#7431 -b11011111111 3 -b111111111 & -b111111111 5 -b111111111 G -1+ -#7432 -0+ -#7433 -b111000000 4 -b11100000000 3 -b1000000000 & -b1000000000 5 -b1000000000 G -1+ -#7434 -0+ -#7435 -b111000000 . -b111000000 B -b111000000 : -b11100000001 3 -b1000000001 & -b1000000001 5 -b1000000001 G -1+ -#7436 -0+ -#7437 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11100000010 3 -b1000000010 & -b1000000010 5 -b1000000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7438 -0+ -#7439 -b11100000011 3 -b1000000011 & -b1000000011 5 -b1000000011 G -1+ -#7440 -0+ -#7441 -b111000001 4 -b11100000100 3 -b1000000100 & -b1000000100 5 -b1000000100 G -1+ -#7442 -0+ -#7443 -b111000001 . -b111000001 B -b111000001 : -b11100000101 3 -b1000000101 & -b1000000101 5 -b1000000101 G -1+ -#7444 -0+ -#7445 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11100000110 3 -b1000000110 & -b1000000110 5 -b1000000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7446 -0+ -#7447 -b11100000111 3 -b1000000111 & -b1000000111 5 -b1000000111 G -1+ -#7448 -0+ -#7449 -b111000010 4 -b11100001000 3 -b1000001000 & -b1000001000 5 -b1000001000 G -1+ -#7450 -0+ -#7451 -b111000010 . -b111000010 B -b111000010 : -b11100001001 3 -b1000001001 & -b1000001001 5 -b1000001001 G -1+ -#7452 -0+ -#7453 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11100001010 3 -b1000001010 & -b1000001010 5 -b1000001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7454 -0+ -#7455 -b11100001011 3 -b1000001011 & -b1000001011 5 -b1000001011 G -1+ -#7456 -0+ -#7457 -b111000011 4 -b11100001100 3 -b1000001100 & -b1000001100 5 -b1000001100 G -1+ -#7458 -0+ -#7459 -b111000011 . -b111000011 B -b111000011 : -b11100001101 3 -b1000001101 & -b1000001101 5 -b1000001101 G -1+ -#7460 -0+ -#7461 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11100001110 3 -b1000001110 & -b1000001110 5 -b1000001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7462 -0+ -#7463 -b11100001111 3 -b1000001111 & -b1000001111 5 -b1000001111 G -1+ -#7464 -0+ -#7465 -b111000100 4 -b11100010000 3 -b1000010000 & -b1000010000 5 -b1000010000 G -1+ -#7466 -0+ -#7467 -b111000100 . -b111000100 B -b111000100 : -b11100010001 3 -b1000010001 & -b1000010001 5 -b1000010001 G -1+ -#7468 -0+ -#7469 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11100010010 3 -b1000010010 & -b1000010010 5 -b1000010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7470 -0+ -#7471 -b11100010011 3 -b1000010011 & -b1000010011 5 -b1000010011 G -1+ -#7472 -0+ -#7473 -b111000101 4 -b11100010100 3 -b1000010100 & -b1000010100 5 -b1000010100 G -1+ -#7474 -0+ -#7475 -b111000101 . -b111000101 B -b111000101 : -b11100010101 3 -b1000010101 & -b1000010101 5 -b1000010101 G -1+ -#7476 -0+ -#7477 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11100010110 3 -b1000010110 & -b1000010110 5 -b1000010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7478 -0+ -#7479 -b11100010111 3 -b1000010111 & -b1000010111 5 -b1000010111 G -1+ -#7480 -0+ -#7481 -b111000110 4 -b11100011000 3 -b1000011000 & -b1000011000 5 -b1000011000 G -1+ -#7482 -0+ -#7483 -b111000110 . -b111000110 B -b111000110 : -b11100011001 3 -b1000011001 & -b1000011001 5 -b1000011001 G -1+ -#7484 -0+ -#7485 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11100011010 3 -b1000011010 & -b1000011010 5 -b1000011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7486 -0+ -#7487 -b11100011011 3 -b1000011011 & -b1000011011 5 -b1000011011 G -1+ -#7488 -0+ -#7489 -b111000111 4 -b11100011100 3 -b1000011100 & -b1000011100 5 -b1000011100 G -1+ -#7490 -0+ -#7491 -b111000111 . -b111000111 B -b111000111 : -b11100011101 3 -b1000011101 & -b1000011101 5 -b1000011101 G -1+ -#7492 -0+ -#7493 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11100011110 3 -b1000011110 & -b1000011110 5 -b1000011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7494 -0+ -#7495 -b11100011111 3 -b1000011111 & -b1000011111 5 -b1000011111 G -1+ -#7496 -0+ -#7497 -b111001000 4 -b11100100000 3 -b1000100000 & -b1000100000 5 -b1000100000 G -1+ -#7498 -0+ -#7499 -b111001000 . -b111001000 B -b111001000 : -b11100100001 3 -b1000100001 & -b1000100001 5 -b1000100001 G -1+ -#7500 -0+ -#7501 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11100100010 3 -b1000100010 & -b1000100010 5 -b1000100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7502 -0+ -#7503 -b11100100011 3 -b1000100011 & -b1000100011 5 -b1000100011 G -1+ -#7504 -0+ -#7505 -b111001001 4 -b11100100100 3 -b1000100100 & -b1000100100 5 -b1000100100 G -1+ -#7506 -0+ -#7507 -b111001001 . -b111001001 B -b111001001 : -b11100100101 3 -b1000100101 & -b1000100101 5 -b1000100101 G -1+ -#7508 -0+ -#7509 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11100100110 3 -b1000100110 & -b1000100110 5 -b1000100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7510 -0+ -#7511 -b11100100111 3 -b1000100111 & -b1000100111 5 -b1000100111 G -1+ -#7512 -0+ -#7513 -b111001010 4 -b11100101000 3 -b1000101000 & -b1000101000 5 -b1000101000 G -1+ -#7514 -0+ -#7515 -b111001010 . -b111001010 B -b111001010 : -b11100101001 3 -b1000101001 & -b1000101001 5 -b1000101001 G -1+ -#7516 -0+ -#7517 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11100101010 3 -b1000101010 & -b1000101010 5 -b1000101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7518 -0+ -#7519 -b11100101011 3 -b1000101011 & -b1000101011 5 -b1000101011 G -1+ -#7520 -0+ -#7521 -b111001011 4 -b11100101100 3 -b1000101100 & -b1000101100 5 -b1000101100 G -1+ -#7522 -0+ -#7523 -b111001011 . -b111001011 B -b111001011 : -b11100101101 3 -b1000101101 & -b1000101101 5 -b1000101101 G -1+ -#7524 -0+ -#7525 -b1000 ' -b1000 6 -b100010000000 < -b11100101110 3 -b1000101110 & -b1000101110 5 -b1000101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7526 -0+ -#7527 -b11100101111 3 -b1000101111 & -b1000101111 5 -b1000101111 G -1+ -#7528 -0+ -#7529 -b111001100 4 -b11100110000 3 -b1000110000 & -b1000110000 5 -b1000110000 G -1+ -#7530 -0+ -#7531 -b111001100 . -b111001100 B -b111001100 : -b11100110001 3 -b1000110001 & -b1000110001 5 -b1000110001 G -1+ -#7532 -0+ -#7533 -b0 $ -b0 2 -b10000000 < -b11100110010 3 -b1000110010 & -b1000110010 5 -b1000110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7534 -0+ -#7535 -b11100110011 3 -b1000110011 & -b1000110011 5 -b1000110011 G -1+ -#7536 -0+ -#7537 -b111001101 4 -b11100110100 3 -b1000110100 & -b1000110100 5 -b1000110100 G -1+ -#7538 -0+ -#7539 -b111001101 . -b111001101 B -b111001101 : -b11100110101 3 -b1000110101 & -b1000110101 5 -b1000110101 G -1+ -#7540 -0+ -#7541 -b1000 * -b1000 9 -b10001000 < -b11100110110 3 -b1000110110 & -b1000110110 5 -b1000110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7542 -0+ -#7543 -b11100110111 3 -b1000110111 & -b1000110111 5 -b1000110111 G -1+ -#7544 -0+ -#7545 -b111001110 4 -b11100111000 3 -b1000111000 & -b1000111000 5 -b1000111000 G -1+ -#7546 -0+ -#7547 -b111001110 . -b111001110 B -b111001110 : -b11100111001 3 -b1000111001 & -b1000111001 5 -b1000111001 G -1+ -#7548 -0+ -#7549 -b0 ' -b0 6 -b1000 < -b11100111010 3 -b1000111010 & -b1000111010 5 -b1000111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7550 -0+ -#7551 -b11100111011 3 -b1000111011 & -b1000111011 5 -b1000111011 G -1+ -#7552 -0+ -#7553 -b111001111 4 -b11100111100 3 -b1000111100 & -b1000111100 5 -b1000111100 G -1+ -#7554 -0+ -#7555 -b111001111 . -b111001111 B -b111001111 : -b11100111101 3 -b1000111101 & -b1000111101 5 -b1000111101 G -1+ -#7556 -0+ -#7557 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11100111110 3 -b1000111110 & -b1000111110 5 -b1000111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7558 -0+ -#7559 -b11100111111 3 -b1000111111 & -b1000111111 5 -b1000111111 G -1+ -#7560 -0+ -#7561 -b111010000 4 -b11101000000 3 -b1001000000 & -b1001000000 5 -b1001000000 G -1+ -#7562 -0+ -#7563 -b111010000 . -b111010000 B -b111010000 : -b11101000001 3 -b1001000001 & -b1001000001 5 -b1001000001 G -1+ -#7564 -0+ -#7565 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11101000010 3 -b1001000010 & -b1001000010 5 -b1001000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7566 -0+ -#7567 -b11101000011 3 -b1001000011 & -b1001000011 5 -b1001000011 G -1+ -#7568 -0+ -#7569 -b111010001 4 -b11101000100 3 -b1001000100 & -b1001000100 5 -b1001000100 G -1+ -#7570 -0+ -#7571 -b111010001 . -b111010001 B -b111010001 : -b11101000101 3 -b1001000101 & -b1001000101 5 -b1001000101 G -1+ -#7572 -0+ -#7573 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11101000110 3 -b1001000110 & -b1001000110 5 -b1001000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7574 -0+ -#7575 -b11101000111 3 -b1001000111 & -b1001000111 5 -b1001000111 G -1+ -#7576 -0+ -#7577 -b111010010 4 -b11101001000 3 -b1001001000 & -b1001001000 5 -b1001001000 G -1+ -#7578 -0+ -#7579 -b111010010 . -b111010010 B -b111010010 : -b11101001001 3 -b1001001001 & -b1001001001 5 -b1001001001 G -1+ -#7580 -0+ -#7581 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11101001010 3 -b1001001010 & -b1001001010 5 -b1001001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7582 -0+ -#7583 -b11101001011 3 -b1001001011 & -b1001001011 5 -b1001001011 G -1+ -#7584 -0+ -#7585 -b111010011 4 -b11101001100 3 -b1001001100 & -b1001001100 5 -b1001001100 G -1+ -#7586 -0+ -#7587 -b111010011 . -b111010011 B -b111010011 : -b11101001101 3 -b1001001101 & -b1001001101 5 -b1001001101 G -1+ -#7588 -0+ -#7589 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11101001110 3 -b1001001110 & -b1001001110 5 -b1001001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7590 -0+ -#7591 -b11101001111 3 -b1001001111 & -b1001001111 5 -b1001001111 G -1+ -#7592 -0+ -#7593 -b111010100 4 -b11101010000 3 -b1001010000 & -b1001010000 5 -b1001010000 G -1+ -#7594 -0+ -#7595 -b111010100 . -b111010100 B -b111010100 : -b11101010001 3 -b1001010001 & -b1001010001 5 -b1001010001 G -1+ -#7596 -0+ -#7597 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11101010010 3 -b1001010010 & -b1001010010 5 -b1001010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7598 -0+ -#7599 -b11101010011 3 -b1001010011 & -b1001010011 5 -b1001010011 G -1+ -#7600 -0+ -#7601 -b111010101 4 -b11101010100 3 -b1001010100 & -b1001010100 5 -b1001010100 G -1+ -#7602 -0+ -#7603 -b111010101 . -b111010101 B -b111010101 : -b11101010101 3 -b1001010101 & -b1001010101 5 -b1001010101 G -1+ -#7604 -0+ -#7605 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11101010110 3 -b1001010110 & -b1001010110 5 -b1001010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7606 -0+ -#7607 -b11101010111 3 -b1001010111 & -b1001010111 5 -b1001010111 G -1+ -#7608 -0+ -#7609 -b111010110 4 -b11101011000 3 -b1001011000 & -b1001011000 5 -b1001011000 G -1+ -#7610 -0+ -#7611 -b111010110 . -b111010110 B -b111010110 : -b11101011001 3 -b1001011001 & -b1001011001 5 -b1001011001 G -1+ -#7612 -0+ -#7613 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11101011010 3 -b1001011010 & -b1001011010 5 -b1001011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7614 -0+ -#7615 -b11101011011 3 -b1001011011 & -b1001011011 5 -b1001011011 G -1+ -#7616 -0+ -#7617 -b111010111 4 -b11101011100 3 -b1001011100 & -b1001011100 5 -b1001011100 G -1+ -#7618 -0+ -#7619 -b111010111 . -b111010111 B -b111010111 : -b11101011101 3 -b1001011101 & -b1001011101 5 -b1001011101 G -1+ -#7620 -0+ -#7621 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11101011110 3 -b1001011110 & -b1001011110 5 -b1001011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7622 -0+ -#7623 -b11101011111 3 -b1001011111 & -b1001011111 5 -b1001011111 G -1+ -#7624 -0+ -#7625 -b111011000 4 -b11101100000 3 -b1001100000 & -b1001100000 5 -b1001100000 G -1+ -#7626 -0+ -#7627 -b111011000 . -b111011000 B -b111011000 : -b11101100001 3 -b1001100001 & -b1001100001 5 -b1001100001 G -1+ -#7628 -0+ -#7629 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11101100010 3 -b1001100010 & -b1001100010 5 -b1001100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7630 -0+ -#7631 -b11101100011 3 -b1001100011 & -b1001100011 5 -b1001100011 G -1+ -#7632 -0+ -#7633 -b111011001 4 -b11101100100 3 -b1001100100 & -b1001100100 5 -b1001100100 G -1+ -#7634 -0+ -#7635 -b111011001 . -b111011001 B -b111011001 : -b11101100101 3 -b1001100101 & -b1001100101 5 -b1001100101 G -1+ -#7636 -0+ -#7637 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11101100110 3 -b1001100110 & -b1001100110 5 -b1001100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7638 -0+ -#7639 -b11101100111 3 -b1001100111 & -b1001100111 5 -b1001100111 G -1+ -#7640 -0+ -#7641 -b111011010 4 -b11101101000 3 -b1001101000 & -b1001101000 5 -b1001101000 G -1+ -#7642 -0+ -#7643 -b111011010 . -b111011010 B -b111011010 : -b11101101001 3 -b1001101001 & -b1001101001 5 -b1001101001 G -1+ -#7644 -0+ -#7645 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11101101010 3 -b1001101010 & -b1001101010 5 -b1001101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7646 -0+ -#7647 -b11101101011 3 -b1001101011 & -b1001101011 5 -b1001101011 G -1+ -#7648 -0+ -#7649 -b111011011 4 -b11101101100 3 -b1001101100 & -b1001101100 5 -b1001101100 G -1+ -#7650 -0+ -#7651 -b111011011 . -b111011011 B -b111011011 : -b11101101101 3 -b1001101101 & -b1001101101 5 -b1001101101 G -1+ -#7652 -0+ -#7653 -b1000 ' -b1000 6 -b100010000000 < -b11101101110 3 -b1001101110 & -b1001101110 5 -b1001101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7654 -0+ -#7655 -b11101101111 3 -b1001101111 & -b1001101111 5 -b1001101111 G -1+ -#7656 -0+ -#7657 -b111011100 4 -b11101110000 3 -b1001110000 & -b1001110000 5 -b1001110000 G -1+ -#7658 -0+ -#7659 -b111011100 . -b111011100 B -b111011100 : -b11101110001 3 -b1001110001 & -b1001110001 5 -b1001110001 G -1+ -#7660 -0+ -#7661 -b0 $ -b0 2 -b10000000 < -b11101110010 3 -b1001110010 & -b1001110010 5 -b1001110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7662 -0+ -#7663 -b11101110011 3 -b1001110011 & -b1001110011 5 -b1001110011 G -1+ -#7664 -0+ -#7665 -b111011101 4 -b11101110100 3 -b1001110100 & -b1001110100 5 -b1001110100 G -1+ -#7666 -0+ -#7667 -b111011101 . -b111011101 B -b111011101 : -b11101110101 3 -b1001110101 & -b1001110101 5 -b1001110101 G -1+ -#7668 -0+ -#7669 -b1000 * -b1000 9 -b10001000 < -b11101110110 3 -b1001110110 & -b1001110110 5 -b1001110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7670 -0+ -#7671 -b11101110111 3 -b1001110111 & -b1001110111 5 -b1001110111 G -1+ -#7672 -0+ -#7673 -b111011110 4 -b11101111000 3 -b1001111000 & -b1001111000 5 -b1001111000 G -1+ -#7674 -0+ -#7675 -b111011110 . -b111011110 B -b111011110 : -b11101111001 3 -b1001111001 & -b1001111001 5 -b1001111001 G -1+ -#7676 -0+ -#7677 -b0 ' -b0 6 -b1000 < -b11101111010 3 -b1001111010 & -b1001111010 5 -b1001111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7678 -0+ -#7679 -b11101111011 3 -b1001111011 & -b1001111011 5 -b1001111011 G -1+ -#7680 -0+ -#7681 -b111011111 4 -b11101111100 3 -b1001111100 & -b1001111100 5 -b1001111100 G -1+ -#7682 -0+ -#7683 -b111011111 . -b111011111 B -b111011111 : -b11101111101 3 -b1001111101 & -b1001111101 5 -b1001111101 G -1+ -#7684 -0+ -#7685 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11101111110 3 -b1001111110 & -b1001111110 5 -b1001111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7686 -0+ -#7687 -b11101111111 3 -b1001111111 & -b1001111111 5 -b1001111111 G -1+ -#7688 -0+ -#7689 -0( -b111100000 4 -b11110000000 3 -b1010000000 & -b1010000000 5 -b1010000000 G -1+ -#7690 -0+ -#7691 -b111100000 . -b111100000 B -b111100000 : -b11110000001 3 -b1010000001 & -b1010000001 5 -b1010000001 G -1+ -#7692 -0+ -#7693 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11110000010 3 -b1010000010 & -b1010000010 5 -b1010000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7694 -0+ -#7695 -b11110000011 3 -b1010000011 & -b1010000011 5 -b1010000011 G -1+ -#7696 -0+ -#7697 -b111100001 4 -b11110000100 3 -b1010000100 & -b1010000100 5 -b1010000100 G -1+ -#7698 -0+ -#7699 -b111100001 . -b111100001 B -b111100001 : -b11110000101 3 -b1010000101 & -b1010000101 5 -b1010000101 G -1+ -#7700 -0+ -#7701 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11110000110 3 -b1010000110 & -b1010000110 5 -b1010000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7702 -0+ -#7703 -b11110000111 3 -b1010000111 & -b1010000111 5 -b1010000111 G -1+ -#7704 -0+ -#7705 -b111100010 4 -b11110001000 3 -b1010001000 & -b1010001000 5 -b1010001000 G -1+ -#7706 -0+ -#7707 -b111100010 . -b111100010 B -b111100010 : -b11110001001 3 -b1010001001 & -b1010001001 5 -b1010001001 G -1+ -#7708 -0+ -#7709 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11110001010 3 -b1010001010 & -b1010001010 5 -b1010001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7710 -0+ -#7711 -b11110001011 3 -b1010001011 & -b1010001011 5 -b1010001011 G -1+ -#7712 -0+ -#7713 -b111100011 4 -b11110001100 3 -b1010001100 & -b1010001100 5 -b1010001100 G -1+ -#7714 -0+ -#7715 -b111100011 . -b111100011 B -b111100011 : -b11110001101 3 -b1010001101 & -b1010001101 5 -b1010001101 G -1+ -#7716 -0+ -#7717 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11110001110 3 -b1010001110 & -b1010001110 5 -b1010001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7718 -0+ -#7719 -b11110001111 3 -b1010001111 & -b1010001111 5 -b1010001111 G -1+ -#7720 -0+ -#7721 -0% -b111100100 4 -b11110010000 3 -b1010010000 & -b1010010000 5 -b1010010000 G -1+ -#7722 -0+ -#7723 -b111100100 . -b111100100 B -b111100100 : -b11110010001 3 -b1010010001 & -b1010010001 5 -b1010010001 G -1+ -#7724 -0+ -#7725 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11110010010 3 -b1010010010 & -b1010010010 5 -b1010010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7726 -0+ -#7727 -b11110010011 3 -b1010010011 & -b1010010011 5 -b1010010011 G -1+ -#7728 -0+ -#7729 -b111100101 4 -b11110010100 3 -b1010010100 & -b1010010100 5 -b1010010100 G -1+ -#7730 -0+ -#7731 -b111100101 . -b111100101 B -b111100101 : -b11110010101 3 -b1010010101 & -b1010010101 5 -b1010010101 G -1+ -#7732 -0+ -#7733 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11110010110 3 -b1010010110 & -b1010010110 5 -b1010010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7734 -0+ -#7735 -b11110010111 3 -b1010010111 & -b1010010111 5 -b1010010111 G -1+ -#7736 -0+ -#7737 -b111100110 4 -b11110011000 3 -b1010011000 & -b1010011000 5 -b1010011000 G -1+ -#7738 -0+ -#7739 -b111100110 . -b111100110 B -b111100110 : -b11110011001 3 -b1010011001 & -b1010011001 5 -b1010011001 G -1+ -#7740 -0+ -#7741 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11110011010 3 -b1010011010 & -b1010011010 5 -b1010011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7742 -0+ -#7743 -b11110011011 3 -b1010011011 & -b1010011011 5 -b1010011011 G -1+ -#7744 -0+ -#7745 -b111100111 4 -b11110011100 3 -b1010011100 & -b1010011100 5 -b1010011100 G -1+ -#7746 -0+ -#7747 -b111100111 . -b111100111 B -b111100111 : -b11110011101 3 -b1010011101 & -b1010011101 5 -b1010011101 G -1+ -#7748 -0+ -#7749 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11110011110 3 -b1010011110 & -b1010011110 5 -b1010011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7750 -0+ -#7751 -b11110011111 3 -b1010011111 & -b1010011111 5 -b1010011111 G -1+ -#7752 -0+ -#7753 -b111101000 4 -b11110100000 3 -b1010100000 & -b1010100000 5 -b1010100000 G -1+ -#7754 -0+ -#7755 -b111101000 . -b111101000 B -b111101000 : -b11110100001 3 -b1010100001 & -b1010100001 5 -b1010100001 G -1+ -#7756 -0+ -#7757 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11110100010 3 -b1010100010 & -b1010100010 5 -b1010100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7758 -0+ -#7759 -b11110100011 3 -b1010100011 & -b1010100011 5 -b1010100011 G -1+ -#7760 -0+ -#7761 -b111101001 4 -b11110100100 3 -b1010100100 & -b1010100100 5 -b1010100100 G -1+ -#7762 -0+ -#7763 -b111101001 . -b111101001 B -b111101001 : -b11110100101 3 -b1010100101 & -b1010100101 5 -b1010100101 G -1+ -#7764 -0+ -#7765 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11110100110 3 -b1010100110 & -b1010100110 5 -b1010100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7766 -0+ -#7767 -b11110100111 3 -b1010100111 & -b1010100111 5 -b1010100111 G -1+ -#7768 -0+ -#7769 -b111101010 4 -b11110101000 3 -b1010101000 & -b1010101000 5 -b1010101000 G -1+ -#7770 -0+ -#7771 -b111101010 . -b111101010 B -b111101010 : -b11110101001 3 -b1010101001 & -b1010101001 5 -b1010101001 G -1+ -#7772 -0+ -#7773 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11110101010 3 -b1010101010 & -b1010101010 5 -b1010101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7774 -0+ -#7775 -b11110101011 3 -b1010101011 & -b1010101011 5 -b1010101011 G -1+ -#7776 -0+ -#7777 -b111101011 4 -b11110101100 3 -b1010101100 & -b1010101100 5 -b1010101100 G -1+ -#7778 -0+ -#7779 -b111101011 . -b111101011 B -b111101011 : -b11110101101 3 -b1010101101 & -b1010101101 5 -b1010101101 G -1+ -#7780 -0+ -#7781 -b1000 ' -b1000 6 -b100010000000 < -b11110101110 3 -b1010101110 & -b1010101110 5 -b1010101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7782 -0+ -#7783 -b11110101111 3 -b1010101111 & -b1010101111 5 -b1010101111 G -1+ -#7784 -0+ -#7785 -b111101100 4 -b11110110000 3 -b1010110000 & -b1010110000 5 -b1010110000 G -1+ -#7786 -0+ -#7787 -b111101100 . -b111101100 B -b111101100 : -b11110110001 3 -b1010110001 & -b1010110001 5 -b1010110001 G -1+ -#7788 -0+ -#7789 -b0 $ -b0 2 -b10000000 < -b11110110010 3 -b1010110010 & -b1010110010 5 -b1010110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7790 -0+ -#7791 -b11110110011 3 -b1010110011 & -b1010110011 5 -b1010110011 G -1+ -#7792 -0+ -#7793 -b111101101 4 -b11110110100 3 -b1010110100 & -b1010110100 5 -b1010110100 G -1+ -#7794 -0+ -#7795 -b111101101 . -b111101101 B -b111101101 : -b11110110101 3 -b1010110101 & -b1010110101 5 -b1010110101 G -1+ -#7796 -0+ -#7797 -b1000 * -b1000 9 -b10001000 < -b11110110110 3 -b1010110110 & -b1010110110 5 -b1010110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7798 -0+ -#7799 -b11110110111 3 -b1010110111 & -b1010110111 5 -b1010110111 G -1+ -#7800 -0+ -#7801 -b111101110 4 -b11110111000 3 -b1010111000 & -b1010111000 5 -b1010111000 G -1+ -#7802 -0+ -#7803 -b111101110 . -b111101110 B -b111101110 : -b11110111001 3 -b1010111001 & -b1010111001 5 -b1010111001 G -1+ -#7804 -0+ -#7805 -b0 ' -b0 6 -b1000 < -b11110111010 3 -b1010111010 & -b1010111010 5 -b1010111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7806 -0+ -#7807 -b11110111011 3 -b1010111011 & -b1010111011 5 -b1010111011 G -1+ -#7808 -0+ -#7809 -b111101111 4 -b11110111100 3 -b1010111100 & -b1010111100 5 -b1010111100 G -1+ -#7810 -0+ -#7811 -b111101111 . -b111101111 B -b111101111 : -b11110111101 3 -b1010111101 & -b1010111101 5 -b1010111101 G -1+ -#7812 -0+ -#7813 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11110111110 3 -b1010111110 & -b1010111110 5 -b1010111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7814 -0+ -#7815 -b11110111111 3 -b1010111111 & -b1010111111 5 -b1010111111 G -1+ -#7816 -0+ -#7817 -b111110000 4 -b11111000000 3 -b1011000000 & -b1011000000 5 -b1011000000 G -1+ -#7818 -0+ -#7819 -b111110000 . -b111110000 B -b111110000 : -b11111000001 3 -b1011000001 & -b1011000001 5 -b1011000001 G -1+ -#7820 -0+ -#7821 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11111000010 3 -b1011000010 & -b1011000010 5 -b1011000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7822 -0+ -#7823 -b11111000011 3 -b1011000011 & -b1011000011 5 -b1011000011 G -1+ -#7824 -0+ -#7825 -b111110001 4 -b11111000100 3 -b1011000100 & -b1011000100 5 -b1011000100 G -1+ -#7826 -0+ -#7827 -b111110001 . -b111110001 B -b111110001 : -b11111000101 3 -b1011000101 & -b1011000101 5 -b1011000101 G -1+ -#7828 -0+ -#7829 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11111000110 3 -b1011000110 & -b1011000110 5 -b1011000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7830 -0+ -#7831 -b11111000111 3 -b1011000111 & -b1011000111 5 -b1011000111 G -1+ -#7832 -0+ -#7833 -b111110010 4 -b11111001000 3 -b1011001000 & -b1011001000 5 -b1011001000 G -1+ -#7834 -0+ -#7835 -b111110010 . -b111110010 B -b111110010 : -b11111001001 3 -b1011001001 & -b1011001001 5 -b1011001001 G -1+ -#7836 -0+ -#7837 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11111001010 3 -b1011001010 & -b1011001010 5 -b1011001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7838 -0+ -#7839 -b11111001011 3 -b1011001011 & -b1011001011 5 -b1011001011 G -1+ -#7840 -0+ -#7841 -b111110011 4 -b11111001100 3 -b1011001100 & -b1011001100 5 -b1011001100 G -1+ -#7842 -0+ -#7843 -b111110011 . -b111110011 B -b111110011 : -b11111001101 3 -b1011001101 & -b1011001101 5 -b1011001101 G -1+ -#7844 -0+ -#7845 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11111001110 3 -b1011001110 & -b1011001110 5 -b1011001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7846 -0+ -#7847 -b11111001111 3 -b1011001111 & -b1011001111 5 -b1011001111 G -1+ -#7848 -0+ -#7849 -b111110100 4 -b11111010000 3 -b1011010000 & -b1011010000 5 -b1011010000 G -1+ -#7850 -0+ -#7851 -b111110100 . -b111110100 B -b111110100 : -b11111010001 3 -b1011010001 & -b1011010001 5 -b1011010001 G -1+ -#7852 -0+ -#7853 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11111010010 3 -b1011010010 & -b1011010010 5 -b1011010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7854 -0+ -#7855 -b11111010011 3 -b1011010011 & -b1011010011 5 -b1011010011 G -1+ -#7856 -0+ -#7857 -b111110101 4 -b11111010100 3 -b1011010100 & -b1011010100 5 -b1011010100 G -1+ -#7858 -0+ -#7859 -b111110101 . -b111110101 B -b111110101 : -b11111010101 3 -b1011010101 & -b1011010101 5 -b1011010101 G -1+ -#7860 -0+ -#7861 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11111010110 3 -b1011010110 & -b1011010110 5 -b1011010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7862 -0+ -#7863 -b11111010111 3 -b1011010111 & -b1011010111 5 -b1011010111 G -1+ -#7864 -0+ -#7865 -b111110110 4 -b11111011000 3 -b1011011000 & -b1011011000 5 -b1011011000 G -1+ -#7866 -0+ -#7867 -b111110110 . -b111110110 B -b111110110 : -b11111011001 3 -b1011011001 & -b1011011001 5 -b1011011001 G -1+ -#7868 -0+ -#7869 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11111011010 3 -b1011011010 & -b1011011010 5 -b1011011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7870 -0+ -#7871 -b11111011011 3 -b1011011011 & -b1011011011 5 -b1011011011 G -1+ -#7872 -0+ -#7873 -b111110111 4 -b11111011100 3 -b1011011100 & -b1011011100 5 -b1011011100 G -1+ -#7874 -0+ -#7875 -b111110111 . -b111110111 B -b111110111 : -b11111011101 3 -b1011011101 & -b1011011101 5 -b1011011101 G -1+ -#7876 -0+ -#7877 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11111011110 3 -b1011011110 & -b1011011110 5 -b1011011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#7878 -0+ -#7879 -b11111011111 3 -b1011011111 & -b1011011111 5 -b1011011111 G -1+ -#7880 -0+ -#7881 -b111111000 4 -b11111100000 3 -b1011100000 & -b1011100000 5 -b1011100000 G -1+ -#7882 -0+ -#7883 -b111111000 . -b111111000 B -b111111000 : -b11111100001 3 -b1011100001 & -b1011100001 5 -b1011100001 G -1+ -#7884 -0+ -#7885 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11111100010 3 -b1011100010 & -b1011100010 5 -b1011100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#7886 -0+ -#7887 -b11111100011 3 -b1011100011 & -b1011100011 5 -b1011100011 G -1+ -#7888 -0+ -#7889 -b111111001 4 -b11111100100 3 -b1011100100 & -b1011100100 5 -b1011100100 G -1+ -#7890 -0+ -#7891 -b111111001 . -b111111001 B -b111111001 : -b11111100101 3 -b1011100101 & -b1011100101 5 -b1011100101 G -1+ -#7892 -0+ -#7893 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11111100110 3 -b1011100110 & -b1011100110 5 -b1011100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#7894 -0+ -#7895 -b11111100111 3 -b1011100111 & -b1011100111 5 -b1011100111 G -1+ -#7896 -0+ -#7897 -b111111010 4 -b11111101000 3 -b1011101000 & -b1011101000 5 -b1011101000 G -1+ -#7898 -0+ -#7899 -b111111010 . -b111111010 B -b111111010 : -b11111101001 3 -b1011101001 & -b1011101001 5 -b1011101001 G -1+ -#7900 -0+ -#7901 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11111101010 3 -b1011101010 & -b1011101010 5 -b1011101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#7902 -0+ -#7903 -b11111101011 3 -b1011101011 & -b1011101011 5 -b1011101011 G -1+ -#7904 -0+ -#7905 -b111111011 4 -b11111101100 3 -b1011101100 & -b1011101100 5 -b1011101100 G -1+ -#7906 -0+ -#7907 -b111111011 . -b111111011 B -b111111011 : -b11111101101 3 -b1011101101 & -b1011101101 5 -b1011101101 G -1+ -#7908 -0+ -#7909 -b1000 ' -b1000 6 -b100010000000 < -b11111101110 3 -b1011101110 & -b1011101110 5 -b1011101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#7910 -0+ -#7911 -b11111101111 3 -b1011101111 & -b1011101111 5 -b1011101111 G -1+ -#7912 -0+ -#7913 -b111111100 4 -b11111110000 3 -b1011110000 & -b1011110000 5 -b1011110000 G -1+ -#7914 -0+ -#7915 -1% -b111111100 . -b111111100 B -b111111100 : -b11111110001 3 -b1011110001 & -b1011110001 5 -b1011110001 G -1+ -#7916 -0+ -#7917 -b0 $ -b0 2 -b10000000 < -b11111110010 3 -b1011110010 & -b1011110010 5 -b1011110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#7918 -0+ -#7919 -b11111110011 3 -b1011110011 & -b1011110011 5 -b1011110011 G -1+ -#7920 -0+ -#7921 -b111111101 4 -b11111110100 3 -b1011110100 & -b1011110100 5 -b1011110100 G -1+ -#7922 -0+ -#7923 -b111111101 . -b111111101 B -b111111101 : -b11111110101 3 -b1011110101 & -b1011110101 5 -b1011110101 G -1+ -#7924 -0+ -#7925 -b1000 * -b1000 9 -b10001000 < -b11111110110 3 -b1011110110 & -b1011110110 5 -b1011110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#7926 -0+ -#7927 -b11111110111 3 -b1011110111 & -b1011110111 5 -b1011110111 G -1+ -#7928 -0+ -#7929 -b111111110 4 -b11111111000 3 -b1011111000 & -b1011111000 5 -b1011111000 G -1+ -#7930 -0+ -#7931 -b111111110 . -b111111110 B -b111111110 : -b11111111001 3 -b1011111001 & -b1011111001 5 -b1011111001 G -1+ -#7932 -0+ -#7933 -b0 ' -b0 6 -b1000 < -b11111111010 3 -b1011111010 & -b1011111010 5 -b1011111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#7934 -0+ -#7935 -b11111111011 3 -b1011111011 & -b1011111011 5 -b1011111011 G -1+ -#7936 -0+ -#7937 -b111111111 4 -b11111111100 3 -b1011111100 & -b1011111100 5 -b1011111100 G -1+ -#7938 -0+ -#7939 -b111111111 . -b111111111 B -b111111111 : -b11111111101 3 -b1011111101 & -b1011111101 5 -b1011111101 G -1+ -#7940 -0+ -#7941 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11111111110 3 -b1011111110 & -b1011111110 5 -b1011111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#7942 -0+ -#7943 -b11111111111 3 -b1011111111 & -b1011111111 5 -b1011111111 G -1+ -#7944 -0+ -#7945 -b1000000000 4 -b100000000000 3 -b1100000000 & -b1100000000 5 -b1100000000 G -1+ -#7946 -0+ -#7947 -b1000000000 . -b1000000000 B -b1000000000 : -b100000000001 3 -b1100000001 & -b1100000001 5 -b1100000001 G -1+ -#7948 -0+ -#7949 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100000000010 3 -b1100000010 & -b1100000010 5 -b1100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#7950 -0+ -#7951 -b100000000011 3 -b1100000011 & -b1100000011 5 -b1100000011 G -1+ -#7952 -0+ -#7953 -b1000000001 4 -b100000000100 3 -b1100000100 & -b1100000100 5 -b1100000100 G -1+ -#7954 -0+ -#7955 -b1000000001 . -b1000000001 B -b1000000001 : -b100000000101 3 -b1100000101 & -b1100000101 5 -b1100000101 G -1+ -#7956 -0+ -#7957 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100000000110 3 -b1100000110 & -b1100000110 5 -b1100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#7958 -0+ -#7959 -b100000000111 3 -b1100000111 & -b1100000111 5 -b1100000111 G -1+ -#7960 -0+ -#7961 -b1000000010 4 -b100000001000 3 -b1100001000 & -b1100001000 5 -b1100001000 G -1+ -#7962 -0+ -#7963 -b1000000010 . -b1000000010 B -b1000000010 : -b100000001001 3 -b1100001001 & -b1100001001 5 -b1100001001 G -1+ -#7964 -0+ -#7965 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100000001010 3 -b1100001010 & -b1100001010 5 -b1100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#7966 -0+ -#7967 -b100000001011 3 -b1100001011 & -b1100001011 5 -b1100001011 G -1+ -#7968 -0+ -#7969 -b1000000011 4 -b100000001100 3 -b1100001100 & -b1100001100 5 -b1100001100 G -1+ -#7970 -0+ -#7971 -b1000000011 . -b1000000011 B -b1000000011 : -b100000001101 3 -b1100001101 & -b1100001101 5 -b1100001101 G -1+ -#7972 -0+ -#7973 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100000001110 3 -b1100001110 & -b1100001110 5 -b1100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#7974 -0+ -#7975 -b100000001111 3 -b1100001111 & -b1100001111 5 -b1100001111 G -1+ -#7976 -0+ -#7977 -b1000000100 4 -b100000010000 3 -b1100010000 & -b1100010000 5 -b1100010000 G -1+ -#7978 -0+ -#7979 -b1000000100 . -b1000000100 B -b1000000100 : -b100000010001 3 -b1100010001 & -b1100010001 5 -b1100010001 G -1+ -#7980 -0+ -#7981 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100000010010 3 -b1100010010 & -b1100010010 5 -b1100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#7982 -0+ -#7983 -b100000010011 3 -b1100010011 & -b1100010011 5 -b1100010011 G -1+ -#7984 -0+ -#7985 -b1000000101 4 -b100000010100 3 -b1100010100 & -b1100010100 5 -b1100010100 G -1+ -#7986 -0+ -#7987 -b1000000101 . -b1000000101 B -b1000000101 : -b100000010101 3 -b1100010101 & -b1100010101 5 -b1100010101 G -1+ -#7988 -0+ -#7989 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100000010110 3 -b1100010110 & -b1100010110 5 -b1100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#7990 -0+ -#7991 -b100000010111 3 -b1100010111 & -b1100010111 5 -b1100010111 G -1+ -#7992 -0+ -#7993 -b1000000110 4 -b100000011000 3 -b1100011000 & -b1100011000 5 -b1100011000 G -1+ -#7994 -0+ -#7995 -b1000000110 . -b1000000110 B -b1000000110 : -b100000011001 3 -b1100011001 & -b1100011001 5 -b1100011001 G -1+ -#7996 -0+ -#7997 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100000011010 3 -b1100011010 & -b1100011010 5 -b1100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#7998 -0+ -#7999 -b100000011011 3 -b1100011011 & -b1100011011 5 -b1100011011 G -1+ -#8000 -0+ -#8001 -b1000000111 4 -b100000011100 3 -b1100011100 & -b1100011100 5 -b1100011100 G -1+ -#8002 -0+ -#8003 -b1000000111 . -b1000000111 B -b1000000111 : -b100000011101 3 -b1100011101 & -b1100011101 5 -b1100011101 G -1+ -#8004 -0+ -#8005 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100000011110 3 -b1100011110 & -b1100011110 5 -b1100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8006 -0+ -#8007 -b100000011111 3 -b1100011111 & -b1100011111 5 -b1100011111 G -1+ -#8008 -0+ -#8009 -1( -b110010000 4 -b101 # -b101 1 -b101 H -b11001000000 3 -b0 & -b0 5 -b0 G -1+ -#8010 -0+ -#8011 -b110010000 . -b110010000 B -b110010000 : -b11001000001 3 -b1 & -b1 5 -b1 G -1+ -#8012 -0+ -#8013 -b0 ' -b0 6 -b111100000000 < -b11001000010 3 -b10 & -b10 5 -b10 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8014 -0+ -#8015 -b11001000011 3 -b11 & -b11 5 -b11 G -1+ -#8016 -0+ -#8017 -b110010001 4 -b11001000100 3 -b100 & -b100 5 -b100 G -1+ -#8018 -0+ -#8019 -b110010001 . -b110010001 B -b110010001 : -b11001000101 3 -b101 & -b101 5 -b101 G -1+ -#8020 -0+ -#8021 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11001000110 3 -b110 & -b110 5 -b110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8022 -0+ -#8023 -b11001000111 3 -b111 & -b111 5 -b111 G -1+ -#8024 -0+ -#8025 -b110010010 4 -b11001001000 3 -b1000 & -b1000 5 -b1000 G -1+ -#8026 -0+ -#8027 -b110010010 . -b110010010 B -b110010010 : -b11001001001 3 -b1001 & -b1001 5 -b1001 G -1+ -#8028 -0+ -#8029 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11001001010 3 -b1010 & -b1010 5 -b1010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8030 -0+ -#8031 -b11001001011 3 -b1011 & -b1011 5 -b1011 G -1+ -#8032 -0+ -#8033 -b110010011 4 -b11001001100 3 -b1100 & -b1100 5 -b1100 G -1+ -#8034 -0+ -#8035 -b110010011 . -b110010011 B -b110010011 : -b11001001101 3 -b1101 & -b1101 5 -b1101 G -1+ -#8036 -0+ -#8037 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11001001110 3 -b1110 & -b1110 5 -b1110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8038 -0+ -#8039 -b11001001111 3 -b1111 & -b1111 5 -b1111 G -1+ -#8040 -0+ -#8041 -b110010100 4 -b11001010000 3 -b10000 & -b10000 5 -b10000 G -1+ -#8042 -0+ -#8043 -b110010100 . -b110010100 B -b110010100 : -b11001010001 3 -b10001 & -b10001 5 -b10001 G -1+ -#8044 -0+ -#8045 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11001010010 3 -b10010 & -b10010 5 -b10010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8046 -0+ -#8047 -b11001010011 3 -b10011 & -b10011 5 -b10011 G -1+ -#8048 -0+ -#8049 -b110010101 4 -b11001010100 3 -b10100 & -b10100 5 -b10100 G -1+ -#8050 -0+ -#8051 -b110010101 . -b110010101 B -b110010101 : -b11001010101 3 -b10101 & -b10101 5 -b10101 G -1+ -#8052 -0+ -#8053 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11001010110 3 -b10110 & -b10110 5 -b10110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8054 -0+ -#8055 -b11001010111 3 -b10111 & -b10111 5 -b10111 G -1+ -#8056 -0+ -#8057 -b110010110 4 -b11001011000 3 -b11000 & -b11000 5 -b11000 G -1+ -#8058 -0+ -#8059 -b110010110 . -b110010110 B -b110010110 : -b11001011001 3 -b11001 & -b11001 5 -b11001 G -1+ -#8060 -0+ -#8061 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11001011010 3 -b11010 & -b11010 5 -b11010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8062 -0+ -#8063 -b11001011011 3 -b11011 & -b11011 5 -b11011 G -1+ -#8064 -0+ -#8065 -b110010111 4 -b11001011100 3 -b11100 & -b11100 5 -b11100 G -1+ -#8066 -0+ -#8067 -b110010111 . -b110010111 B -b110010111 : -b11001011101 3 -b11101 & -b11101 5 -b11101 G -1+ -#8068 -0+ -#8069 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11001011110 3 -b11110 & -b11110 5 -b11110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8070 -0+ -#8071 -b11001011111 3 -b11111 & -b11111 5 -b11111 G -1+ -#8072 -0+ -#8073 -b110011000 4 -b11001100000 3 -b100000 & -b100000 5 -b100000 G -1+ -#8074 -0+ -#8075 -b110011000 . -b110011000 B -b110011000 : -b11001100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#8076 -0+ -#8077 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11001100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8078 -0+ -#8079 -b11001100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#8080 -0+ -#8081 -b110011001 4 -b11001100100 3 -b100100 & -b100100 5 -b100100 G -1+ -#8082 -0+ -#8083 -b110011001 . -b110011001 B -b110011001 : -b11001100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#8084 -0+ -#8085 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11001100110 3 -b100110 & -b100110 5 -b100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8086 -0+ -#8087 -b11001100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#8088 -0+ -#8089 -b110011010 4 -b11001101000 3 -b101000 & -b101000 5 -b101000 G -1+ -#8090 -0+ -#8091 -b110011010 . -b110011010 B -b110011010 : -b11001101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#8092 -0+ -#8093 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11001101010 3 -b101010 & -b101010 5 -b101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8094 -0+ -#8095 -b11001101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#8096 -0+ -#8097 -b110011011 4 -b11001101100 3 -b101100 & -b101100 5 -b101100 G -1+ -#8098 -0+ -#8099 -b110011011 . -b110011011 B -b110011011 : -b11001101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#8100 -0+ -#8101 -b1000 ' -b1000 6 -b100010000000 < -b11001101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8102 -0+ -#8103 -b11001101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#8104 -0+ -#8105 -b110011100 4 -b11001110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#8106 -0+ -#8107 -b110011100 . -b110011100 B -b110011100 : -b11001110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#8108 -0+ -#8109 -b0 $ -b0 2 -b10000000 < -b11001110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8110 -0+ -#8111 -b11001110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#8112 -0+ -#8113 -b110011101 4 -b11001110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#8114 -0+ -#8115 -b110011101 . -b110011101 B -b110011101 : -b11001110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#8116 -0+ -#8117 -b1000 * -b1000 9 -b10001000 < -b11001110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8118 -0+ -#8119 -b11001110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#8120 -0+ -#8121 -b110011110 4 -b11001111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#8122 -0+ -#8123 -b110011110 . -b110011110 B -b110011110 : -b11001111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#8124 -0+ -#8125 -b0 ' -b0 6 -b1000 < -b11001111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8126 -0+ -#8127 -b11001111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#8128 -0+ -#8129 -b110011111 4 -b11001111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#8130 -0+ -#8131 -b110011111 . -b110011111 B -b110011111 : -b11001111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#8132 -0+ -#8133 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11001111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8134 -0+ -#8135 -b11001111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#8136 -0+ -#8137 -b110100000 4 -b11010000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#8138 -0+ -#8139 -b110100000 . -b110100000 B -b110100000 : -b11010000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#8140 -0+ -#8141 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11010000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8142 -0+ -#8143 -b11010000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#8144 -0+ -#8145 -b110100001 4 -b11010000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#8146 -0+ -#8147 -b110100001 . -b110100001 B -b110100001 : -b11010000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#8148 -0+ -#8149 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11010000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8150 -0+ -#8151 -b11010000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#8152 -0+ -#8153 -b110100010 4 -b11010001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#8154 -0+ -#8155 -b110100010 . -b110100010 B -b110100010 : -b11010001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#8156 -0+ -#8157 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11010001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8158 -0+ -#8159 -b11010001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#8160 -0+ -#8161 -b110100011 4 -b11010001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#8162 -0+ -#8163 -b110100011 . -b110100011 B -b110100011 : -b11010001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#8164 -0+ -#8165 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11010001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8166 -0+ -#8167 -b11010001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#8168 -0+ -#8169 -b110100100 4 -b11010010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#8170 -0+ -#8171 -b110100100 . -b110100100 B -b110100100 : -b11010010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#8172 -0+ -#8173 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11010010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8174 -0+ -#8175 -b11010010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#8176 -0+ -#8177 -b110100101 4 -b11010010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#8178 -0+ -#8179 -b110100101 . -b110100101 B -b110100101 : -b11010010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#8180 -0+ -#8181 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11010010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8182 -0+ -#8183 -b11010010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#8184 -0+ -#8185 -b110100110 4 -b11010011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#8186 -0+ -#8187 -b110100110 . -b110100110 B -b110100110 : -b11010011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#8188 -0+ -#8189 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11010011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8190 -0+ -#8191 -b11010011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#8192 -0+ -#8193 -b110100111 4 -b11010011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#8194 -0+ -#8195 -b110100111 . -b110100111 B -b110100111 : -b11010011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#8196 -0+ -#8197 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11010011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8198 -0+ -#8199 -b11010011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#8200 -0+ -#8201 -b110101000 4 -b11010100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#8202 -0+ -#8203 -b110101000 . -b110101000 B -b110101000 : -b11010100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#8204 -0+ -#8205 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11010100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8206 -0+ -#8207 -b11010100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#8208 -0+ -#8209 -b110101001 4 -b11010100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#8210 -0+ -#8211 -b110101001 . -b110101001 B -b110101001 : -b11010100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#8212 -0+ -#8213 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11010100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8214 -0+ -#8215 -b11010100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#8216 -0+ -#8217 -b110101010 4 -b11010101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#8218 -0+ -#8219 -b110101010 . -b110101010 B -b110101010 : -b11010101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#8220 -0+ -#8221 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11010101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8222 -0+ -#8223 -b11010101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#8224 -0+ -#8225 -b110101011 4 -b11010101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#8226 -0+ -#8227 -b110101011 . -b110101011 B -b110101011 : -b11010101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#8228 -0+ -#8229 -b1000 ' -b1000 6 -b100010000000 < -b11010101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8230 -0+ -#8231 -b11010101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#8232 -0+ -#8233 -b110101100 4 -b11010110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#8234 -0+ -#8235 -b110101100 . -b110101100 B -b110101100 : -b11010110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#8236 -0+ -#8237 -b0 $ -b0 2 -b10000000 < -b11010110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8238 -0+ -#8239 -b11010110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#8240 -0+ -#8241 -b110101101 4 -b11010110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#8242 -0+ -#8243 -b110101101 . -b110101101 B -b110101101 : -b11010110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#8244 -0+ -#8245 -b1000 * -b1000 9 -b10001000 < -b11010110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8246 -0+ -#8247 -b11010110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#8248 -0+ -#8249 -b110101110 4 -b11010111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#8250 -0+ -#8251 -b110101110 . -b110101110 B -b110101110 : -b11010111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#8252 -0+ -#8253 -b0 ' -b0 6 -b1000 < -b11010111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8254 -0+ -#8255 -b11010111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#8256 -0+ -#8257 -b110101111 4 -b11010111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#8258 -0+ -#8259 -b110101111 . -b110101111 B -b110101111 : -b11010111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#8260 -0+ -#8261 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11010111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8262 -0+ -#8263 -b11010111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#8264 -0+ -#8265 -b110110000 4 -b11011000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#8266 -0+ -#8267 -b110110000 . -b110110000 B -b110110000 : -b11011000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#8268 -0+ -#8269 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11011000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8270 -0+ -#8271 -b11011000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#8272 -0+ -#8273 -b110110001 4 -b11011000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#8274 -0+ -#8275 -b110110001 . -b110110001 B -b110110001 : -b11011000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#8276 -0+ -#8277 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11011000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8278 -0+ -#8279 -b11011000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#8280 -0+ -#8281 -b110110010 4 -b11011001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#8282 -0+ -#8283 -b110110010 . -b110110010 B -b110110010 : -b11011001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#8284 -0+ -#8285 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11011001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8286 -0+ -#8287 -b11011001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#8288 -0+ -#8289 -b110110011 4 -b11011001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#8290 -0+ -#8291 -b110110011 . -b110110011 B -b110110011 : -b11011001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#8292 -0+ -#8293 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11011001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8294 -0+ -#8295 -b11011001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#8296 -0+ -#8297 -b110110100 4 -b11011010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#8298 -0+ -#8299 -b110110100 . -b110110100 B -b110110100 : -b11011010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#8300 -0+ -#8301 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11011010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8302 -0+ -#8303 -b11011010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#8304 -0+ -#8305 -b110110101 4 -b11011010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#8306 -0+ -#8307 -b110110101 . -b110110101 B -b110110101 : -b11011010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#8308 -0+ -#8309 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11011010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8310 -0+ -#8311 -b11011010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#8312 -0+ -#8313 -b110110110 4 -b11011011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#8314 -0+ -#8315 -b110110110 . -b110110110 B -b110110110 : -b11011011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#8316 -0+ -#8317 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11011011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8318 -0+ -#8319 -b11011011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#8320 -0+ -#8321 -b110110111 4 -b11011011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#8322 -0+ -#8323 -b110110111 . -b110110111 B -b110110111 : -b11011011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#8324 -0+ -#8325 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11011011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8326 -0+ -#8327 -b11011011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#8328 -0+ -#8329 -b110111000 4 -b11011100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#8330 -0+ -#8331 -b110111000 . -b110111000 B -b110111000 : -b11011100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#8332 -0+ -#8333 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11011100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8334 -0+ -#8335 -b11011100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#8336 -0+ -#8337 -b110111001 4 -b11011100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#8338 -0+ -#8339 -b110111001 . -b110111001 B -b110111001 : -b11011100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#8340 -0+ -#8341 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11011100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8342 -0+ -#8343 -b11011100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#8344 -0+ -#8345 -b110111010 4 -b11011101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#8346 -0+ -#8347 -b110111010 . -b110111010 B -b110111010 : -b11011101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#8348 -0+ -#8349 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11011101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8350 -0+ -#8351 -b11011101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#8352 -0+ -#8353 -b110111011 4 -b11011101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#8354 -0+ -#8355 -b110111011 . -b110111011 B -b110111011 : -b11011101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#8356 -0+ -#8357 -b1000 ' -b1000 6 -b100010000000 < -b11011101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8358 -0+ -#8359 -b11011101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#8360 -0+ -#8361 -b110111100 4 -b11011110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#8362 -0+ -#8363 -b110111100 . -b110111100 B -b110111100 : -b11011110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#8364 -0+ -#8365 -b0 $ -b0 2 -b10000000 < -b11011110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8366 -0+ -#8367 -b11011110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#8368 -0+ -#8369 -b110111101 4 -b11011110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#8370 -0+ -#8371 -b110111101 . -b110111101 B -b110111101 : -b11011110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#8372 -0+ -#8373 -b1000 * -b1000 9 -b10001000 < -b11011110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8374 -0+ -#8375 -b11011110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#8376 -0+ -#8377 -b110111110 4 -b11011111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#8378 -0+ -#8379 -b110111110 . -b110111110 B -b110111110 : -b11011111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#8380 -0+ -#8381 -b0 ' -b0 6 -b1000 < -b11011111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8382 -0+ -#8383 -b11011111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#8384 -0+ -#8385 -b110111111 4 -b11011111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#8386 -0+ -#8387 -b110111111 . -b110111111 B -b110111111 : -b11011111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#8388 -0+ -#8389 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11011111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8390 -0+ -#8391 -b11011111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#8392 -0+ -#8393 -b111000000 4 -b11100000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#8394 -0+ -#8395 -b111000000 . -b111000000 B -b111000000 : -b11100000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#8396 -0+ -#8397 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11100000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8398 -0+ -#8399 -b11100000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#8400 -0+ -#8401 -b111000001 4 -b11100000100 3 -b11000100 & -b11000100 5 -b11000100 G -1+ -#8402 -0+ -#8403 -b111000001 . -b111000001 B -b111000001 : -b11100000101 3 -b11000101 & -b11000101 5 -b11000101 G -1+ -#8404 -0+ -#8405 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11100000110 3 -b11000110 & -b11000110 5 -b11000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8406 -0+ -#8407 -b11100000111 3 -b11000111 & -b11000111 5 -b11000111 G -1+ -#8408 -0+ -#8409 -b111000010 4 -b11100001000 3 -b11001000 & -b11001000 5 -b11001000 G -1+ -#8410 -0+ -#8411 -b111000010 . -b111000010 B -b111000010 : -b11100001001 3 -b11001001 & -b11001001 5 -b11001001 G -1+ -#8412 -0+ -#8413 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11100001010 3 -b11001010 & -b11001010 5 -b11001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8414 -0+ -#8415 -b11100001011 3 -b11001011 & -b11001011 5 -b11001011 G -1+ -#8416 -0+ -#8417 -b111000011 4 -b11100001100 3 -b11001100 & -b11001100 5 -b11001100 G -1+ -#8418 -0+ -#8419 -b111000011 . -b111000011 B -b111000011 : -b11100001101 3 -b11001101 & -b11001101 5 -b11001101 G -1+ -#8420 -0+ -#8421 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11100001110 3 -b11001110 & -b11001110 5 -b11001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8422 -0+ -#8423 -b11100001111 3 -b11001111 & -b11001111 5 -b11001111 G -1+ -#8424 -0+ -#8425 -b111000100 4 -b11100010000 3 -b11010000 & -b11010000 5 -b11010000 G -1+ -#8426 -0+ -#8427 -b111000100 . -b111000100 B -b111000100 : -b11100010001 3 -b11010001 & -b11010001 5 -b11010001 G -1+ -#8428 -0+ -#8429 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11100010010 3 -b11010010 & -b11010010 5 -b11010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8430 -0+ -#8431 -b11100010011 3 -b11010011 & -b11010011 5 -b11010011 G -1+ -#8432 -0+ -#8433 -b111000101 4 -b11100010100 3 -b11010100 & -b11010100 5 -b11010100 G -1+ -#8434 -0+ -#8435 -b111000101 . -b111000101 B -b111000101 : -b11100010101 3 -b11010101 & -b11010101 5 -b11010101 G -1+ -#8436 -0+ -#8437 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11100010110 3 -b11010110 & -b11010110 5 -b11010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8438 -0+ -#8439 -b11100010111 3 -b11010111 & -b11010111 5 -b11010111 G -1+ -#8440 -0+ -#8441 -b111000110 4 -b11100011000 3 -b11011000 & -b11011000 5 -b11011000 G -1+ -#8442 -0+ -#8443 -b111000110 . -b111000110 B -b111000110 : -b11100011001 3 -b11011001 & -b11011001 5 -b11011001 G -1+ -#8444 -0+ -#8445 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11100011010 3 -b11011010 & -b11011010 5 -b11011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8446 -0+ -#8447 -b11100011011 3 -b11011011 & -b11011011 5 -b11011011 G -1+ -#8448 -0+ -#8449 -b111000111 4 -b11100011100 3 -b11011100 & -b11011100 5 -b11011100 G -1+ -#8450 -0+ -#8451 -b111000111 . -b111000111 B -b111000111 : -b11100011101 3 -b11011101 & -b11011101 5 -b11011101 G -1+ -#8452 -0+ -#8453 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11100011110 3 -b11011110 & -b11011110 5 -b11011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8454 -0+ -#8455 -b11100011111 3 -b11011111 & -b11011111 5 -b11011111 G -1+ -#8456 -0+ -#8457 -b111001000 4 -b11100100000 3 -b11100000 & -b11100000 5 -b11100000 G -1+ -#8458 -0+ -#8459 -b111001000 . -b111001000 B -b111001000 : -b11100100001 3 -b11100001 & -b11100001 5 -b11100001 G -1+ -#8460 -0+ -#8461 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11100100010 3 -b11100010 & -b11100010 5 -b11100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8462 -0+ -#8463 -b11100100011 3 -b11100011 & -b11100011 5 -b11100011 G -1+ -#8464 -0+ -#8465 -b111001001 4 -b11100100100 3 -b11100100 & -b11100100 5 -b11100100 G -1+ -#8466 -0+ -#8467 -b111001001 . -b111001001 B -b111001001 : -b11100100101 3 -b11100101 & -b11100101 5 -b11100101 G -1+ -#8468 -0+ -#8469 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11100100110 3 -b11100110 & -b11100110 5 -b11100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8470 -0+ -#8471 -b11100100111 3 -b11100111 & -b11100111 5 -b11100111 G -1+ -#8472 -0+ -#8473 -b111001010 4 -b11100101000 3 -b11101000 & -b11101000 5 -b11101000 G -1+ -#8474 -0+ -#8475 -b111001010 . -b111001010 B -b111001010 : -b11100101001 3 -b11101001 & -b11101001 5 -b11101001 G -1+ -#8476 -0+ -#8477 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11100101010 3 -b11101010 & -b11101010 5 -b11101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8478 -0+ -#8479 -b11100101011 3 -b11101011 & -b11101011 5 -b11101011 G -1+ -#8480 -0+ -#8481 -b111001011 4 -b11100101100 3 -b11101100 & -b11101100 5 -b11101100 G -1+ -#8482 -0+ -#8483 -b111001011 . -b111001011 B -b111001011 : -b11100101101 3 -b11101101 & -b11101101 5 -b11101101 G -1+ -#8484 -0+ -#8485 -b1000 ' -b1000 6 -b100010000000 < -b11100101110 3 -b11101110 & -b11101110 5 -b11101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8486 -0+ -#8487 -b11100101111 3 -b11101111 & -b11101111 5 -b11101111 G -1+ -#8488 -0+ -#8489 -b111001100 4 -b11100110000 3 -b11110000 & -b11110000 5 -b11110000 G -1+ -#8490 -0+ -#8491 -b111001100 . -b111001100 B -b111001100 : -b11100110001 3 -b11110001 & -b11110001 5 -b11110001 G -1+ -#8492 -0+ -#8493 -b0 $ -b0 2 -b10000000 < -b11100110010 3 -b11110010 & -b11110010 5 -b11110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8494 -0+ -#8495 -b11100110011 3 -b11110011 & -b11110011 5 -b11110011 G -1+ -#8496 -0+ -#8497 -b111001101 4 -b11100110100 3 -b11110100 & -b11110100 5 -b11110100 G -1+ -#8498 -0+ -#8499 -b111001101 . -b111001101 B -b111001101 : -b11100110101 3 -b11110101 & -b11110101 5 -b11110101 G -1+ -#8500 -0+ -#8501 -b1000 * -b1000 9 -b10001000 < -b11100110110 3 -b11110110 & -b11110110 5 -b11110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8502 -0+ -#8503 -b11100110111 3 -b11110111 & -b11110111 5 -b11110111 G -1+ -#8504 -0+ -#8505 -b111001110 4 -b11100111000 3 -b11111000 & -b11111000 5 -b11111000 G -1+ -#8506 -0+ -#8507 -b111001110 . -b111001110 B -b111001110 : -b11100111001 3 -b11111001 & -b11111001 5 -b11111001 G -1+ -#8508 -0+ -#8509 -b0 ' -b0 6 -b1000 < -b11100111010 3 -b11111010 & -b11111010 5 -b11111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8510 -0+ -#8511 -b11100111011 3 -b11111011 & -b11111011 5 -b11111011 G -1+ -#8512 -0+ -#8513 -b111001111 4 -b11100111100 3 -b11111100 & -b11111100 5 -b11111100 G -1+ -#8514 -0+ -#8515 -b111001111 . -b111001111 B -b111001111 : -b11100111101 3 -b11111101 & -b11111101 5 -b11111101 G -1+ -#8516 -0+ -#8517 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11100111110 3 -b11111110 & -b11111110 5 -b11111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8518 -0+ -#8519 -b11100111111 3 -b11111111 & -b11111111 5 -b11111111 G -1+ -#8520 -0+ -#8521 -b111010000 4 -b11101000000 3 -b100000000 & -b100000000 5 -b100000000 G -1+ -#8522 -0+ -#8523 -b111010000 . -b111010000 B -b111010000 : -b11101000001 3 -b100000001 & -b100000001 5 -b100000001 G -1+ -#8524 -0+ -#8525 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11101000010 3 -b100000010 & -b100000010 5 -b100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8526 -0+ -#8527 -b11101000011 3 -b100000011 & -b100000011 5 -b100000011 G -1+ -#8528 -0+ -#8529 -b111010001 4 -b11101000100 3 -b100000100 & -b100000100 5 -b100000100 G -1+ -#8530 -0+ -#8531 -b111010001 . -b111010001 B -b111010001 : -b11101000101 3 -b100000101 & -b100000101 5 -b100000101 G -1+ -#8532 -0+ -#8533 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11101000110 3 -b100000110 & -b100000110 5 -b100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8534 -0+ -#8535 -b11101000111 3 -b100000111 & -b100000111 5 -b100000111 G -1+ -#8536 -0+ -#8537 -b111010010 4 -b11101001000 3 -b100001000 & -b100001000 5 -b100001000 G -1+ -#8538 -0+ -#8539 -b111010010 . -b111010010 B -b111010010 : -b11101001001 3 -b100001001 & -b100001001 5 -b100001001 G -1+ -#8540 -0+ -#8541 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11101001010 3 -b100001010 & -b100001010 5 -b100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8542 -0+ -#8543 -b11101001011 3 -b100001011 & -b100001011 5 -b100001011 G -1+ -#8544 -0+ -#8545 -b111010011 4 -b11101001100 3 -b100001100 & -b100001100 5 -b100001100 G -1+ -#8546 -0+ -#8547 -b111010011 . -b111010011 B -b111010011 : -b11101001101 3 -b100001101 & -b100001101 5 -b100001101 G -1+ -#8548 -0+ -#8549 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11101001110 3 -b100001110 & -b100001110 5 -b100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8550 -0+ -#8551 -b11101001111 3 -b100001111 & -b100001111 5 -b100001111 G -1+ -#8552 -0+ -#8553 -b111010100 4 -b11101010000 3 -b100010000 & -b100010000 5 -b100010000 G -1+ -#8554 -0+ -#8555 -b111010100 . -b111010100 B -b111010100 : -b11101010001 3 -b100010001 & -b100010001 5 -b100010001 G -1+ -#8556 -0+ -#8557 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11101010010 3 -b100010010 & -b100010010 5 -b100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8558 -0+ -#8559 -b11101010011 3 -b100010011 & -b100010011 5 -b100010011 G -1+ -#8560 -0+ -#8561 -b111010101 4 -b11101010100 3 -b100010100 & -b100010100 5 -b100010100 G -1+ -#8562 -0+ -#8563 -b111010101 . -b111010101 B -b111010101 : -b11101010101 3 -b100010101 & -b100010101 5 -b100010101 G -1+ -#8564 -0+ -#8565 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11101010110 3 -b100010110 & -b100010110 5 -b100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8566 -0+ -#8567 -b11101010111 3 -b100010111 & -b100010111 5 -b100010111 G -1+ -#8568 -0+ -#8569 -b111010110 4 -b11101011000 3 -b100011000 & -b100011000 5 -b100011000 G -1+ -#8570 -0+ -#8571 -b111010110 . -b111010110 B -b111010110 : -b11101011001 3 -b100011001 & -b100011001 5 -b100011001 G -1+ -#8572 -0+ -#8573 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11101011010 3 -b100011010 & -b100011010 5 -b100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8574 -0+ -#8575 -b11101011011 3 -b100011011 & -b100011011 5 -b100011011 G -1+ -#8576 -0+ -#8577 -b111010111 4 -b11101011100 3 -b100011100 & -b100011100 5 -b100011100 G -1+ -#8578 -0+ -#8579 -b111010111 . -b111010111 B -b111010111 : -b11101011101 3 -b100011101 & -b100011101 5 -b100011101 G -1+ -#8580 -0+ -#8581 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11101011110 3 -b100011110 & -b100011110 5 -b100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8582 -0+ -#8583 -b11101011111 3 -b100011111 & -b100011111 5 -b100011111 G -1+ -#8584 -0+ -#8585 -b111011000 4 -b11101100000 3 -b100100000 & -b100100000 5 -b100100000 G -1+ -#8586 -0+ -#8587 -b111011000 . -b111011000 B -b111011000 : -b11101100001 3 -b100100001 & -b100100001 5 -b100100001 G -1+ -#8588 -0+ -#8589 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11101100010 3 -b100100010 & -b100100010 5 -b100100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8590 -0+ -#8591 -b11101100011 3 -b100100011 & -b100100011 5 -b100100011 G -1+ -#8592 -0+ -#8593 -b111011001 4 -b11101100100 3 -b100100100 & -b100100100 5 -b100100100 G -1+ -#8594 -0+ -#8595 -b111011001 . -b111011001 B -b111011001 : -b11101100101 3 -b100100101 & -b100100101 5 -b100100101 G -1+ -#8596 -0+ -#8597 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11101100110 3 -b100100110 & -b100100110 5 -b100100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8598 -0+ -#8599 -b11101100111 3 -b100100111 & -b100100111 5 -b100100111 G -1+ -#8600 -0+ -#8601 -b111011010 4 -b11101101000 3 -b100101000 & -b100101000 5 -b100101000 G -1+ -#8602 -0+ -#8603 -b111011010 . -b111011010 B -b111011010 : -b11101101001 3 -b100101001 & -b100101001 5 -b100101001 G -1+ -#8604 -0+ -#8605 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11101101010 3 -b100101010 & -b100101010 5 -b100101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8606 -0+ -#8607 -b11101101011 3 -b100101011 & -b100101011 5 -b100101011 G -1+ -#8608 -0+ -#8609 -b111011011 4 -b11101101100 3 -b100101100 & -b100101100 5 -b100101100 G -1+ -#8610 -0+ -#8611 -b111011011 . -b111011011 B -b111011011 : -b11101101101 3 -b100101101 & -b100101101 5 -b100101101 G -1+ -#8612 -0+ -#8613 -b1000 ' -b1000 6 -b100010000000 < -b11101101110 3 -b100101110 & -b100101110 5 -b100101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8614 -0+ -#8615 -b11101101111 3 -b100101111 & -b100101111 5 -b100101111 G -1+ -#8616 -0+ -#8617 -b111011100 4 -b11101110000 3 -b100110000 & -b100110000 5 -b100110000 G -1+ -#8618 -0+ -#8619 -b111011100 . -b111011100 B -b111011100 : -b11101110001 3 -b100110001 & -b100110001 5 -b100110001 G -1+ -#8620 -0+ -#8621 -b0 $ -b0 2 -b10000000 < -b11101110010 3 -b100110010 & -b100110010 5 -b100110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8622 -0+ -#8623 -b11101110011 3 -b100110011 & -b100110011 5 -b100110011 G -1+ -#8624 -0+ -#8625 -b111011101 4 -b11101110100 3 -b100110100 & -b100110100 5 -b100110100 G -1+ -#8626 -0+ -#8627 -b111011101 . -b111011101 B -b111011101 : -b11101110101 3 -b100110101 & -b100110101 5 -b100110101 G -1+ -#8628 -0+ -#8629 -b1000 * -b1000 9 -b10001000 < -b11101110110 3 -b100110110 & -b100110110 5 -b100110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8630 -0+ -#8631 -b11101110111 3 -b100110111 & -b100110111 5 -b100110111 G -1+ -#8632 -0+ -#8633 -b111011110 4 -b11101111000 3 -b100111000 & -b100111000 5 -b100111000 G -1+ -#8634 -0+ -#8635 -b111011110 . -b111011110 B -b111011110 : -b11101111001 3 -b100111001 & -b100111001 5 -b100111001 G -1+ -#8636 -0+ -#8637 -b0 ' -b0 6 -b1000 < -b11101111010 3 -b100111010 & -b100111010 5 -b100111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8638 -0+ -#8639 -b11101111011 3 -b100111011 & -b100111011 5 -b100111011 G -1+ -#8640 -0+ -#8641 -b111011111 4 -b11101111100 3 -b100111100 & -b100111100 5 -b100111100 G -1+ -#8642 -0+ -#8643 -b111011111 . -b111011111 B -b111011111 : -b11101111101 3 -b100111101 & -b100111101 5 -b100111101 G -1+ -#8644 -0+ -#8645 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11101111110 3 -b100111110 & -b100111110 5 -b100111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8646 -0+ -#8647 -b11101111111 3 -b100111111 & -b100111111 5 -b100111111 G -1+ -#8648 -0+ -#8649 -b111100000 4 -b11110000000 3 -b101000000 & -b101000000 5 -b101000000 G -1+ -#8650 -0+ -#8651 -b111100000 . -b111100000 B -b111100000 : -b11110000001 3 -b101000001 & -b101000001 5 -b101000001 G -1+ -#8652 -0+ -#8653 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11110000010 3 -b101000010 & -b101000010 5 -b101000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8654 -0+ -#8655 -b11110000011 3 -b101000011 & -b101000011 5 -b101000011 G -1+ -#8656 -0+ -#8657 -b111100001 4 -b11110000100 3 -b101000100 & -b101000100 5 -b101000100 G -1+ -#8658 -0+ -#8659 -b111100001 . -b111100001 B -b111100001 : -b11110000101 3 -b101000101 & -b101000101 5 -b101000101 G -1+ -#8660 -0+ -#8661 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11110000110 3 -b101000110 & -b101000110 5 -b101000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8662 -0+ -#8663 -b11110000111 3 -b101000111 & -b101000111 5 -b101000111 G -1+ -#8664 -0+ -#8665 -b111100010 4 -b11110001000 3 -b101001000 & -b101001000 5 -b101001000 G -1+ -#8666 -0+ -#8667 -b111100010 . -b111100010 B -b111100010 : -b11110001001 3 -b101001001 & -b101001001 5 -b101001001 G -1+ -#8668 -0+ -#8669 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11110001010 3 -b101001010 & -b101001010 5 -b101001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8670 -0+ -#8671 -b11110001011 3 -b101001011 & -b101001011 5 -b101001011 G -1+ -#8672 -0+ -#8673 -b111100011 4 -b11110001100 3 -b101001100 & -b101001100 5 -b101001100 G -1+ -#8674 -0+ -#8675 -b111100011 . -b111100011 B -b111100011 : -b11110001101 3 -b101001101 & -b101001101 5 -b101001101 G -1+ -#8676 -0+ -#8677 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11110001110 3 -b101001110 & -b101001110 5 -b101001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8678 -0+ -#8679 -b11110001111 3 -b101001111 & -b101001111 5 -b101001111 G -1+ -#8680 -0+ -#8681 -b111100100 4 -b11110010000 3 -b101010000 & -b101010000 5 -b101010000 G -1+ -#8682 -0+ -#8683 -b111100100 . -b111100100 B -b111100100 : -b11110010001 3 -b101010001 & -b101010001 5 -b101010001 G -1+ -#8684 -0+ -#8685 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11110010010 3 -b101010010 & -b101010010 5 -b101010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8686 -0+ -#8687 -b11110010011 3 -b101010011 & -b101010011 5 -b101010011 G -1+ -#8688 -0+ -#8689 -b111100101 4 -b11110010100 3 -b101010100 & -b101010100 5 -b101010100 G -1+ -#8690 -0+ -#8691 -b111100101 . -b111100101 B -b111100101 : -b11110010101 3 -b101010101 & -b101010101 5 -b101010101 G -1+ -#8692 -0+ -#8693 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11110010110 3 -b101010110 & -b101010110 5 -b101010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8694 -0+ -#8695 -b11110010111 3 -b101010111 & -b101010111 5 -b101010111 G -1+ -#8696 -0+ -#8697 -b111100110 4 -b11110011000 3 -b101011000 & -b101011000 5 -b101011000 G -1+ -#8698 -0+ -#8699 -b111100110 . -b111100110 B -b111100110 : -b11110011001 3 -b101011001 & -b101011001 5 -b101011001 G -1+ -#8700 -0+ -#8701 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11110011010 3 -b101011010 & -b101011010 5 -b101011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8702 -0+ -#8703 -b11110011011 3 -b101011011 & -b101011011 5 -b101011011 G -1+ -#8704 -0+ -#8705 -b111100111 4 -b11110011100 3 -b101011100 & -b101011100 5 -b101011100 G -1+ -#8706 -0+ -#8707 -b111100111 . -b111100111 B -b111100111 : -b11110011101 3 -b101011101 & -b101011101 5 -b101011101 G -1+ -#8708 -0+ -#8709 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11110011110 3 -b101011110 & -b101011110 5 -b101011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8710 -0+ -#8711 -b11110011111 3 -b101011111 & -b101011111 5 -b101011111 G -1+ -#8712 -0+ -#8713 -b111101000 4 -b11110100000 3 -b101100000 & -b101100000 5 -b101100000 G -1+ -#8714 -0+ -#8715 -b111101000 . -b111101000 B -b111101000 : -b11110100001 3 -b101100001 & -b101100001 5 -b101100001 G -1+ -#8716 -0+ -#8717 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11110100010 3 -b101100010 & -b101100010 5 -b101100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8718 -0+ -#8719 -b11110100011 3 -b101100011 & -b101100011 5 -b101100011 G -1+ -#8720 -0+ -#8721 -b111101001 4 -b11110100100 3 -b101100100 & -b101100100 5 -b101100100 G -1+ -#8722 -0+ -#8723 -b111101001 . -b111101001 B -b111101001 : -b11110100101 3 -b101100101 & -b101100101 5 -b101100101 G -1+ -#8724 -0+ -#8725 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11110100110 3 -b101100110 & -b101100110 5 -b101100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8726 -0+ -#8727 -b11110100111 3 -b101100111 & -b101100111 5 -b101100111 G -1+ -#8728 -0+ -#8729 -b111101010 4 -b11110101000 3 -b101101000 & -b101101000 5 -b101101000 G -1+ -#8730 -0+ -#8731 -b111101010 . -b111101010 B -b111101010 : -b11110101001 3 -b101101001 & -b101101001 5 -b101101001 G -1+ -#8732 -0+ -#8733 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11110101010 3 -b101101010 & -b101101010 5 -b101101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8734 -0+ -#8735 -b11110101011 3 -b101101011 & -b101101011 5 -b101101011 G -1+ -#8736 -0+ -#8737 -b111101011 4 -b11110101100 3 -b101101100 & -b101101100 5 -b101101100 G -1+ -#8738 -0+ -#8739 -b111101011 . -b111101011 B -b111101011 : -b11110101101 3 -b101101101 & -b101101101 5 -b101101101 G -1+ -#8740 -0+ -#8741 -b1000 ' -b1000 6 -b100010000000 < -b11110101110 3 -b101101110 & -b101101110 5 -b101101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8742 -0+ -#8743 -b11110101111 3 -b101101111 & -b101101111 5 -b101101111 G -1+ -#8744 -0+ -#8745 -b111101100 4 -b11110110000 3 -b101110000 & -b101110000 5 -b101110000 G -1+ -#8746 -0+ -#8747 -b111101100 . -b111101100 B -b111101100 : -b11110110001 3 -b101110001 & -b101110001 5 -b101110001 G -1+ -#8748 -0+ -#8749 -b0 $ -b0 2 -b10000000 < -b11110110010 3 -b101110010 & -b101110010 5 -b101110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8750 -0+ -#8751 -b11110110011 3 -b101110011 & -b101110011 5 -b101110011 G -1+ -#8752 -0+ -#8753 -b111101101 4 -b11110110100 3 -b101110100 & -b101110100 5 -b101110100 G -1+ -#8754 -0+ -#8755 -b111101101 . -b111101101 B -b111101101 : -b11110110101 3 -b101110101 & -b101110101 5 -b101110101 G -1+ -#8756 -0+ -#8757 -b1000 * -b1000 9 -b10001000 < -b11110110110 3 -b101110110 & -b101110110 5 -b101110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8758 -0+ -#8759 -b11110110111 3 -b101110111 & -b101110111 5 -b101110111 G -1+ -#8760 -0+ -#8761 -b111101110 4 -b11110111000 3 -b101111000 & -b101111000 5 -b101111000 G -1+ -#8762 -0+ -#8763 -b111101110 . -b111101110 B -b111101110 : -b11110111001 3 -b101111001 & -b101111001 5 -b101111001 G -1+ -#8764 -0+ -#8765 -b0 ' -b0 6 -b1000 < -b11110111010 3 -b101111010 & -b101111010 5 -b101111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8766 -0+ -#8767 -b11110111011 3 -b101111011 & -b101111011 5 -b101111011 G -1+ -#8768 -0+ -#8769 -b111101111 4 -b11110111100 3 -b101111100 & -b101111100 5 -b101111100 G -1+ -#8770 -0+ -#8771 -b111101111 . -b111101111 B -b111101111 : -b11110111101 3 -b101111101 & -b101111101 5 -b101111101 G -1+ -#8772 -0+ -#8773 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11110111110 3 -b101111110 & -b101111110 5 -b101111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8774 -0+ -#8775 -b11110111111 3 -b101111111 & -b101111111 5 -b101111111 G -1+ -#8776 -0+ -#8777 -b111110000 4 -b11111000000 3 -b110000000 & -b110000000 5 -b110000000 G -1+ -#8778 -0+ -#8779 -b111110000 . -b111110000 B -b111110000 : -b11111000001 3 -b110000001 & -b110000001 5 -b110000001 G -1+ -#8780 -0+ -#8781 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11111000010 3 -b110000010 & -b110000010 5 -b110000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8782 -0+ -#8783 -b11111000011 3 -b110000011 & -b110000011 5 -b110000011 G -1+ -#8784 -0+ -#8785 -b111110001 4 -b11111000100 3 -b110000100 & -b110000100 5 -b110000100 G -1+ -#8786 -0+ -#8787 -b111110001 . -b111110001 B -b111110001 : -b11111000101 3 -b110000101 & -b110000101 5 -b110000101 G -1+ -#8788 -0+ -#8789 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11111000110 3 -b110000110 & -b110000110 5 -b110000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8790 -0+ -#8791 -b11111000111 3 -b110000111 & -b110000111 5 -b110000111 G -1+ -#8792 -0+ -#8793 -b111110010 4 -b11111001000 3 -b110001000 & -b110001000 5 -b110001000 G -1+ -#8794 -0+ -#8795 -b111110010 . -b111110010 B -b111110010 : -b11111001001 3 -b110001001 & -b110001001 5 -b110001001 G -1+ -#8796 -0+ -#8797 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11111001010 3 -b110001010 & -b110001010 5 -b110001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8798 -0+ -#8799 -b11111001011 3 -b110001011 & -b110001011 5 -b110001011 G -1+ -#8800 -0+ -#8801 -b111110011 4 -b11111001100 3 -b110001100 & -b110001100 5 -b110001100 G -1+ -#8802 -0+ -#8803 -b111110011 . -b111110011 B -b111110011 : -b11111001101 3 -b110001101 & -b110001101 5 -b110001101 G -1+ -#8804 -0+ -#8805 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11111001110 3 -b110001110 & -b110001110 5 -b110001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8806 -0+ -#8807 -b11111001111 3 -b110001111 & -b110001111 5 -b110001111 G -1+ -#8808 -0+ -#8809 -b111110100 4 -b11111010000 3 -b110010000 & -b110010000 5 -b110010000 G -1+ -#8810 -0+ -#8811 -b111110100 . -b111110100 B -b111110100 : -b11111010001 3 -b110010001 & -b110010001 5 -b110010001 G -1+ -#8812 -0+ -#8813 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11111010010 3 -b110010010 & -b110010010 5 -b110010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8814 -0+ -#8815 -b11111010011 3 -b110010011 & -b110010011 5 -b110010011 G -1+ -#8816 -0+ -#8817 -b111110101 4 -b11111010100 3 -b110010100 & -b110010100 5 -b110010100 G -1+ -#8818 -0+ -#8819 -b111110101 . -b111110101 B -b111110101 : -b11111010101 3 -b110010101 & -b110010101 5 -b110010101 G -1+ -#8820 -0+ -#8821 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11111010110 3 -b110010110 & -b110010110 5 -b110010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8822 -0+ -#8823 -b11111010111 3 -b110010111 & -b110010111 5 -b110010111 G -1+ -#8824 -0+ -#8825 -b111110110 4 -b11111011000 3 -b110011000 & -b110011000 5 -b110011000 G -1+ -#8826 -0+ -#8827 -b111110110 . -b111110110 B -b111110110 : -b11111011001 3 -b110011001 & -b110011001 5 -b110011001 G -1+ -#8828 -0+ -#8829 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11111011010 3 -b110011010 & -b110011010 5 -b110011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8830 -0+ -#8831 -b11111011011 3 -b110011011 & -b110011011 5 -b110011011 G -1+ -#8832 -0+ -#8833 -b111110111 4 -b11111011100 3 -b110011100 & -b110011100 5 -b110011100 G -1+ -#8834 -0+ -#8835 -b111110111 . -b111110111 B -b111110111 : -b11111011101 3 -b110011101 & -b110011101 5 -b110011101 G -1+ -#8836 -0+ -#8837 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11111011110 3 -b110011110 & -b110011110 5 -b110011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8838 -0+ -#8839 -b11111011111 3 -b110011111 & -b110011111 5 -b110011111 G -1+ -#8840 -0+ -#8841 -b111111000 4 -b11111100000 3 -b110100000 & -b110100000 5 -b110100000 G -1+ -#8842 -0+ -#8843 -b111111000 . -b111111000 B -b111111000 : -b11111100001 3 -b110100001 & -b110100001 5 -b110100001 G -1+ -#8844 -0+ -#8845 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11111100010 3 -b110100010 & -b110100010 5 -b110100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8846 -0+ -#8847 -b11111100011 3 -b110100011 & -b110100011 5 -b110100011 G -1+ -#8848 -0+ -#8849 -b111111001 4 -b11111100100 3 -b110100100 & -b110100100 5 -b110100100 G -1+ -#8850 -0+ -#8851 -b111111001 . -b111111001 B -b111111001 : -b11111100101 3 -b110100101 & -b110100101 5 -b110100101 G -1+ -#8852 -0+ -#8853 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11111100110 3 -b110100110 & -b110100110 5 -b110100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8854 -0+ -#8855 -b11111100111 3 -b110100111 & -b110100111 5 -b110100111 G -1+ -#8856 -0+ -#8857 -b111111010 4 -b11111101000 3 -b110101000 & -b110101000 5 -b110101000 G -1+ -#8858 -0+ -#8859 -b111111010 . -b111111010 B -b111111010 : -b11111101001 3 -b110101001 & -b110101001 5 -b110101001 G -1+ -#8860 -0+ -#8861 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11111101010 3 -b110101010 & -b110101010 5 -b110101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8862 -0+ -#8863 -b11111101011 3 -b110101011 & -b110101011 5 -b110101011 G -1+ -#8864 -0+ -#8865 -b111111011 4 -b11111101100 3 -b110101100 & -b110101100 5 -b110101100 G -1+ -#8866 -0+ -#8867 -b111111011 . -b111111011 B -b111111011 : -b11111101101 3 -b110101101 & -b110101101 5 -b110101101 G -1+ -#8868 -0+ -#8869 -b1000 ' -b1000 6 -b100010000000 < -b11111101110 3 -b110101110 & -b110101110 5 -b110101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8870 -0+ -#8871 -b11111101111 3 -b110101111 & -b110101111 5 -b110101111 G -1+ -#8872 -0+ -#8873 -b111111100 4 -b11111110000 3 -b110110000 & -b110110000 5 -b110110000 G -1+ -#8874 -0+ -#8875 -b111111100 . -b111111100 B -b111111100 : -b11111110001 3 -b110110001 & -b110110001 5 -b110110001 G -1+ -#8876 -0+ -#8877 -b0 $ -b0 2 -b10000000 < -b11111110010 3 -b110110010 & -b110110010 5 -b110110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#8878 -0+ -#8879 -b11111110011 3 -b110110011 & -b110110011 5 -b110110011 G -1+ -#8880 -0+ -#8881 -b111111101 4 -b11111110100 3 -b110110100 & -b110110100 5 -b110110100 G -1+ -#8882 -0+ -#8883 -b111111101 . -b111111101 B -b111111101 : -b11111110101 3 -b110110101 & -b110110101 5 -b110110101 G -1+ -#8884 -0+ -#8885 -b1000 * -b1000 9 -b10001000 < -b11111110110 3 -b110110110 & -b110110110 5 -b110110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#8886 -0+ -#8887 -b11111110111 3 -b110110111 & -b110110111 5 -b110110111 G -1+ -#8888 -0+ -#8889 -b111111110 4 -b11111111000 3 -b110111000 & -b110111000 5 -b110111000 G -1+ -#8890 -0+ -#8891 -b111111110 . -b111111110 B -b111111110 : -b11111111001 3 -b110111001 & -b110111001 5 -b110111001 G -1+ -#8892 -0+ -#8893 -b0 ' -b0 6 -b1000 < -b11111111010 3 -b110111010 & -b110111010 5 -b110111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#8894 -0+ -#8895 -b11111111011 3 -b110111011 & -b110111011 5 -b110111011 G -1+ -#8896 -0+ -#8897 -b111111111 4 -b11111111100 3 -b110111100 & -b110111100 5 -b110111100 G -1+ -#8898 -0+ -#8899 -b111111111 . -b111111111 B -b111111111 : -b11111111101 3 -b110111101 & -b110111101 5 -b110111101 G -1+ -#8900 -0+ -#8901 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11111111110 3 -b110111110 & -b110111110 5 -b110111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#8902 -0+ -#8903 -b11111111111 3 -b110111111 & -b110111111 5 -b110111111 G -1+ -#8904 -0+ -#8905 -b1000000000 4 -b100000000000 3 -b111000000 & -b111000000 5 -b111000000 G -1+ -#8906 -0+ -#8907 -b1000000000 . -b1000000000 B -b1000000000 : -b100000000001 3 -b111000001 & -b111000001 5 -b111000001 G -1+ -#8908 -0+ -#8909 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100000000010 3 -b111000010 & -b111000010 5 -b111000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#8910 -0+ -#8911 -b100000000011 3 -b111000011 & -b111000011 5 -b111000011 G -1+ -#8912 -0+ -#8913 -b1000000001 4 -b100000000100 3 -b111000100 & -b111000100 5 -b111000100 G -1+ -#8914 -0+ -#8915 -b1000000001 . -b1000000001 B -b1000000001 : -b100000000101 3 -b111000101 & -b111000101 5 -b111000101 G -1+ -#8916 -0+ -#8917 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100000000110 3 -b111000110 & -b111000110 5 -b111000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#8918 -0+ -#8919 -b100000000111 3 -b111000111 & -b111000111 5 -b111000111 G -1+ -#8920 -0+ -#8921 -b1000000010 4 -b100000001000 3 -b111001000 & -b111001000 5 -b111001000 G -1+ -#8922 -0+ -#8923 -b1000000010 . -b1000000010 B -b1000000010 : -b100000001001 3 -b111001001 & -b111001001 5 -b111001001 G -1+ -#8924 -0+ -#8925 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100000001010 3 -b111001010 & -b111001010 5 -b111001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#8926 -0+ -#8927 -b100000001011 3 -b111001011 & -b111001011 5 -b111001011 G -1+ -#8928 -0+ -#8929 -b1000000011 4 -b100000001100 3 -b111001100 & -b111001100 5 -b111001100 G -1+ -#8930 -0+ -#8931 -b1000000011 . -b1000000011 B -b1000000011 : -b100000001101 3 -b111001101 & -b111001101 5 -b111001101 G -1+ -#8932 -0+ -#8933 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100000001110 3 -b111001110 & -b111001110 5 -b111001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#8934 -0+ -#8935 -b100000001111 3 -b111001111 & -b111001111 5 -b111001111 G -1+ -#8936 -0+ -#8937 -b1000000100 4 -b100000010000 3 -b111010000 & -b111010000 5 -b111010000 G -1+ -#8938 -0+ -#8939 -b1000000100 . -b1000000100 B -b1000000100 : -b100000010001 3 -b111010001 & -b111010001 5 -b111010001 G -1+ -#8940 -0+ -#8941 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100000010010 3 -b111010010 & -b111010010 5 -b111010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#8942 -0+ -#8943 -b100000010011 3 -b111010011 & -b111010011 5 -b111010011 G -1+ -#8944 -0+ -#8945 -b1000000101 4 -b100000010100 3 -b111010100 & -b111010100 5 -b111010100 G -1+ -#8946 -0+ -#8947 -b1000000101 . -b1000000101 B -b1000000101 : -b100000010101 3 -b111010101 & -b111010101 5 -b111010101 G -1+ -#8948 -0+ -#8949 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100000010110 3 -b111010110 & -b111010110 5 -b111010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#8950 -0+ -#8951 -b100000010111 3 -b111010111 & -b111010111 5 -b111010111 G -1+ -#8952 -0+ -#8953 -b1000000110 4 -b100000011000 3 -b111011000 & -b111011000 5 -b111011000 G -1+ -#8954 -0+ -#8955 -b1000000110 . -b1000000110 B -b1000000110 : -b100000011001 3 -b111011001 & -b111011001 5 -b111011001 G -1+ -#8956 -0+ -#8957 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100000011010 3 -b111011010 & -b111011010 5 -b111011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#8958 -0+ -#8959 -b100000011011 3 -b111011011 & -b111011011 5 -b111011011 G -1+ -#8960 -0+ -#8961 -b1000000111 4 -b100000011100 3 -b111011100 & -b111011100 5 -b111011100 G -1+ -#8962 -0+ -#8963 -b1000000111 . -b1000000111 B -b1000000111 : -b100000011101 3 -b111011101 & -b111011101 5 -b111011101 G -1+ -#8964 -0+ -#8965 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100000011110 3 -b111011110 & -b111011110 5 -b111011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#8966 -0+ -#8967 -b100000011111 3 -b111011111 & -b111011111 5 -b111011111 G -1+ -#8968 -0+ -#8969 -b1000001000 4 -b100000100000 3 -b111100000 & -b111100000 5 -b111100000 G -1+ -#8970 -0+ -#8971 -b1000001000 . -b1000001000 B -b1000001000 : -b100000100001 3 -b111100001 & -b111100001 5 -b111100001 G -1+ -#8972 -0+ -#8973 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100000100010 3 -b111100010 & -b111100010 5 -b111100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#8974 -0+ -#8975 -b100000100011 3 -b111100011 & -b111100011 5 -b111100011 G -1+ -#8976 -0+ -#8977 -b1000001001 4 -b100000100100 3 -b111100100 & -b111100100 5 -b111100100 G -1+ -#8978 -0+ -#8979 -b1000001001 . -b1000001001 B -b1000001001 : -b100000100101 3 -b111100101 & -b111100101 5 -b111100101 G -1+ -#8980 -0+ -#8981 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100000100110 3 -b111100110 & -b111100110 5 -b111100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#8982 -0+ -#8983 -b100000100111 3 -b111100111 & -b111100111 5 -b111100111 G -1+ -#8984 -0+ -#8985 -b1000001010 4 -b100000101000 3 -b111101000 & -b111101000 5 -b111101000 G -1+ -#8986 -0+ -#8987 -b1000001010 . -b1000001010 B -b1000001010 : -b100000101001 3 -b111101001 & -b111101001 5 -b111101001 G -1+ -#8988 -0+ -#8989 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100000101010 3 -b111101010 & -b111101010 5 -b111101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#8990 -0+ -#8991 -b100000101011 3 -b111101011 & -b111101011 5 -b111101011 G -1+ -#8992 -0+ -#8993 -b1000001011 4 -b100000101100 3 -b111101100 & -b111101100 5 -b111101100 G -1+ -#8994 -0+ -#8995 -b1000001011 . -b1000001011 B -b1000001011 : -b100000101101 3 -b111101101 & -b111101101 5 -b111101101 G -1+ -#8996 -0+ -#8997 -b1000 ' -b1000 6 -b100010000000 < -b100000101110 3 -b111101110 & -b111101110 5 -b111101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#8998 -0+ -#8999 -b100000101111 3 -b111101111 & -b111101111 5 -b111101111 G -1+ -#9000 -0+ -#9001 -b1000001100 4 -b100000110000 3 -b111110000 & -b111110000 5 -b111110000 G -1+ -#9002 -0+ -#9003 -b1000001100 . -b1000001100 B -b1000001100 : -b100000110001 3 -b111110001 & -b111110001 5 -b111110001 G -1+ -#9004 -0+ -#9005 -b0 $ -b0 2 -b10000000 < -b100000110010 3 -b111110010 & -b111110010 5 -b111110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9006 -0+ -#9007 -b100000110011 3 -b111110011 & -b111110011 5 -b111110011 G -1+ -#9008 -0+ -#9009 -b1000001101 4 -b100000110100 3 -b111110100 & -b111110100 5 -b111110100 G -1+ -#9010 -0+ -#9011 -b1000001101 . -b1000001101 B -b1000001101 : -b100000110101 3 -b111110101 & -b111110101 5 -b111110101 G -1+ -#9012 -0+ -#9013 -b1000 * -b1000 9 -b10001000 < -b100000110110 3 -b111110110 & -b111110110 5 -b111110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9014 -0+ -#9015 -b100000110111 3 -b111110111 & -b111110111 5 -b111110111 G -1+ -#9016 -0+ -#9017 -b1000001110 4 -b100000111000 3 -b111111000 & -b111111000 5 -b111111000 G -1+ -#9018 -0+ -#9019 -b1000001110 . -b1000001110 B -b1000001110 : -b100000111001 3 -b111111001 & -b111111001 5 -b111111001 G -1+ -#9020 -0+ -#9021 -b0 ' -b0 6 -b1000 < -b100000111010 3 -b111111010 & -b111111010 5 -b111111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9022 -0+ -#9023 -b100000111011 3 -b111111011 & -b111111011 5 -b111111011 G -1+ -#9024 -0+ -#9025 -b1000001111 4 -b100000111100 3 -b111111100 & -b111111100 5 -b111111100 G -1+ -#9026 -0+ -#9027 -b1000001111 . -b1000001111 B -b1000001111 : -b100000111101 3 -b111111101 & -b111111101 5 -b111111101 G -1+ -#9028 -0+ -#9029 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100000111110 3 -b111111110 & -b111111110 5 -b111111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9030 -0+ -#9031 -b100000111111 3 -b111111111 & -b111111111 5 -b111111111 G -1+ -#9032 -0+ -#9033 -b1000010000 4 -b100001000000 3 -b1000000000 & -b1000000000 5 -b1000000000 G -1+ -#9034 -0+ -#9035 -b1000010000 . -b1000010000 B -b1000010000 : -b100001000001 3 -b1000000001 & -b1000000001 5 -b1000000001 G -1+ -#9036 -0+ -#9037 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100001000010 3 -b1000000010 & -b1000000010 5 -b1000000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9038 -0+ -#9039 -b100001000011 3 -b1000000011 & -b1000000011 5 -b1000000011 G -1+ -#9040 -0+ -#9041 -b1000010001 4 -b100001000100 3 -b1000000100 & -b1000000100 5 -b1000000100 G -1+ -#9042 -0+ -#9043 -b1000010001 . -b1000010001 B -b1000010001 : -b100001000101 3 -b1000000101 & -b1000000101 5 -b1000000101 G -1+ -#9044 -0+ -#9045 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100001000110 3 -b1000000110 & -b1000000110 5 -b1000000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9046 -0+ -#9047 -b100001000111 3 -b1000000111 & -b1000000111 5 -b1000000111 G -1+ -#9048 -0+ -#9049 -b1000010010 4 -b100001001000 3 -b1000001000 & -b1000001000 5 -b1000001000 G -1+ -#9050 -0+ -#9051 -b1000010010 . -b1000010010 B -b1000010010 : -b100001001001 3 -b1000001001 & -b1000001001 5 -b1000001001 G -1+ -#9052 -0+ -#9053 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100001001010 3 -b1000001010 & -b1000001010 5 -b1000001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9054 -0+ -#9055 -b100001001011 3 -b1000001011 & -b1000001011 5 -b1000001011 G -1+ -#9056 -0+ -#9057 -b1000010011 4 -b100001001100 3 -b1000001100 & -b1000001100 5 -b1000001100 G -1+ -#9058 -0+ -#9059 -b1000010011 . -b1000010011 B -b1000010011 : -b100001001101 3 -b1000001101 & -b1000001101 5 -b1000001101 G -1+ -#9060 -0+ -#9061 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100001001110 3 -b1000001110 & -b1000001110 5 -b1000001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9062 -0+ -#9063 -b100001001111 3 -b1000001111 & -b1000001111 5 -b1000001111 G -1+ -#9064 -0+ -#9065 -b1000010100 4 -b100001010000 3 -b1000010000 & -b1000010000 5 -b1000010000 G -1+ -#9066 -0+ -#9067 -b1000010100 . -b1000010100 B -b1000010100 : -b100001010001 3 -b1000010001 & -b1000010001 5 -b1000010001 G -1+ -#9068 -0+ -#9069 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100001010010 3 -b1000010010 & -b1000010010 5 -b1000010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9070 -0+ -#9071 -b100001010011 3 -b1000010011 & -b1000010011 5 -b1000010011 G -1+ -#9072 -0+ -#9073 -b1000010101 4 -b100001010100 3 -b1000010100 & -b1000010100 5 -b1000010100 G -1+ -#9074 -0+ -#9075 -b1000010101 . -b1000010101 B -b1000010101 : -b100001010101 3 -b1000010101 & -b1000010101 5 -b1000010101 G -1+ -#9076 -0+ -#9077 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100001010110 3 -b1000010110 & -b1000010110 5 -b1000010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9078 -0+ -#9079 -b100001010111 3 -b1000010111 & -b1000010111 5 -b1000010111 G -1+ -#9080 -0+ -#9081 -b1000010110 4 -b100001011000 3 -b1000011000 & -b1000011000 5 -b1000011000 G -1+ -#9082 -0+ -#9083 -b1000010110 . -b1000010110 B -b1000010110 : -b100001011001 3 -b1000011001 & -b1000011001 5 -b1000011001 G -1+ -#9084 -0+ -#9085 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100001011010 3 -b1000011010 & -b1000011010 5 -b1000011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9086 -0+ -#9087 -b100001011011 3 -b1000011011 & -b1000011011 5 -b1000011011 G -1+ -#9088 -0+ -#9089 -b1000010111 4 -b100001011100 3 -b1000011100 & -b1000011100 5 -b1000011100 G -1+ -#9090 -0+ -#9091 -b1000010111 . -b1000010111 B -b1000010111 : -b100001011101 3 -b1000011101 & -b1000011101 5 -b1000011101 G -1+ -#9092 -0+ -#9093 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100001011110 3 -b1000011110 & -b1000011110 5 -b1000011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9094 -0+ -#9095 -b100001011111 3 -b1000011111 & -b1000011111 5 -b1000011111 G -1+ -#9096 -0+ -#9097 -b1000011000 4 -b100001100000 3 -b1000100000 & -b1000100000 5 -b1000100000 G -1+ -#9098 -0+ -#9099 -b1000011000 . -b1000011000 B -b1000011000 : -b100001100001 3 -b1000100001 & -b1000100001 5 -b1000100001 G -1+ -#9100 -0+ -#9101 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100001100010 3 -b1000100010 & -b1000100010 5 -b1000100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9102 -0+ -#9103 -b100001100011 3 -b1000100011 & -b1000100011 5 -b1000100011 G -1+ -#9104 -0+ -#9105 -b1000011001 4 -b100001100100 3 -b1000100100 & -b1000100100 5 -b1000100100 G -1+ -#9106 -0+ -#9107 -b1000011001 . -b1000011001 B -b1000011001 : -b100001100101 3 -b1000100101 & -b1000100101 5 -b1000100101 G -1+ -#9108 -0+ -#9109 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100001100110 3 -b1000100110 & -b1000100110 5 -b1000100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9110 -0+ -#9111 -b100001100111 3 -b1000100111 & -b1000100111 5 -b1000100111 G -1+ -#9112 -0+ -#9113 -b1000011010 4 -b100001101000 3 -b1000101000 & -b1000101000 5 -b1000101000 G -1+ -#9114 -0+ -#9115 -b1000011010 . -b1000011010 B -b1000011010 : -b100001101001 3 -b1000101001 & -b1000101001 5 -b1000101001 G -1+ -#9116 -0+ -#9117 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100001101010 3 -b1000101010 & -b1000101010 5 -b1000101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9118 -0+ -#9119 -b100001101011 3 -b1000101011 & -b1000101011 5 -b1000101011 G -1+ -#9120 -0+ -#9121 -b1000011011 4 -b100001101100 3 -b1000101100 & -b1000101100 5 -b1000101100 G -1+ -#9122 -0+ -#9123 -b1000011011 . -b1000011011 B -b1000011011 : -b100001101101 3 -b1000101101 & -b1000101101 5 -b1000101101 G -1+ -#9124 -0+ -#9125 -b1000 ' -b1000 6 -b100010000000 < -b100001101110 3 -b1000101110 & -b1000101110 5 -b1000101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9126 -0+ -#9127 -b100001101111 3 -b1000101111 & -b1000101111 5 -b1000101111 G -1+ -#9128 -0+ -#9129 -b1000011100 4 -b100001110000 3 -b1000110000 & -b1000110000 5 -b1000110000 G -1+ -#9130 -0+ -#9131 -b1000011100 . -b1000011100 B -b1000011100 : -b100001110001 3 -b1000110001 & -b1000110001 5 -b1000110001 G -1+ -#9132 -0+ -#9133 -b0 $ -b0 2 -b10000000 < -b100001110010 3 -b1000110010 & -b1000110010 5 -b1000110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9134 -0+ -#9135 -b100001110011 3 -b1000110011 & -b1000110011 5 -b1000110011 G -1+ -#9136 -0+ -#9137 -b1000011101 4 -b100001110100 3 -b1000110100 & -b1000110100 5 -b1000110100 G -1+ -#9138 -0+ -#9139 -b1000011101 . -b1000011101 B -b1000011101 : -b100001110101 3 -b1000110101 & -b1000110101 5 -b1000110101 G -1+ -#9140 -0+ -#9141 -b1000 * -b1000 9 -b10001000 < -b100001110110 3 -b1000110110 & -b1000110110 5 -b1000110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9142 -0+ -#9143 -b100001110111 3 -b1000110111 & -b1000110111 5 -b1000110111 G -1+ -#9144 -0+ -#9145 -b1000011110 4 -b100001111000 3 -b1000111000 & -b1000111000 5 -b1000111000 G -1+ -#9146 -0+ -#9147 -b1000011110 . -b1000011110 B -b1000011110 : -b100001111001 3 -b1000111001 & -b1000111001 5 -b1000111001 G -1+ -#9148 -0+ -#9149 -b0 ' -b0 6 -b1000 < -b100001111010 3 -b1000111010 & -b1000111010 5 -b1000111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9150 -0+ -#9151 -b100001111011 3 -b1000111011 & -b1000111011 5 -b1000111011 G -1+ -#9152 -0+ -#9153 -b1000011111 4 -b100001111100 3 -b1000111100 & -b1000111100 5 -b1000111100 G -1+ -#9154 -0+ -#9155 -b1000011111 . -b1000011111 B -b1000011111 : -b100001111101 3 -b1000111101 & -b1000111101 5 -b1000111101 G -1+ -#9156 -0+ -#9157 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100001111110 3 -b1000111110 & -b1000111110 5 -b1000111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9158 -0+ -#9159 -b100001111111 3 -b1000111111 & -b1000111111 5 -b1000111111 G -1+ -#9160 -0+ -#9161 -b1000100000 4 -b100010000000 3 -b1001000000 & -b1001000000 5 -b1001000000 G -1+ -#9162 -0+ -#9163 -b1000100000 . -b1000100000 B -b1000100000 : -b100010000001 3 -b1001000001 & -b1001000001 5 -b1001000001 G -1+ -#9164 -0+ -#9165 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100010000010 3 -b1001000010 & -b1001000010 5 -b1001000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9166 -0+ -#9167 -b100010000011 3 -b1001000011 & -b1001000011 5 -b1001000011 G -1+ -#9168 -0+ -#9169 -b1000100001 4 -b100010000100 3 -b1001000100 & -b1001000100 5 -b1001000100 G -1+ -#9170 -0+ -#9171 -b1000100001 . -b1000100001 B -b1000100001 : -b100010000101 3 -b1001000101 & -b1001000101 5 -b1001000101 G -1+ -#9172 -0+ -#9173 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100010000110 3 -b1001000110 & -b1001000110 5 -b1001000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9174 -0+ -#9175 -b100010000111 3 -b1001000111 & -b1001000111 5 -b1001000111 G -1+ -#9176 -0+ -#9177 -b1000100010 4 -b100010001000 3 -b1001001000 & -b1001001000 5 -b1001001000 G -1+ -#9178 -0+ -#9179 -b1000100010 . -b1000100010 B -b1000100010 : -b100010001001 3 -b1001001001 & -b1001001001 5 -b1001001001 G -1+ -#9180 -0+ -#9181 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100010001010 3 -b1001001010 & -b1001001010 5 -b1001001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9182 -0+ -#9183 -b100010001011 3 -b1001001011 & -b1001001011 5 -b1001001011 G -1+ -#9184 -0+ -#9185 -b1000100011 4 -b100010001100 3 -b1001001100 & -b1001001100 5 -b1001001100 G -1+ -#9186 -0+ -#9187 -b1000100011 . -b1000100011 B -b1000100011 : -b100010001101 3 -b1001001101 & -b1001001101 5 -b1001001101 G -1+ -#9188 -0+ -#9189 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100010001110 3 -b1001001110 & -b1001001110 5 -b1001001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9190 -0+ -#9191 -b100010001111 3 -b1001001111 & -b1001001111 5 -b1001001111 G -1+ -#9192 -0+ -#9193 -b1000100100 4 -b100010010000 3 -b1001010000 & -b1001010000 5 -b1001010000 G -1+ -#9194 -0+ -#9195 -b1000100100 . -b1000100100 B -b1000100100 : -b100010010001 3 -b1001010001 & -b1001010001 5 -b1001010001 G -1+ -#9196 -0+ -#9197 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100010010010 3 -b1001010010 & -b1001010010 5 -b1001010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9198 -0+ -#9199 -b100010010011 3 -b1001010011 & -b1001010011 5 -b1001010011 G -1+ -#9200 -0+ -#9201 -b1000100101 4 -b100010010100 3 -b1001010100 & -b1001010100 5 -b1001010100 G -1+ -#9202 -0+ -#9203 -b1000100101 . -b1000100101 B -b1000100101 : -b100010010101 3 -b1001010101 & -b1001010101 5 -b1001010101 G -1+ -#9204 -0+ -#9205 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100010010110 3 -b1001010110 & -b1001010110 5 -b1001010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9206 -0+ -#9207 -b100010010111 3 -b1001010111 & -b1001010111 5 -b1001010111 G -1+ -#9208 -0+ -#9209 -b1000100110 4 -b100010011000 3 -b1001011000 & -b1001011000 5 -b1001011000 G -1+ -#9210 -0+ -#9211 -b1000100110 . -b1000100110 B -b1000100110 : -b100010011001 3 -b1001011001 & -b1001011001 5 -b1001011001 G -1+ -#9212 -0+ -#9213 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100010011010 3 -b1001011010 & -b1001011010 5 -b1001011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9214 -0+ -#9215 -b100010011011 3 -b1001011011 & -b1001011011 5 -b1001011011 G -1+ -#9216 -0+ -#9217 -b1000100111 4 -b100010011100 3 -b1001011100 & -b1001011100 5 -b1001011100 G -1+ -#9218 -0+ -#9219 -b1000100111 . -b1000100111 B -b1000100111 : -b100010011101 3 -b1001011101 & -b1001011101 5 -b1001011101 G -1+ -#9220 -0+ -#9221 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100010011110 3 -b1001011110 & -b1001011110 5 -b1001011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9222 -0+ -#9223 -b100010011111 3 -b1001011111 & -b1001011111 5 -b1001011111 G -1+ -#9224 -0+ -#9225 -b1000101000 4 -b100010100000 3 -b1001100000 & -b1001100000 5 -b1001100000 G -1+ -#9226 -0+ -#9227 -b1000101000 . -b1000101000 B -b1000101000 : -b100010100001 3 -b1001100001 & -b1001100001 5 -b1001100001 G -1+ -#9228 -0+ -#9229 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100010100010 3 -b1001100010 & -b1001100010 5 -b1001100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9230 -0+ -#9231 -b100010100011 3 -b1001100011 & -b1001100011 5 -b1001100011 G -1+ -#9232 -0+ -#9233 -b1000101001 4 -b100010100100 3 -b1001100100 & -b1001100100 5 -b1001100100 G -1+ -#9234 -0+ -#9235 -b1000101001 . -b1000101001 B -b1000101001 : -b100010100101 3 -b1001100101 & -b1001100101 5 -b1001100101 G -1+ -#9236 -0+ -#9237 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100010100110 3 -b1001100110 & -b1001100110 5 -b1001100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9238 -0+ -#9239 -b100010100111 3 -b1001100111 & -b1001100111 5 -b1001100111 G -1+ -#9240 -0+ -#9241 -b1000101010 4 -b100010101000 3 -b1001101000 & -b1001101000 5 -b1001101000 G -1+ -#9242 -0+ -#9243 -b1000101010 . -b1000101010 B -b1000101010 : -b100010101001 3 -b1001101001 & -b1001101001 5 -b1001101001 G -1+ -#9244 -0+ -#9245 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100010101010 3 -b1001101010 & -b1001101010 5 -b1001101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9246 -0+ -#9247 -b100010101011 3 -b1001101011 & -b1001101011 5 -b1001101011 G -1+ -#9248 -0+ -#9249 -b1000101011 4 -b100010101100 3 -b1001101100 & -b1001101100 5 -b1001101100 G -1+ -#9250 -0+ -#9251 -b1000101011 . -b1000101011 B -b1000101011 : -b100010101101 3 -b1001101101 & -b1001101101 5 -b1001101101 G -1+ -#9252 -0+ -#9253 -b1000 ' -b1000 6 -b100010000000 < -b100010101110 3 -b1001101110 & -b1001101110 5 -b1001101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9254 -0+ -#9255 -b100010101111 3 -b1001101111 & -b1001101111 5 -b1001101111 G -1+ -#9256 -0+ -#9257 -b1000101100 4 -b100010110000 3 -b1001110000 & -b1001110000 5 -b1001110000 G -1+ -#9258 -0+ -#9259 -b1000101100 . -b1000101100 B -b1000101100 : -b100010110001 3 -b1001110001 & -b1001110001 5 -b1001110001 G -1+ -#9260 -0+ -#9261 -b0 $ -b0 2 -b10000000 < -b100010110010 3 -b1001110010 & -b1001110010 5 -b1001110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9262 -0+ -#9263 -b100010110011 3 -b1001110011 & -b1001110011 5 -b1001110011 G -1+ -#9264 -0+ -#9265 -b1000101101 4 -b100010110100 3 -b1001110100 & -b1001110100 5 -b1001110100 G -1+ -#9266 -0+ -#9267 -b1000101101 . -b1000101101 B -b1000101101 : -b100010110101 3 -b1001110101 & -b1001110101 5 -b1001110101 G -1+ -#9268 -0+ -#9269 -b1000 * -b1000 9 -b10001000 < -b100010110110 3 -b1001110110 & -b1001110110 5 -b1001110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9270 -0+ -#9271 -b100010110111 3 -b1001110111 & -b1001110111 5 -b1001110111 G -1+ -#9272 -0+ -#9273 -b1000101110 4 -b100010111000 3 -b1001111000 & -b1001111000 5 -b1001111000 G -1+ -#9274 -0+ -#9275 -b1000101110 . -b1000101110 B -b1000101110 : -b100010111001 3 -b1001111001 & -b1001111001 5 -b1001111001 G -1+ -#9276 -0+ -#9277 -b0 ' -b0 6 -b1000 < -b100010111010 3 -b1001111010 & -b1001111010 5 -b1001111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9278 -0+ -#9279 -b100010111011 3 -b1001111011 & -b1001111011 5 -b1001111011 G -1+ -#9280 -0+ -#9281 -b1000101111 4 -b100010111100 3 -b1001111100 & -b1001111100 5 -b1001111100 G -1+ -#9282 -0+ -#9283 -b1000101111 . -b1000101111 B -b1000101111 : -b100010111101 3 -b1001111101 & -b1001111101 5 -b1001111101 G -1+ -#9284 -0+ -#9285 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100010111110 3 -b1001111110 & -b1001111110 5 -b1001111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9286 -0+ -#9287 -b100010111111 3 -b1001111111 & -b1001111111 5 -b1001111111 G -1+ -#9288 -0+ -#9289 -0( -b1000110000 4 -b100011000000 3 -b1010000000 & -b1010000000 5 -b1010000000 G -1+ -#9290 -0+ -#9291 -b1000110000 . -b1000110000 B -b1000110000 : -b100011000001 3 -b1010000001 & -b1010000001 5 -b1010000001 G -1+ -#9292 -0+ -#9293 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100011000010 3 -b1010000010 & -b1010000010 5 -b1010000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9294 -0+ -#9295 -b100011000011 3 -b1010000011 & -b1010000011 5 -b1010000011 G -1+ -#9296 -0+ -#9297 -b1000110001 4 -b100011000100 3 -b1010000100 & -b1010000100 5 -b1010000100 G -1+ -#9298 -0+ -#9299 -b1000110001 . -b1000110001 B -b1000110001 : -b100011000101 3 -b1010000101 & -b1010000101 5 -b1010000101 G -1+ -#9300 -0+ -#9301 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100011000110 3 -b1010000110 & -b1010000110 5 -b1010000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9302 -0+ -#9303 -b100011000111 3 -b1010000111 & -b1010000111 5 -b1010000111 G -1+ -#9304 -0+ -#9305 -b1000110010 4 -b100011001000 3 -b1010001000 & -b1010001000 5 -b1010001000 G -1+ -#9306 -0+ -#9307 -b1000110010 . -b1000110010 B -b1000110010 : -b100011001001 3 -b1010001001 & -b1010001001 5 -b1010001001 G -1+ -#9308 -0+ -#9309 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100011001010 3 -b1010001010 & -b1010001010 5 -b1010001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9310 -0+ -#9311 -b100011001011 3 -b1010001011 & -b1010001011 5 -b1010001011 G -1+ -#9312 -0+ -#9313 -b1000110011 4 -b100011001100 3 -b1010001100 & -b1010001100 5 -b1010001100 G -1+ -#9314 -0+ -#9315 -b1000110011 . -b1000110011 B -b1000110011 : -b100011001101 3 -b1010001101 & -b1010001101 5 -b1010001101 G -1+ -#9316 -0+ -#9317 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100011001110 3 -b1010001110 & -b1010001110 5 -b1010001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9318 -0+ -#9319 -b100011001111 3 -b1010001111 & -b1010001111 5 -b1010001111 G -1+ -#9320 -0+ -#9321 -0% -b1000110100 4 -b100011010000 3 -b1010010000 & -b1010010000 5 -b1010010000 G -1+ -#9322 -0+ -#9323 -b1000110100 . -b1000110100 B -b1000110100 : -b100011010001 3 -b1010010001 & -b1010010001 5 -b1010010001 G -1+ -#9324 -0+ -#9325 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100011010010 3 -b1010010010 & -b1010010010 5 -b1010010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9326 -0+ -#9327 -b100011010011 3 -b1010010011 & -b1010010011 5 -b1010010011 G -1+ -#9328 -0+ -#9329 -b1000110101 4 -b100011010100 3 -b1010010100 & -b1010010100 5 -b1010010100 G -1+ -#9330 -0+ -#9331 -b1000110101 . -b1000110101 B -b1000110101 : -b100011010101 3 -b1010010101 & -b1010010101 5 -b1010010101 G -1+ -#9332 -0+ -#9333 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100011010110 3 -b1010010110 & -b1010010110 5 -b1010010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9334 -0+ -#9335 -b100011010111 3 -b1010010111 & -b1010010111 5 -b1010010111 G -1+ -#9336 -0+ -#9337 -b1000110110 4 -b100011011000 3 -b1010011000 & -b1010011000 5 -b1010011000 G -1+ -#9338 -0+ -#9339 -b1000110110 . -b1000110110 B -b1000110110 : -b100011011001 3 -b1010011001 & -b1010011001 5 -b1010011001 G -1+ -#9340 -0+ -#9341 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100011011010 3 -b1010011010 & -b1010011010 5 -b1010011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9342 -0+ -#9343 -b100011011011 3 -b1010011011 & -b1010011011 5 -b1010011011 G -1+ -#9344 -0+ -#9345 -b1000110111 4 -b100011011100 3 -b1010011100 & -b1010011100 5 -b1010011100 G -1+ -#9346 -0+ -#9347 -b1000110111 . -b1000110111 B -b1000110111 : -b100011011101 3 -b1010011101 & -b1010011101 5 -b1010011101 G -1+ -#9348 -0+ -#9349 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100011011110 3 -b1010011110 & -b1010011110 5 -b1010011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9350 -0+ -#9351 -b100011011111 3 -b1010011111 & -b1010011111 5 -b1010011111 G -1+ -#9352 -0+ -#9353 -b1000111000 4 -b100011100000 3 -b1010100000 & -b1010100000 5 -b1010100000 G -1+ -#9354 -0+ -#9355 -b1000111000 . -b1000111000 B -b1000111000 : -b100011100001 3 -b1010100001 & -b1010100001 5 -b1010100001 G -1+ -#9356 -0+ -#9357 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100011100010 3 -b1010100010 & -b1010100010 5 -b1010100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9358 -0+ -#9359 -b100011100011 3 -b1010100011 & -b1010100011 5 -b1010100011 G -1+ -#9360 -0+ -#9361 -b1000111001 4 -b100011100100 3 -b1010100100 & -b1010100100 5 -b1010100100 G -1+ -#9362 -0+ -#9363 -b1000111001 . -b1000111001 B -b1000111001 : -b100011100101 3 -b1010100101 & -b1010100101 5 -b1010100101 G -1+ -#9364 -0+ -#9365 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100011100110 3 -b1010100110 & -b1010100110 5 -b1010100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9366 -0+ -#9367 -b100011100111 3 -b1010100111 & -b1010100111 5 -b1010100111 G -1+ -#9368 -0+ -#9369 -b1000111010 4 -b100011101000 3 -b1010101000 & -b1010101000 5 -b1010101000 G -1+ -#9370 -0+ -#9371 -b1000111010 . -b1000111010 B -b1000111010 : -b100011101001 3 -b1010101001 & -b1010101001 5 -b1010101001 G -1+ -#9372 -0+ -#9373 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100011101010 3 -b1010101010 & -b1010101010 5 -b1010101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9374 -0+ -#9375 -b100011101011 3 -b1010101011 & -b1010101011 5 -b1010101011 G -1+ -#9376 -0+ -#9377 -b1000111011 4 -b100011101100 3 -b1010101100 & -b1010101100 5 -b1010101100 G -1+ -#9378 -0+ -#9379 -b1000111011 . -b1000111011 B -b1000111011 : -b100011101101 3 -b1010101101 & -b1010101101 5 -b1010101101 G -1+ -#9380 -0+ -#9381 -b1000 ' -b1000 6 -b100010000000 < -b100011101110 3 -b1010101110 & -b1010101110 5 -b1010101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9382 -0+ -#9383 -b100011101111 3 -b1010101111 & -b1010101111 5 -b1010101111 G -1+ -#9384 -0+ -#9385 -b1000111100 4 -b100011110000 3 -b1010110000 & -b1010110000 5 -b1010110000 G -1+ -#9386 -0+ -#9387 -b1000111100 . -b1000111100 B -b1000111100 : -b100011110001 3 -b1010110001 & -b1010110001 5 -b1010110001 G -1+ -#9388 -0+ -#9389 -b0 $ -b0 2 -b10000000 < -b100011110010 3 -b1010110010 & -b1010110010 5 -b1010110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9390 -0+ -#9391 -b100011110011 3 -b1010110011 & -b1010110011 5 -b1010110011 G -1+ -#9392 -0+ -#9393 -b1000111101 4 -b100011110100 3 -b1010110100 & -b1010110100 5 -b1010110100 G -1+ -#9394 -0+ -#9395 -b1000111101 . -b1000111101 B -b1000111101 : -b100011110101 3 -b1010110101 & -b1010110101 5 -b1010110101 G -1+ -#9396 -0+ -#9397 -b1000 * -b1000 9 -b10001000 < -b100011110110 3 -b1010110110 & -b1010110110 5 -b1010110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9398 -0+ -#9399 -b100011110111 3 -b1010110111 & -b1010110111 5 -b1010110111 G -1+ -#9400 -0+ -#9401 -b1000111110 4 -b100011111000 3 -b1010111000 & -b1010111000 5 -b1010111000 G -1+ -#9402 -0+ -#9403 -b1000111110 . -b1000111110 B -b1000111110 : -b100011111001 3 -b1010111001 & -b1010111001 5 -b1010111001 G -1+ -#9404 -0+ -#9405 -b0 ' -b0 6 -b1000 < -b100011111010 3 -b1010111010 & -b1010111010 5 -b1010111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9406 -0+ -#9407 -b100011111011 3 -b1010111011 & -b1010111011 5 -b1010111011 G -1+ -#9408 -0+ -#9409 -b1000111111 4 -b100011111100 3 -b1010111100 & -b1010111100 5 -b1010111100 G -1+ -#9410 -0+ -#9411 -b1000111111 . -b1000111111 B -b1000111111 : -b100011111101 3 -b1010111101 & -b1010111101 5 -b1010111101 G -1+ -#9412 -0+ -#9413 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100011111110 3 -b1010111110 & -b1010111110 5 -b1010111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9414 -0+ -#9415 -b100011111111 3 -b1010111111 & -b1010111111 5 -b1010111111 G -1+ -#9416 -0+ -#9417 -b1001000000 4 -b100100000000 3 -b1011000000 & -b1011000000 5 -b1011000000 G -1+ -#9418 -0+ -#9419 -b1001000000 . -b1001000000 B -b1001000000 : -b100100000001 3 -b1011000001 & -b1011000001 5 -b1011000001 G -1+ -#9420 -0+ -#9421 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100100000010 3 -b1011000010 & -b1011000010 5 -b1011000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9422 -0+ -#9423 -b100100000011 3 -b1011000011 & -b1011000011 5 -b1011000011 G -1+ -#9424 -0+ -#9425 -b1001000001 4 -b100100000100 3 -b1011000100 & -b1011000100 5 -b1011000100 G -1+ -#9426 -0+ -#9427 -b1001000001 . -b1001000001 B -b1001000001 : -b100100000101 3 -b1011000101 & -b1011000101 5 -b1011000101 G -1+ -#9428 -0+ -#9429 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100100000110 3 -b1011000110 & -b1011000110 5 -b1011000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9430 -0+ -#9431 -b100100000111 3 -b1011000111 & -b1011000111 5 -b1011000111 G -1+ -#9432 -0+ -#9433 -b1001000010 4 -b100100001000 3 -b1011001000 & -b1011001000 5 -b1011001000 G -1+ -#9434 -0+ -#9435 -b1001000010 . -b1001000010 B -b1001000010 : -b100100001001 3 -b1011001001 & -b1011001001 5 -b1011001001 G -1+ -#9436 -0+ -#9437 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100100001010 3 -b1011001010 & -b1011001010 5 -b1011001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9438 -0+ -#9439 -b100100001011 3 -b1011001011 & -b1011001011 5 -b1011001011 G -1+ -#9440 -0+ -#9441 -b1001000011 4 -b100100001100 3 -b1011001100 & -b1011001100 5 -b1011001100 G -1+ -#9442 -0+ -#9443 -b1001000011 . -b1001000011 B -b1001000011 : -b100100001101 3 -b1011001101 & -b1011001101 5 -b1011001101 G -1+ -#9444 -0+ -#9445 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100100001110 3 -b1011001110 & -b1011001110 5 -b1011001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9446 -0+ -#9447 -b100100001111 3 -b1011001111 & -b1011001111 5 -b1011001111 G -1+ -#9448 -0+ -#9449 -b1001000100 4 -b100100010000 3 -b1011010000 & -b1011010000 5 -b1011010000 G -1+ -#9450 -0+ -#9451 -b1001000100 . -b1001000100 B -b1001000100 : -b100100010001 3 -b1011010001 & -b1011010001 5 -b1011010001 G -1+ -#9452 -0+ -#9453 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100100010010 3 -b1011010010 & -b1011010010 5 -b1011010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9454 -0+ -#9455 -b100100010011 3 -b1011010011 & -b1011010011 5 -b1011010011 G -1+ -#9456 -0+ -#9457 -b1001000101 4 -b100100010100 3 -b1011010100 & -b1011010100 5 -b1011010100 G -1+ -#9458 -0+ -#9459 -b1001000101 . -b1001000101 B -b1001000101 : -b100100010101 3 -b1011010101 & -b1011010101 5 -b1011010101 G -1+ -#9460 -0+ -#9461 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100100010110 3 -b1011010110 & -b1011010110 5 -b1011010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9462 -0+ -#9463 -b100100010111 3 -b1011010111 & -b1011010111 5 -b1011010111 G -1+ -#9464 -0+ -#9465 -b1001000110 4 -b100100011000 3 -b1011011000 & -b1011011000 5 -b1011011000 G -1+ -#9466 -0+ -#9467 -b1001000110 . -b1001000110 B -b1001000110 : -b100100011001 3 -b1011011001 & -b1011011001 5 -b1011011001 G -1+ -#9468 -0+ -#9469 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100100011010 3 -b1011011010 & -b1011011010 5 -b1011011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9470 -0+ -#9471 -b100100011011 3 -b1011011011 & -b1011011011 5 -b1011011011 G -1+ -#9472 -0+ -#9473 -b1001000111 4 -b100100011100 3 -b1011011100 & -b1011011100 5 -b1011011100 G -1+ -#9474 -0+ -#9475 -b1001000111 . -b1001000111 B -b1001000111 : -b100100011101 3 -b1011011101 & -b1011011101 5 -b1011011101 G -1+ -#9476 -0+ -#9477 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100100011110 3 -b1011011110 & -b1011011110 5 -b1011011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9478 -0+ -#9479 -b100100011111 3 -b1011011111 & -b1011011111 5 -b1011011111 G -1+ -#9480 -0+ -#9481 -b1001001000 4 -b100100100000 3 -b1011100000 & -b1011100000 5 -b1011100000 G -1+ -#9482 -0+ -#9483 -b1001001000 . -b1001001000 B -b1001001000 : -b100100100001 3 -b1011100001 & -b1011100001 5 -b1011100001 G -1+ -#9484 -0+ -#9485 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100100100010 3 -b1011100010 & -b1011100010 5 -b1011100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9486 -0+ -#9487 -b100100100011 3 -b1011100011 & -b1011100011 5 -b1011100011 G -1+ -#9488 -0+ -#9489 -b1001001001 4 -b100100100100 3 -b1011100100 & -b1011100100 5 -b1011100100 G -1+ -#9490 -0+ -#9491 -b1001001001 . -b1001001001 B -b1001001001 : -b100100100101 3 -b1011100101 & -b1011100101 5 -b1011100101 G -1+ -#9492 -0+ -#9493 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100100100110 3 -b1011100110 & -b1011100110 5 -b1011100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9494 -0+ -#9495 -b100100100111 3 -b1011100111 & -b1011100111 5 -b1011100111 G -1+ -#9496 -0+ -#9497 -b1001001010 4 -b100100101000 3 -b1011101000 & -b1011101000 5 -b1011101000 G -1+ -#9498 -0+ -#9499 -b1001001010 . -b1001001010 B -b1001001010 : -b100100101001 3 -b1011101001 & -b1011101001 5 -b1011101001 G -1+ -#9500 -0+ -#9501 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100100101010 3 -b1011101010 & -b1011101010 5 -b1011101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9502 -0+ -#9503 -b100100101011 3 -b1011101011 & -b1011101011 5 -b1011101011 G -1+ -#9504 -0+ -#9505 -b1001001011 4 -b100100101100 3 -b1011101100 & -b1011101100 5 -b1011101100 G -1+ -#9506 -0+ -#9507 -b1001001011 . -b1001001011 B -b1001001011 : -b100100101101 3 -b1011101101 & -b1011101101 5 -b1011101101 G -1+ -#9508 -0+ -#9509 -b1000 ' -b1000 6 -b100010000000 < -b100100101110 3 -b1011101110 & -b1011101110 5 -b1011101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9510 -0+ -#9511 -b100100101111 3 -b1011101111 & -b1011101111 5 -b1011101111 G -1+ -#9512 -0+ -#9513 -b1001001100 4 -b100100110000 3 -b1011110000 & -b1011110000 5 -b1011110000 G -1+ -#9514 -0+ -#9515 -1% -b1001001100 . -b1001001100 B -b1001001100 : -b100100110001 3 -b1011110001 & -b1011110001 5 -b1011110001 G -1+ -#9516 -0+ -#9517 -b0 $ -b0 2 -b10000000 < -b100100110010 3 -b1011110010 & -b1011110010 5 -b1011110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9518 -0+ -#9519 -b100100110011 3 -b1011110011 & -b1011110011 5 -b1011110011 G -1+ -#9520 -0+ -#9521 -b1001001101 4 -b100100110100 3 -b1011110100 & -b1011110100 5 -b1011110100 G -1+ -#9522 -0+ -#9523 -b1001001101 . -b1001001101 B -b1001001101 : -b100100110101 3 -b1011110101 & -b1011110101 5 -b1011110101 G -1+ -#9524 -0+ -#9525 -b1000 * -b1000 9 -b10001000 < -b100100110110 3 -b1011110110 & -b1011110110 5 -b1011110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9526 -0+ -#9527 -b100100110111 3 -b1011110111 & -b1011110111 5 -b1011110111 G -1+ -#9528 -0+ -#9529 -b1001001110 4 -b100100111000 3 -b1011111000 & -b1011111000 5 -b1011111000 G -1+ -#9530 -0+ -#9531 -b1001001110 . -b1001001110 B -b1001001110 : -b100100111001 3 -b1011111001 & -b1011111001 5 -b1011111001 G -1+ -#9532 -0+ -#9533 -b0 ' -b0 6 -b1000 < -b100100111010 3 -b1011111010 & -b1011111010 5 -b1011111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9534 -0+ -#9535 -b100100111011 3 -b1011111011 & -b1011111011 5 -b1011111011 G -1+ -#9536 -0+ -#9537 -b1001001111 4 -b100100111100 3 -b1011111100 & -b1011111100 5 -b1011111100 G -1+ -#9538 -0+ -#9539 -b1001001111 . -b1001001111 B -b1001001111 : -b100100111101 3 -b1011111101 & -b1011111101 5 -b1011111101 G -1+ -#9540 -0+ -#9541 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100100111110 3 -b1011111110 & -b1011111110 5 -b1011111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9542 -0+ -#9543 -b100100111111 3 -b1011111111 & -b1011111111 5 -b1011111111 G -1+ -#9544 -0+ -#9545 -b1001010000 4 -b100101000000 3 -b1100000000 & -b1100000000 5 -b1100000000 G -1+ -#9546 -0+ -#9547 -b1001010000 . -b1001010000 B -b1001010000 : -b100101000001 3 -b1100000001 & -b1100000001 5 -b1100000001 G -1+ -#9548 -0+ -#9549 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100101000010 3 -b1100000010 & -b1100000010 5 -b1100000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9550 -0+ -#9551 -b100101000011 3 -b1100000011 & -b1100000011 5 -b1100000011 G -1+ -#9552 -0+ -#9553 -b1001010001 4 -b100101000100 3 -b1100000100 & -b1100000100 5 -b1100000100 G -1+ -#9554 -0+ -#9555 -b1001010001 . -b1001010001 B -b1001010001 : -b100101000101 3 -b1100000101 & -b1100000101 5 -b1100000101 G -1+ -#9556 -0+ -#9557 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100101000110 3 -b1100000110 & -b1100000110 5 -b1100000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9558 -0+ -#9559 -b100101000111 3 -b1100000111 & -b1100000111 5 -b1100000111 G -1+ -#9560 -0+ -#9561 -b1001010010 4 -b100101001000 3 -b1100001000 & -b1100001000 5 -b1100001000 G -1+ -#9562 -0+ -#9563 -b1001010010 . -b1001010010 B -b1001010010 : -b100101001001 3 -b1100001001 & -b1100001001 5 -b1100001001 G -1+ -#9564 -0+ -#9565 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100101001010 3 -b1100001010 & -b1100001010 5 -b1100001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9566 -0+ -#9567 -b100101001011 3 -b1100001011 & -b1100001011 5 -b1100001011 G -1+ -#9568 -0+ -#9569 -b1001010011 4 -b100101001100 3 -b1100001100 & -b1100001100 5 -b1100001100 G -1+ -#9570 -0+ -#9571 -b1001010011 . -b1001010011 B -b1001010011 : -b100101001101 3 -b1100001101 & -b1100001101 5 -b1100001101 G -1+ -#9572 -0+ -#9573 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100101001110 3 -b1100001110 & -b1100001110 5 -b1100001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9574 -0+ -#9575 -b100101001111 3 -b1100001111 & -b1100001111 5 -b1100001111 G -1+ -#9576 -0+ -#9577 -b1001010100 4 -b100101010000 3 -b1100010000 & -b1100010000 5 -b1100010000 G -1+ -#9578 -0+ -#9579 -b1001010100 . -b1001010100 B -b1001010100 : -b100101010001 3 -b1100010001 & -b1100010001 5 -b1100010001 G -1+ -#9580 -0+ -#9581 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100101010010 3 -b1100010010 & -b1100010010 5 -b1100010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9582 -0+ -#9583 -b100101010011 3 -b1100010011 & -b1100010011 5 -b1100010011 G -1+ -#9584 -0+ -#9585 -b1001010101 4 -b100101010100 3 -b1100010100 & -b1100010100 5 -b1100010100 G -1+ -#9586 -0+ -#9587 -b1001010101 . -b1001010101 B -b1001010101 : -b100101010101 3 -b1100010101 & -b1100010101 5 -b1100010101 G -1+ -#9588 -0+ -#9589 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100101010110 3 -b1100010110 & -b1100010110 5 -b1100010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9590 -0+ -#9591 -b100101010111 3 -b1100010111 & -b1100010111 5 -b1100010111 G -1+ -#9592 -0+ -#9593 -b1001010110 4 -b100101011000 3 -b1100011000 & -b1100011000 5 -b1100011000 G -1+ -#9594 -0+ -#9595 -b1001010110 . -b1001010110 B -b1001010110 : -b100101011001 3 -b1100011001 & -b1100011001 5 -b1100011001 G -1+ -#9596 -0+ -#9597 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100101011010 3 -b1100011010 & -b1100011010 5 -b1100011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9598 -0+ -#9599 -b100101011011 3 -b1100011011 & -b1100011011 5 -b1100011011 G -1+ -#9600 -0+ -#9601 -b1001010111 4 -b100101011100 3 -b1100011100 & -b1100011100 5 -b1100011100 G -1+ -#9602 -0+ -#9603 -b1001010111 . -b1001010111 B -b1001010111 : -b100101011101 3 -b1100011101 & -b1100011101 5 -b1100011101 G -1+ -#9604 -0+ -#9605 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100101011110 3 -b1100011110 & -b1100011110 5 -b1100011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9606 -0+ -#9607 -b100101011111 3 -b1100011111 & -b1100011111 5 -b1100011111 G -1+ -#9608 -0+ -#9609 -1( -b111100000 4 -b110 # -b110 1 -b110 H -b11110000000 3 -b0 & -b0 5 -b0 G -1+ -#9610 -0+ -#9611 -b111100000 . -b111100000 B -b111100000 : -b11110000001 3 -b1 & -b1 5 -b1 G -1+ -#9612 -0+ -#9613 -b0 ' -b0 6 -b111100000000 < -b11110000010 3 -b10 & -b10 5 -b10 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9614 -0+ -#9615 -b11110000011 3 -b11 & -b11 5 -b11 G -1+ -#9616 -0+ -#9617 -b111100001 4 -b11110000100 3 -b100 & -b100 5 -b100 G -1+ -#9618 -0+ -#9619 -b111100001 . -b111100001 B -b111100001 : -b11110000101 3 -b101 & -b101 5 -b101 G -1+ -#9620 -0+ -#9621 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11110000110 3 -b110 & -b110 5 -b110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9622 -0+ -#9623 -b11110000111 3 -b111 & -b111 5 -b111 G -1+ -#9624 -0+ -#9625 -b111100010 4 -b11110001000 3 -b1000 & -b1000 5 -b1000 G -1+ -#9626 -0+ -#9627 -b111100010 . -b111100010 B -b111100010 : -b11110001001 3 -b1001 & -b1001 5 -b1001 G -1+ -#9628 -0+ -#9629 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11110001010 3 -b1010 & -b1010 5 -b1010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9630 -0+ -#9631 -b11110001011 3 -b1011 & -b1011 5 -b1011 G -1+ -#9632 -0+ -#9633 -b111100011 4 -b11110001100 3 -b1100 & -b1100 5 -b1100 G -1+ -#9634 -0+ -#9635 -b111100011 . -b111100011 B -b111100011 : -b11110001101 3 -b1101 & -b1101 5 -b1101 G -1+ -#9636 -0+ -#9637 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11110001110 3 -b1110 & -b1110 5 -b1110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9638 -0+ -#9639 -b11110001111 3 -b1111 & -b1111 5 -b1111 G -1+ -#9640 -0+ -#9641 -b111100100 4 -b11110010000 3 -b10000 & -b10000 5 -b10000 G -1+ -#9642 -0+ -#9643 -b111100100 . -b111100100 B -b111100100 : -b11110010001 3 -b10001 & -b10001 5 -b10001 G -1+ -#9644 -0+ -#9645 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11110010010 3 -b10010 & -b10010 5 -b10010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9646 -0+ -#9647 -b11110010011 3 -b10011 & -b10011 5 -b10011 G -1+ -#9648 -0+ -#9649 -b111100101 4 -b11110010100 3 -b10100 & -b10100 5 -b10100 G -1+ -#9650 -0+ -#9651 -b111100101 . -b111100101 B -b111100101 : -b11110010101 3 -b10101 & -b10101 5 -b10101 G -1+ -#9652 -0+ -#9653 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11110010110 3 -b10110 & -b10110 5 -b10110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9654 -0+ -#9655 -b11110010111 3 -b10111 & -b10111 5 -b10111 G -1+ -#9656 -0+ -#9657 -b111100110 4 -b11110011000 3 -b11000 & -b11000 5 -b11000 G -1+ -#9658 -0+ -#9659 -b111100110 . -b111100110 B -b111100110 : -b11110011001 3 -b11001 & -b11001 5 -b11001 G -1+ -#9660 -0+ -#9661 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11110011010 3 -b11010 & -b11010 5 -b11010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9662 -0+ -#9663 -b11110011011 3 -b11011 & -b11011 5 -b11011 G -1+ -#9664 -0+ -#9665 -b111100111 4 -b11110011100 3 -b11100 & -b11100 5 -b11100 G -1+ -#9666 -0+ -#9667 -b111100111 . -b111100111 B -b111100111 : -b11110011101 3 -b11101 & -b11101 5 -b11101 G -1+ -#9668 -0+ -#9669 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11110011110 3 -b11110 & -b11110 5 -b11110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9670 -0+ -#9671 -b11110011111 3 -b11111 & -b11111 5 -b11111 G -1+ -#9672 -0+ -#9673 -b111101000 4 -b11110100000 3 -b100000 & -b100000 5 -b100000 G -1+ -#9674 -0+ -#9675 -b111101000 . -b111101000 B -b111101000 : -b11110100001 3 -b100001 & -b100001 5 -b100001 G -1+ -#9676 -0+ -#9677 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11110100010 3 -b100010 & -b100010 5 -b100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9678 -0+ -#9679 -b11110100011 3 -b100011 & -b100011 5 -b100011 G -1+ -#9680 -0+ -#9681 -b111101001 4 -b11110100100 3 -b100100 & -b100100 5 -b100100 G -1+ -#9682 -0+ -#9683 -b111101001 . -b111101001 B -b111101001 : -b11110100101 3 -b100101 & -b100101 5 -b100101 G -1+ -#9684 -0+ -#9685 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11110100110 3 -b100110 & -b100110 5 -b100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9686 -0+ -#9687 -b11110100111 3 -b100111 & -b100111 5 -b100111 G -1+ -#9688 -0+ -#9689 -b111101010 4 -b11110101000 3 -b101000 & -b101000 5 -b101000 G -1+ -#9690 -0+ -#9691 -b111101010 . -b111101010 B -b111101010 : -b11110101001 3 -b101001 & -b101001 5 -b101001 G -1+ -#9692 -0+ -#9693 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11110101010 3 -b101010 & -b101010 5 -b101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9694 -0+ -#9695 -b11110101011 3 -b101011 & -b101011 5 -b101011 G -1+ -#9696 -0+ -#9697 -b111101011 4 -b11110101100 3 -b101100 & -b101100 5 -b101100 G -1+ -#9698 -0+ -#9699 -b111101011 . -b111101011 B -b111101011 : -b11110101101 3 -b101101 & -b101101 5 -b101101 G -1+ -#9700 -0+ -#9701 -b1000 ' -b1000 6 -b100010000000 < -b11110101110 3 -b101110 & -b101110 5 -b101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9702 -0+ -#9703 -b11110101111 3 -b101111 & -b101111 5 -b101111 G -1+ -#9704 -0+ -#9705 -b111101100 4 -b11110110000 3 -b110000 & -b110000 5 -b110000 G -1+ -#9706 -0+ -#9707 -b111101100 . -b111101100 B -b111101100 : -b11110110001 3 -b110001 & -b110001 5 -b110001 G -1+ -#9708 -0+ -#9709 -b0 $ -b0 2 -b10000000 < -b11110110010 3 -b110010 & -b110010 5 -b110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9710 -0+ -#9711 -b11110110011 3 -b110011 & -b110011 5 -b110011 G -1+ -#9712 -0+ -#9713 -b111101101 4 -b11110110100 3 -b110100 & -b110100 5 -b110100 G -1+ -#9714 -0+ -#9715 -b111101101 . -b111101101 B -b111101101 : -b11110110101 3 -b110101 & -b110101 5 -b110101 G -1+ -#9716 -0+ -#9717 -b1000 * -b1000 9 -b10001000 < -b11110110110 3 -b110110 & -b110110 5 -b110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9718 -0+ -#9719 -b11110110111 3 -b110111 & -b110111 5 -b110111 G -1+ -#9720 -0+ -#9721 -b111101110 4 -b11110111000 3 -b111000 & -b111000 5 -b111000 G -1+ -#9722 -0+ -#9723 -b111101110 . -b111101110 B -b111101110 : -b11110111001 3 -b111001 & -b111001 5 -b111001 G -1+ -#9724 -0+ -#9725 -b0 ' -b0 6 -b1000 < -b11110111010 3 -b111010 & -b111010 5 -b111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9726 -0+ -#9727 -b11110111011 3 -b111011 & -b111011 5 -b111011 G -1+ -#9728 -0+ -#9729 -b111101111 4 -b11110111100 3 -b111100 & -b111100 5 -b111100 G -1+ -#9730 -0+ -#9731 -b111101111 . -b111101111 B -b111101111 : -b11110111101 3 -b111101 & -b111101 5 -b111101 G -1+ -#9732 -0+ -#9733 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11110111110 3 -b111110 & -b111110 5 -b111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9734 -0+ -#9735 -b11110111111 3 -b111111 & -b111111 5 -b111111 G -1+ -#9736 -0+ -#9737 -b111110000 4 -b11111000000 3 -b1000000 & -b1000000 5 -b1000000 G -1+ -#9738 -0+ -#9739 -b111110000 . -b111110000 B -b111110000 : -b11111000001 3 -b1000001 & -b1000001 5 -b1000001 G -1+ -#9740 -0+ -#9741 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b11111000010 3 -b1000010 & -b1000010 5 -b1000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9742 -0+ -#9743 -b11111000011 3 -b1000011 & -b1000011 5 -b1000011 G -1+ -#9744 -0+ -#9745 -b111110001 4 -b11111000100 3 -b1000100 & -b1000100 5 -b1000100 G -1+ -#9746 -0+ -#9747 -b111110001 . -b111110001 B -b111110001 : -b11111000101 3 -b1000101 & -b1000101 5 -b1000101 G -1+ -#9748 -0+ -#9749 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b11111000110 3 -b1000110 & -b1000110 5 -b1000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9750 -0+ -#9751 -b11111000111 3 -b1000111 & -b1000111 5 -b1000111 G -1+ -#9752 -0+ -#9753 -b111110010 4 -b11111001000 3 -b1001000 & -b1001000 5 -b1001000 G -1+ -#9754 -0+ -#9755 -b111110010 . -b111110010 B -b111110010 : -b11111001001 3 -b1001001 & -b1001001 5 -b1001001 G -1+ -#9756 -0+ -#9757 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b11111001010 3 -b1001010 & -b1001010 5 -b1001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9758 -0+ -#9759 -b11111001011 3 -b1001011 & -b1001011 5 -b1001011 G -1+ -#9760 -0+ -#9761 -b111110011 4 -b11111001100 3 -b1001100 & -b1001100 5 -b1001100 G -1+ -#9762 -0+ -#9763 -b111110011 . -b111110011 B -b111110011 : -b11111001101 3 -b1001101 & -b1001101 5 -b1001101 G -1+ -#9764 -0+ -#9765 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b11111001110 3 -b1001110 & -b1001110 5 -b1001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9766 -0+ -#9767 -b11111001111 3 -b1001111 & -b1001111 5 -b1001111 G -1+ -#9768 -0+ -#9769 -b111110100 4 -b11111010000 3 -b1010000 & -b1010000 5 -b1010000 G -1+ -#9770 -0+ -#9771 -b111110100 . -b111110100 B -b111110100 : -b11111010001 3 -b1010001 & -b1010001 5 -b1010001 G -1+ -#9772 -0+ -#9773 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b11111010010 3 -b1010010 & -b1010010 5 -b1010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9774 -0+ -#9775 -b11111010011 3 -b1010011 & -b1010011 5 -b1010011 G -1+ -#9776 -0+ -#9777 -b111110101 4 -b11111010100 3 -b1010100 & -b1010100 5 -b1010100 G -1+ -#9778 -0+ -#9779 -b111110101 . -b111110101 B -b111110101 : -b11111010101 3 -b1010101 & -b1010101 5 -b1010101 G -1+ -#9780 -0+ -#9781 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b11111010110 3 -b1010110 & -b1010110 5 -b1010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9782 -0+ -#9783 -b11111010111 3 -b1010111 & -b1010111 5 -b1010111 G -1+ -#9784 -0+ -#9785 -b111110110 4 -b11111011000 3 -b1011000 & -b1011000 5 -b1011000 G -1+ -#9786 -0+ -#9787 -b111110110 . -b111110110 B -b111110110 : -b11111011001 3 -b1011001 & -b1011001 5 -b1011001 G -1+ -#9788 -0+ -#9789 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b11111011010 3 -b1011010 & -b1011010 5 -b1011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9790 -0+ -#9791 -b11111011011 3 -b1011011 & -b1011011 5 -b1011011 G -1+ -#9792 -0+ -#9793 -b111110111 4 -b11111011100 3 -b1011100 & -b1011100 5 -b1011100 G -1+ -#9794 -0+ -#9795 -b111110111 . -b111110111 B -b111110111 : -b11111011101 3 -b1011101 & -b1011101 5 -b1011101 G -1+ -#9796 -0+ -#9797 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b11111011110 3 -b1011110 & -b1011110 5 -b1011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9798 -0+ -#9799 -b11111011111 3 -b1011111 & -b1011111 5 -b1011111 G -1+ -#9800 -0+ -#9801 -b111111000 4 -b11111100000 3 -b1100000 & -b1100000 5 -b1100000 G -1+ -#9802 -0+ -#9803 -b111111000 . -b111111000 B -b111111000 : -b11111100001 3 -b1100001 & -b1100001 5 -b1100001 G -1+ -#9804 -0+ -#9805 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b11111100010 3 -b1100010 & -b1100010 5 -b1100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9806 -0+ -#9807 -b11111100011 3 -b1100011 & -b1100011 5 -b1100011 G -1+ -#9808 -0+ -#9809 -b111111001 4 -b11111100100 3 -b1100100 & -b1100100 5 -b1100100 G -1+ -#9810 -0+ -#9811 -b111111001 . -b111111001 B -b111111001 : -b11111100101 3 -b1100101 & -b1100101 5 -b1100101 G -1+ -#9812 -0+ -#9813 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b11111100110 3 -b1100110 & -b1100110 5 -b1100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9814 -0+ -#9815 -b11111100111 3 -b1100111 & -b1100111 5 -b1100111 G -1+ -#9816 -0+ -#9817 -b111111010 4 -b11111101000 3 -b1101000 & -b1101000 5 -b1101000 G -1+ -#9818 -0+ -#9819 -b111111010 . -b111111010 B -b111111010 : -b11111101001 3 -b1101001 & -b1101001 5 -b1101001 G -1+ -#9820 -0+ -#9821 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b11111101010 3 -b1101010 & -b1101010 5 -b1101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9822 -0+ -#9823 -b11111101011 3 -b1101011 & -b1101011 5 -b1101011 G -1+ -#9824 -0+ -#9825 -b111111011 4 -b11111101100 3 -b1101100 & -b1101100 5 -b1101100 G -1+ -#9826 -0+ -#9827 -b111111011 . -b111111011 B -b111111011 : -b11111101101 3 -b1101101 & -b1101101 5 -b1101101 G -1+ -#9828 -0+ -#9829 -b1000 ' -b1000 6 -b100010000000 < -b11111101110 3 -b1101110 & -b1101110 5 -b1101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9830 -0+ -#9831 -b11111101111 3 -b1101111 & -b1101111 5 -b1101111 G -1+ -#9832 -0+ -#9833 -b111111100 4 -b11111110000 3 -b1110000 & -b1110000 5 -b1110000 G -1+ -#9834 -0+ -#9835 -b111111100 . -b111111100 B -b111111100 : -b11111110001 3 -b1110001 & -b1110001 5 -b1110001 G -1+ -#9836 -0+ -#9837 -b0 $ -b0 2 -b10000000 < -b11111110010 3 -b1110010 & -b1110010 5 -b1110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9838 -0+ -#9839 -b11111110011 3 -b1110011 & -b1110011 5 -b1110011 G -1+ -#9840 -0+ -#9841 -b111111101 4 -b11111110100 3 -b1110100 & -b1110100 5 -b1110100 G -1+ -#9842 -0+ -#9843 -b111111101 . -b111111101 B -b111111101 : -b11111110101 3 -b1110101 & -b1110101 5 -b1110101 G -1+ -#9844 -0+ -#9845 -b1000 * -b1000 9 -b10001000 < -b11111110110 3 -b1110110 & -b1110110 5 -b1110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9846 -0+ -#9847 -b11111110111 3 -b1110111 & -b1110111 5 -b1110111 G -1+ -#9848 -0+ -#9849 -b111111110 4 -b11111111000 3 -b1111000 & -b1111000 5 -b1111000 G -1+ -#9850 -0+ -#9851 -b111111110 . -b111111110 B -b111111110 : -b11111111001 3 -b1111001 & -b1111001 5 -b1111001 G -1+ -#9852 -0+ -#9853 -b0 ' -b0 6 -b1000 < -b11111111010 3 -b1111010 & -b1111010 5 -b1111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9854 -0+ -#9855 -b11111111011 3 -b1111011 & -b1111011 5 -b1111011 G -1+ -#9856 -0+ -#9857 -b111111111 4 -b11111111100 3 -b1111100 & -b1111100 5 -b1111100 G -1+ -#9858 -0+ -#9859 -b111111111 . -b111111111 B -b111111111 : -b11111111101 3 -b1111101 & -b1111101 5 -b1111101 G -1+ -#9860 -0+ -#9861 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b11111111110 3 -b1111110 & -b1111110 5 -b1111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9862 -0+ -#9863 -b11111111111 3 -b1111111 & -b1111111 5 -b1111111 G -1+ -#9864 -0+ -#9865 -b1000000000 4 -b100000000000 3 -b10000000 & -b10000000 5 -b10000000 G -1+ -#9866 -0+ -#9867 -b1000000000 . -b1000000000 B -b1000000000 : -b100000000001 3 -b10000001 & -b10000001 5 -b10000001 G -1+ -#9868 -0+ -#9869 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100000000010 3 -b10000010 & -b10000010 5 -b10000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9870 -0+ -#9871 -b100000000011 3 -b10000011 & -b10000011 5 -b10000011 G -1+ -#9872 -0+ -#9873 -b1000000001 4 -b100000000100 3 -b10000100 & -b10000100 5 -b10000100 G -1+ -#9874 -0+ -#9875 -b1000000001 . -b1000000001 B -b1000000001 : -b100000000101 3 -b10000101 & -b10000101 5 -b10000101 G -1+ -#9876 -0+ -#9877 -b0 $ -b0 2 -b1111 ' -b1111 6 -b11110000 < -b100000000110 3 -b10000110 & -b10000110 5 -b10000110 G -b1 8 -b1000100010001 7 -b1000100010001 D -b1000100010001 E -1+ -#9878 -0+ -#9879 -b100000000111 3 -b10000111 & -b10000111 5 -b10000111 G -1+ -#9880 -0+ -#9881 -b1000000010 4 -b100000001000 3 -b10001000 & -b10001000 5 -b10001000 G -1+ -#9882 -0+ -#9883 -b1000000010 . -b1000000010 B -b1000000010 : -b100000001001 3 -b10001001 & -b10001001 5 -b10001001 G -1+ -#9884 -0+ -#9885 -b0 ' -b0 6 -b1111 * -b1111 9 -b1111 < -b100000001010 3 -b10001010 & -b10001010 5 -b10001010 G -b10 8 -b10001000100010 7 -b10001000100010 D -b10001000100010 E -1+ -#9886 -0+ -#9887 -b100000001011 3 -b10001011 & -b10001011 5 -b10001011 G -1+ -#9888 -0+ -#9889 -b1000000011 4 -b100000001100 3 -b10001100 & -b10001100 5 -b10001100 G -1+ -#9890 -0+ -#9891 -b1000000011 . -b1000000011 B -b1000000011 : -b100000001101 3 -b10001101 & -b10001101 5 -b10001101 G -1+ -#9892 -0+ -#9893 -b1111 $ -b1111 2 -b1111 ' -b1111 6 -b111111111111 < -b100000001110 3 -b10001110 & -b10001110 5 -b10001110 G -b11 8 -b11001100110011 7 -b11001100110011 D -b11001100110011 E -1+ -#9894 -0+ -#9895 -b100000001111 3 -b10001111 & -b10001111 5 -b10001111 G -1+ -#9896 -0+ -#9897 -b1000000100 4 -b100000010000 3 -b10010000 & -b10010000 5 -b10010000 G -1+ -#9898 -0+ -#9899 -b1000000100 . -b1000000100 B -b1000000100 : -b100000010001 3 -b10010001 & -b10010001 5 -b10010001 G -1+ -#9900 -0+ -#9901 -b0 $ -b0 2 -b0 ' -b0 6 -b0 * -b0 9 -b0 < -b100000010010 3 -b10010010 & -b10010010 5 -b10010010 G -b100 8 -b100010001000100 7 -b100010001000100 D -b100010001000100 E -1+ -#9902 -0+ -#9903 -b100000010011 3 -b10010011 & -b10010011 5 -b10010011 G -1+ -#9904 -0+ -#9905 -b1000000101 4 -b100000010100 3 -b10010100 & -b10010100 5 -b10010100 G -1+ -#9906 -0+ -#9907 -b1000000101 . -b1000000101 B -b1000000101 : -b100000010101 3 -b10010101 & -b10010101 5 -b10010101 G -1+ -#9908 -0+ -#9909 -b1000 $ -b1000 2 -b1000 ' -b1000 6 -b1000 * -b1000 9 -b100010001000 < -b100000010110 3 -b10010110 & -b10010110 5 -b10010110 G -b101 8 -b101010101010101 7 -b101010101010101 D -b101010101010101 E -1+ -#9910 -0+ -#9911 -b100000010111 3 -b10010111 & -b10010111 5 -b10010111 G -1+ -#9912 -0+ -#9913 -b1000000110 4 -b100000011000 3 -b10011000 & -b10011000 5 -b10011000 G -1+ -#9914 -0+ -#9915 -b1000000110 . -b1000000110 B -b1000000110 : -b100000011001 3 -b10011001 & -b10011001 5 -b10011001 G -1+ -#9916 -0+ -#9917 -b1111 $ -b1111 2 -b0 ' -b0 6 -b1111 * -b1111 9 -b111100001111 < -b100000011010 3 -b10011010 & -b10011010 5 -b10011010 G -b110 8 -b110011001100110 7 -b110011001100110 D -b110011001100110 E -1+ -#9918 -0+ -#9919 -b100000011011 3 -b10011011 & -b10011011 5 -b10011011 G -1+ -#9920 -0+ -#9921 -b1000000111 4 -b100000011100 3 -b10011100 & -b10011100 5 -b10011100 G -1+ -#9922 -0+ -#9923 -b1000000111 . -b1000000111 B -b1000000111 : -b100000011101 3 -b10011101 & -b10011101 5 -b10011101 G -1+ -#9924 -0+ -#9925 -b1111 ' -b1111 6 -b0 * -b0 9 -b111111110000 < -b100000011110 3 -b10011110 & -b10011110 5 -b10011110 G -b111 8 -b111011101110111 7 -b111011101110111 D -b111011101110111 E -1+ -#9926 -0+ -#9927 -b100000011111 3 -b10011111 & -b10011111 5 -b10011111 G -1+ -#9928 -0+ -#9929 -b1000001000 4 -b100000100000 3 -b10100000 & -b10100000 5 -b10100000 G -1+ -#9930 -0+ -#9931 -b1000001000 . -b1000001000 B -b1000001000 : -b100000100001 3 -b10100001 & -b10100001 5 -b10100001 G -1+ -#9932 -0+ -#9933 -b0 $ -b0 2 -b1111 * -b1111 9 -b11111111 < -b100000100010 3 -b10100010 & -b10100010 5 -b10100010 G -b1000 8 -b1000100010001000 7 -b1000100010001000 D -b1000100010001000 E -1+ -#9934 -0+ -#9935 -b100000100011 3 -b10100011 & -b10100011 5 -b10100011 G -1+ -#9936 -0+ -#9937 -b1000001001 4 -b100000100100 3 -b10100100 & -b10100100 5 -b10100100 G -1+ -#9938 -0+ -#9939 -b1000001001 . -b1000001001 B -b1000001001 : -b100000100101 3 -b10100101 & -b10100101 5 -b10100101 G -1+ -#9940 -0+ -#9941 -b1100 $ -b1100 2 -b1100 ' -b1100 6 -b1100 * -b1100 9 -b110011001100 < -b100000100110 3 -b10100110 & -b10100110 5 -b10100110 G -b1001 8 -b1001100110011001 7 -b1001100110011001 D -b1001100110011001 E -1+ -#9942 -0+ -#9943 -b100000100111 3 -b10100111 & -b10100111 5 -b10100111 G -1+ -#9944 -0+ -#9945 -b1000001010 4 -b100000101000 3 -b10101000 & -b10101000 5 -b10101000 G -1+ -#9946 -0+ -#9947 -b1000001010 . -b1000001010 B -b1000001010 : -b100000101001 3 -b10101001 & -b10101001 5 -b10101001 G -1+ -#9948 -0+ -#9949 -b1000 $ -b1000 2 -b0 ' -b0 6 -b0 * -b0 9 -b100000000000 < -b100000101010 3 -b10101010 & -b10101010 5 -b10101010 G -b1010 8 -b1010101010101010 7 -b1010101010101010 D -b1010101010101010 E -1+ -#9950 -0+ -#9951 -b100000101011 3 -b10101011 & -b10101011 5 -b10101011 G -1+ -#9952 -0+ -#9953 -b1000001011 4 -b100000101100 3 -b10101100 & -b10101100 5 -b10101100 G -1+ -#9954 -0+ -#9955 -b1000001011 . -b1000001011 B -b1000001011 : -b100000101101 3 -b10101101 & -b10101101 5 -b10101101 G -1+ -#9956 -0+ -#9957 -b1000 ' -b1000 6 -b100010000000 < -b100000101110 3 -b10101110 & -b10101110 5 -b10101110 G -b1011 8 -b1011101110111011 7 -b1011101110111011 D -b1011101110111011 E -1+ -#9958 -0+ -#9959 -b100000101111 3 -b10101111 & -b10101111 5 -b10101111 G -1+ -#9960 -0+ -#9961 -b1000001100 4 -b100000110000 3 -b10110000 & -b10110000 5 -b10110000 G -1+ -#9962 -0+ -#9963 -b1000001100 . -b1000001100 B -b1000001100 : -b100000110001 3 -b10110001 & -b10110001 5 -b10110001 G -1+ -#9964 -0+ -#9965 -b0 $ -b0 2 -b10000000 < -b100000110010 3 -b10110010 & -b10110010 5 -b10110010 G -b1100 8 -b1100110011001100 7 -b1100110011001100 D -b1100110011001100 E -1+ -#9966 -0+ -#9967 -b100000110011 3 -b10110011 & -b10110011 5 -b10110011 G -1+ -#9968 -0+ -#9969 -b1000001101 4 -b100000110100 3 -b10110100 & -b10110100 5 -b10110100 G -1+ -#9970 -0+ -#9971 -b1000001101 . -b1000001101 B -b1000001101 : -b100000110101 3 -b10110101 & -b10110101 5 -b10110101 G -1+ -#9972 -0+ -#9973 -b1000 * -b1000 9 -b10001000 < -b100000110110 3 -b10110110 & -b10110110 5 -b10110110 G -b1101 8 -b1101110111011101 7 -b1101110111011101 D -b1101110111011101 E -1+ -#9974 -0+ -#9975 -b100000110111 3 -b10110111 & -b10110111 5 -b10110111 G -1+ -#9976 -0+ -#9977 -b1000001110 4 -b100000111000 3 -b10111000 & -b10111000 5 -b10111000 G -1+ -#9978 -0+ -#9979 -b1000001110 . -b1000001110 B -b1000001110 : -b100000111001 3 -b10111001 & -b10111001 5 -b10111001 G -1+ -#9980 -0+ -#9981 -b0 ' -b0 6 -b1000 < -b100000111010 3 -b10111010 & -b10111010 5 -b10111010 G -b1110 8 -b1110111011101110 7 -b1110111011101110 D -b1110111011101110 E -1+ -#9982 -0+ -#9983 -b100000111011 3 -b10111011 & -b10111011 5 -b10111011 G -1+ -#9984 -0+ -#9985 -b1000001111 4 -b100000111100 3 -b10111100 & -b10111100 5 -b10111100 G -1+ -#9986 -0+ -#9987 -b1000001111 . -b1000001111 B -b1000001111 : -b100000111101 3 -b10111101 & -b10111101 5 -b10111101 G -1+ -#9988 -0+ -#9989 -b111 $ -b111 2 -b111 * -b111 9 -b11100000111 < -b100000111110 3 -b10111110 & -b10111110 5 -b10111110 G -b1111 8 -b1111111111111111 7 -b1111111111111111 D -b1111111111111111 E -1+ -#9990 -0+ -#9991 -b100000111111 3 -b10111111 & -b10111111 5 -b10111111 G -1+ -#9992 -0+ -#9993 -b1000010000 4 -b100001000000 3 -b11000000 & -b11000000 5 -b11000000 G -1+ -#9994 -0+ -#9995 -b1000010000 . -b1000010000 B -b1000010000 : -b100001000001 3 -b11000001 & -b11000001 5 -b11000001 G -1+ -#9996 -0+ -#9997 -b1111 $ -b1111 2 -b0 * -b0 9 -b111100000000 < -b100001000010 3 -b11000010 & -b11000010 5 -b11000010 G -b0 8 -b0 7 -b0 D -b0 E -1+ -#9998 -0+ -#9999 -b100001000011 3 -b11000011 & -b11000011 5 -b11000011 G -1+ -#10000 -0+ diff --git a/ice40up5k/spram_test/top.v b/ice40up5k/spram_test/top.v deleted file mode 100644 index 7a802ed..0000000 --- a/ice40up5k/spram_test/top.v +++ /dev/null @@ -1,183 +0,0 @@ -/* - top.v - - Top module for VGA test - -*/ - -// Never forget this! -`default_nettype none - -module top ( - input clk, - - output [3:0] r, - output [3:0] g, - output [3:0] b, - output hsync_out, - output vsync_out, - - output reg LED_B // debug -); - - // generate 25 MHz clock - wire locked; - wire pll_clk; - pll pll_25mhz( - .clock_in(clk), - .clock_out(pll_clk), - .locked(locked) - ); - - // BEGIN - init hack - // iCE40 does not allow registers to initialised to - // anything other than 0 - // For workaround see: - // https://github.com/YosysHQ/yosys/issues/103 - reg [7:0] resetn_counter = 0; - wire resetn = &resetn_counter; - - always @(posedge pll_clk) - begin - if (!resetn) - resetn_counter <= resetn_counter + 1; - end - // END - init hack - - wire [9:0] hpos; - wire [9:0] vpos; - - wire hsync; - wire vsync; - - wire display_valid; - - // instantiate VGA module - vga_640x480 vga ( - .clk_25mhz(pll_clk), - .resetn(resetn), - .hpos(hpos), - .vpos(vpos), - .hsync(hsync), - .vsync(vsync), - .display_valid(display_valid) - ); - - - // delay VGA signal to give a chance to read from BRAM - parameter NSR = 3; - reg [NSR-1:0] hsync_sr; - reg [NSR-1:0] vsync_sr; - - always @ (posedge pll_clk) begin - - if (!resetn) begin - - // reset values - hsync_sr <= 0; - vsync_sr <= 0; - - end - else begin - - // shift in - hsync_sr <= {hsync_sr[NSR-2:0], hsync}; - vsync_sr <= {vsync_sr[NSR-2:0], vsync}; - - end - - end - - // assign output - assign hsync_out = hsync_sr[NSR-1]; - assign vsync_out = vsync_sr[NSR-1]; - - wire data_ready; - wire [3:0] r1; - wire [3:0] g1; - wire [3:0] b1; - spram_io sprio( - .clk(pll_clk), - .resetn(resetn), - .hpos(hpos), - .vpos(vpos), - .r(r1), - .g(g1), - .b(b1), - .data_ready(data_ready) // high when ram is ready to be read - ); - -//`define VGA_TEST -`ifdef VGA_TEST - assign r = display_valid ? (hpos > 320 ? 4'b1111 : 4'b0000) : 4'b0000; - assign g = display_valid ? (hpos > 320 ? 4'b0000 : 4'b1111) : 4'b0000; - assign b = 4'b0000; -`else // VGA_TEST - - - // set VGA colours - reg [3:0] red; - reg [3:0] green; - reg [3:0] blue; - - // clocked VGA output - always @ (posedge pll_clk) begin - - if (!resetn) begin - //index <= 0; - red <= 0; - green <= 0; - blue <= 0; - - end - else if (display_valid) begin - // 320x240 - if (hpos >= 320 || vpos >= 240) begin - red <= 4'b0000; - green <= 4'b0000; - blue <= 4'b1111; - end - else begin - if (data_ready) begin - {red, green, blue} = {r1, g1, b1}; - end - else begin - red <= 4'b1100; - green <= 4'b1100; - blue <= 4'b1100; - end - end - end - else begin - red <= 4'b0000; - green <= 4'b0000; - blue <= 4'b0000; - end - end - - // assign colours - assign {r, g, b} = {red, green, blue}; - - -`endif // VGA_TEST - - // blinky for debug -//`define ENABLE_BLINKY -`ifdef ENABLE_BLINKY - reg [22:0] counter; - always @ (posedge pll_clk) - begin - - if (!resetn) begin - counter <= 0; - end - - counter <= counter + 1; - - if (!counter) begin - LED_B <= ~LED_B; - end - end -`endif // ENABLE_BLINKY - -endmodule diff --git a/ice40up5k/spram_test/vga_640x480.v b/ice40up5k/spram_test/vga_640x480.v deleted file mode 100644 index c44d2ef..0000000 --- a/ice40up5k/spram_test/vga_640x480.v +++ /dev/null @@ -1,82 +0,0 @@ -/* - hvsync.v - - VGA module - 640 x 480 - -*/ - -// Never forget this! -`default_nettype none - -module vga_640x480( - input clk_25mhz, - input resetn, - - output [9:0] hpos, // [0, 639] - output [9:0] vpos, // [0, 479] - output hsync, - output vsync, - output display_valid // -); - -// Front Porch (FP) - -parameter WIDTH = 640; -parameter HEIGHT = 480; - -parameter H_FP = 16; // pixels -parameter H_BP = 48; // pixels -parameter H_PW = 96; // pixels - -parameter V_FP = 10; // lines -parameter V_BP = 33; // lines -parameter V_PW = 2; // lines - -parameter HSYNC_START = WIDTH + H_FP; -parameter HSYNC_END = WIDTH + H_FP + H_PW; - -parameter VSYNC_START = HEIGHT + V_FP; -parameter VSYNC_END = HEIGHT + V_FP + V_PW; - -// counters for horizontal and vertical -reg [9:0] hpos; -reg [9:0] vpos; - -// horizontal sync -assign hsync = ~((hpos >= HSYNC_START) && (hpos <= HSYNC_END)); -// vertical sync -assign vsync = ~((vpos >= VSYNC_START) && (vpos < VSYNC_END)); - -// display valid only in certain range -assign display_valid = (hpos >= 0 && hpos < WIDTH) && - (vpos >= 0 && vpos < HEIGHT); - -always @ (posedge clk_25mhz) begin - - if (!resetn) begin - hpos <= 10'd0; - vpos <= 10'd0; - end - else begin - - // increment horizontal count - hpos <= hpos + 1; - - // reset hpos end of the line - if (hpos == (H_FP + H_PW + H_BP + WIDTH - 1)) begin - // reset - hpos <= 10'd0; - // add a line - vpos <= vpos + 1; - end - - // reset line count end of video frame - if (vpos == (V_FP + V_PW + V_BP + HEIGHT - 1)) begin - vpos <= 10'd0; - end - - end - -end - -endmodule diff --git a/ice40up5k/ultrasonic/7seg-circuit.jpg b/ice40up5k/ultrasonic/7seg-circuit.jpg deleted file mode 100644 index d12d995a787944d58397aab791836acb21b4435b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 64257 zcmb5V2{@GB7dZaDV=%_jU@TcOGsZH=mVJpCMl<%EES2m+_N6F$2#v8Mr3ORRk`Pjf z5{m3aB-)S^N(<%x>a%>mzu)tHp8xZ^)9XF&z4x4Z?z!ijd+vMQwx4gm0#K$VR1*LM zL4X4NfbEZfrM2Jjh~wdY$3rmt6qNxj64e3@2X=~}7zh9`04@f)04$I5ddI=E;@+%10x~0 z2;>7;pgRct08j*A{R0C)87TTMTm#Df-3Ouos{Fxahx#>ws()ZK1h>Kdq633!U}yY+ z9o`{|z+k%6KQP-R0APPf3<(MJ2K=Gv276=d$WWIZ3H<5%=dPlnsG_I}s4A;y>nN+~ zC~IR>)O6IF7W8kVIge^thd|SOTC+m7e>D2e_8XK7daD56t+7XBA~-2KYBkh$9B11b^|yDa2s; z4_qencOPhV%Kw@Rq*wsJg#BH=1GD@W%=$Mh1J$$rS3Twr4_JuLUZ(0F{&#p@WbXS@ z4`~hy2UG12ED6E8AhJ7UXDA2<;Dj#L|FvxYs}54h9}sE}0PGn90Pbu6P-_JMEklnG^LbZR_^YTNZ{nH1U`@b+8 z5c(GefZhKEOZ*oM5j+|a=pP=TtZc;#17-mMkP4cBBv1`RgLi;i(6bD<2b2Ou!B@al z01pa)cAzb24eEnSz!AU!CfHGmK5^9HB|bU`7|2RsTM0v*AZzyUx7I1bT7gFgTf zZ~?dmn1NU@0t^KM!5B~sJP4=*k-$Ab5NZ>G=rsVApgI@_o&;mTWH25q0{nq1fGto2 zd<1adN2pyFbOF=AbdUyK0`0+3APW*@B+v&rMMZEKYL@~N!E;~{*aSX?MnZyyz<%Hv zG&UNPhuW_JvS1Ea47Gj$1z~#7{0;*yfGKDNvV#)fB2W*_ga$IfM<5C&2@3!NfxUnM za0f5|S0Mi0L-T6`{K0at2iyj4fOJqDazaGlDZmP@0$6DNRls4e4oC)Xf^WcZ&=SM~ znSchg=(7;E95@eDLfEF@RUi_q0KLF3z#$+A5C@(^xY|(r98eCpgU2A*-T^)U>%ci6 z6p#lL0YlIj)P+`I4qyNj@GvCZKu{HogJg3Y;?Ds%02)G4lZND70=x$Hf#%T2uAnnm z2*?5oKr*l&T1!O`2TlR!0dDXuBn=gi2(7R&MCAqW3Qz}?A?b*L6F}aM&;L_kJDR&w z{<;741i-ld(UvjD@xfWy*bxpsfgZl$4pAO~k=_R*{DZv1Jv{=w9lS%1d-|b3egOPM zqgn9}@aY!!11JE&D{e^1=RRG-o_GyGh{zKD#r=IuU`gX|9{!=gfZ+#cEv^({XBVq3c zB>t@*-$9287j*6Lq4n3DT@t!`L6^l}&j9YPJFE6z_0FEq9on1zwcQB5V-SP zo)6tm|8xJ37u{(l{?&yI{Kv0D-uXYfH$ve*yEj7Zzjtp)8~)xy5PFc*|AvKjywOhi zKX&5n|Bh64ID&ZEegPN(tSoRioP`zo!^#Tj4HtqPD!Vv2Ik07Jc-$Vm*dB>JJ48STm7R^92Z7+(gXTr=`F}3kod7QavLgly zhy!4}APXHkfG6>3uF z1z-?8R(2T6KSlsO^73I-;6$6yQhuCAV($H6)f=p3b7;wZ5dE;O&)ZV~7Yn5Nyezzs zgK9lk8hsX?+5SQ@AFHMHko3HKj^L?cLdezVS3SL~T@3F4L($0T^$-_A%eEn23Q@}k?ArAXqc z>QgC&(<*qW{md&fbsy%g-@JFF_~NetTGjCRe0+9EOqj%+)z=y~s#;E`uI4fGgBRN^ zbNIvQi7C0axxZMoy*uVkqDf08kL{O>8Y(OOqm4-tA9M~=ZWKlh5RUmd#? z@!q1!7B$QsPO*j`8Lb(Ryhh;i2xMe;s_D|6Tkq%oRW*8psXamKeS}eYnpPAh>RCbQ z2vIR=4INeMAk@H$#oZyi+6bt3I4Qx><22SaO z5zFkG)J873B=OPgEA~(Uue@bK3jnK*zkMjrXZc-#(cJl9PII)v6{gY$125KMUWLw2 zPX|95G{0VZ>)t)cRL<*bNi&MwIKcO`=0j~nMVVCbQ^6M;4QY}Ltfbgh-&eg4eBa$h zw?ciEE+~9%BY1PcG$~p#Yhr{=Cz8nl7#pkW(LH9q`}02sq6jv*4>WOEW;oh1o2X3C zhljdBBiSoIL5dx~T?JEr6>dZi&)iSamp#Cny$Ey_SP-1`79a7&zPsSairFvlY4D;; z+p`QYS7gw@00z~}%wF*2)_XO;bJw1W)F)P5A(s76tHX{{k{zvVlslSyx#^hp;1_vU zn?T-7CtkqwReg?>n%ZXqb-|(-ZAU*BhVqB*R{F4eovbd{Nm}1>pETT4ev<7uI z^Jc@#bW5J+y=AX7I^`^5LW|c%@2VIx!NX!KyP5D~9}_qp$>qfA78m9h09K|s5k3i$ zh0$xJCGW`{R|LnpIzJe)5M)Z!-pffHK>_IQ@&H4wX1>ez-IuDr^^&NAvShsiD1OBH z4yML-((LVluWFlTf+H_QyStP zvkj!j^35){cpbCzv3kX)Td(*=NF^lu_4yjOis!&QYgx zFdChXU#xt;^={l|_MQ6{y7vRN~rCp?V z(F^-S&yRLLsm(Avy;(ddZ(&G1q?MC4Y`bYRp;4$58tiz-AUI#^bqh|&-7fa|o02=K zn$^1Je5MND7xaF#vyti^ZmK}+xvy1MON_czg?r&?l{*BsBKmx%4*a>u!qw)L3>fnVY?{iR>}bKX9teDyZwqd!mnyGmoxaj*L|CB zGQ};n4*0FP+vc9i$_el~)3WjWcUqIhzNtsP&yJ)#82dc3)TXfw@CW=dF>tx-dM#AC zJV)&L8r%L#chk;ofKbl&+fU}r-K8g&dv>XxmmbdW|CF9_!z=Fc_0j9bk?g4tF(|tg zo*!T8UClNwmN)a+7CEJ%)>>9doREoY->T)`7T!PY+1l{}J4g{`ow#osU+`6Cnd~L< zV(zJ>8}hCH$&QQo`hM4gpLRc`J<5<=FJ~ph?|rnc*!Go6K=RA&^&f}XmMgFmts_nK z?77rlb&(&SF;7ANn)AmOjgL6ff1G`IgZ+co1@6~lyHFc%OVA1 zSq(=6~at;cKTRZV`+HM2Up>NxC!v>=U56GR-STiZKb}H=PY@hwKR#uvO!z#BD znR&v2c>CvERmU1#I7rX3W34OZ%muwOMeFF2y;A~b?Pl=AmaP%%b3bBvnrz4F;@v0p zzK4DjT`Swyj(y{LDH<*LcwVL=))c>9>E_7lABubOY&6epX4UtE+=QU3*(ntsRpws! zrBH=e;^%1UBYO%S{%W5&jsEDfiA_nxp84(O8Jd=0dT*2URAhy$#zRdnr+Q^ycee|B zW`lC|zo-eMb=r`KJ(ls54--un-{lSu>k7LM$Yli&G!&fAYL5yBIu(xtI` zz*=pl>$R`v-9+sPu~vX!6bQJHiUkzJaZ8+*6mvFsH&xdQCSE$i|#d^R_1(_hH# zk+5lwsCrZIM5_KV+lABs$Fn0tiotSf{yA;fulQH;8C`r8yjzT29u6x*cGVFB^W-~? zMY;Bk2koP5FO@Uqdn~n29g2)-xtlYp*4@(knM;2BYKD!g6(NvrZ_8sakAIUmuGeOF zBI*O|*Kw1aiB8q^LkLaQpza*%!8P$+mIrWc8<}=yO-T<5Z_EbEXWuHX%#Jy5TQgN( z>X%aT=eTGC7XC2fukDULcPsMR-$cJEetlexURmv4r~H)y83gUSmKrIAZ&GXB}l zn#kg9VDk6X1B)w@>Uu}vx64GmZ+4kgyQ6J)Y`=v&&-NUZDc`b3BFcyjW z$X2^!Mj4i6=MyJq3P1FTOblz-UknSPdzjk$PMXckM^UurJ9}TAuRWS7T!Atvb; z2D6Wz9$3njn6rG|;(zq?)HX1_#oM4u8I5*CmQ8O~i)pXm?@QP<`fYJkz<5lv z4pcqW9&mOy;_-nC#m7PoG$)9@biR7QdLFKfb4VneF+0bTHeSz$?e^ z71cCFMj|kb`-g_B26(&LOY+%QgHBWvUWq)%?P%FW#juAUlfoY%VcP>pTK`iv9eT zw8ycwT6UK)4lS|=N#TMIaX%MZLtCD$BwQ1+McX}4jzw8DJ4#;j+Kq!_#+Vh}y`S_3 z&7@pIB}?((=#~1gAhhGq$2g7Y`k<#Lrh97}pK`h8J$)}(by9D<+?sSkpi_BZ4ct56 z-JB|AEEb*qNKJnCms-_Zb=MneJvaR5Zl~nf2T@n|IR9!aSh`j|(#)!z-0bE)Tw|fo zw>h@)F)HJh=8c&LIj@)4t4O9demb$XseF5RBH*&~DYHzMF>QK9D?;VNqL*|))@6_ z4{TSF?zw>RraMcIrp)TQ?v>V>)C+UOF*WzRi(Buzb*`|_$y_`~O6{)OCyD-o#H?~r z9#^~btft1__(r!*p(-j0c);A=Be~WuY;DipRMeKvNofr@A2IJXDpEP!*3y(TLZ3hF zH}vJHmC>zRrolIcK3_G|jNgm33%cKdEkvtMb!1xp_#*SXIHzGF;q4$y;pd7%ZNB3O z>f!yS(WeqpZl#$BpT(i`;#BE`b!P!{B&pC$h+z` z+PHUQzCPIKB5zZ>-N4n>IHONrcirLkH!U~%asG;n;7hI1%Y4i?03$BP@F~eqZ{1ZU zpg%cwdC;K;E_3L|0ox2O8Kf`!hgVCNeuyWo38Z(O3B9v7{R59W@6E0$m3haw)s4*~ z!&d&q*<&5|ELf0PjfbVrUp@Y_OyCB8#G6Mu1|Tx3sD{@nYn=T7FyZMyFTcKId$#_LVEt9`j)8aDB5^XK)G7wR8se502i8{gY& z^*eit5OjYV=${~Nri{l=MhjNl-b9>r?Pq=D63Y`^^tOOY?BsC!tcAKS&8y8xamY!x$>|9$3pq|GPdrs$oR#eMS}?^@sDFS$~7 zi>*sf5-L_}Q|0s$w*kA~5B-ZBLL;WVwN~(bv0BDnIk7!UYhv4gfzDtQy~%v$z{%br z=gSZ222cB9TP{!@arC|ZZJ+q${dJ2+_0DSKe*NE5ZPnfr4Rcm3$+X3LF4c?i;^AYB zqp@SPF3#^>=(Vh|FuuNbiHo^0XJYI(fUTq{=QD$hkL2d%<=qCye+Ep}EN=s8?$+p` z5Uu1c4%0I>#RI+@>>Pyf&&Qsmd&Ksm=7$7U!26q-&+{%f85#RDWfc!6XP&q;d}?{0 zQ(V8;10p$#GVC6SKb;oHP!N5oKdaX3 z0Qz)H)4m_sANG#B2wCa;ym>rmf9s%u{^CYr%G>95=!wBb`@F^^t1+E(y;la)o2TDS zt@H#HyA>h0t6D32U|pw}uinawCMM^)RxbxX4kL~3HdnRRWX1cg$n80OL? z!^_w8-B;gpCi_{%G(9}Ln`7P1_w&D%%hMZQ)hAufn=jVpJDig8XnCylb2^KDecjWb z-plFtpxRNqmh0#ZQPN#{s%%K@Rkx$9;yTCF>U&o{E~)$Lu0OqY$2?x>-a|Jd+sZ19 zicejzp{WxwCg1nW$lEr)3{)XcC)CzAmV?OP#-yZ3q6XciU@ndEu&4QY~S!(9jWA^O5hkbu< z$$thhO_^Y$T@w_}$&n&F;;=HWs#*zeeRSig>H7N0RP*e8wM{E&p3?z8Gv>pe8MI#s zbmUDwuKHG8ti0Nyz4WHO^+$$QOhX0tNZ#ekzR#|WpI&}pO3YdF8KmS&79pqc+d#d7 zKVm`}78SV_b3Xe_v&G_*7G~BnQxn#=?6ShbFxsp4#XoktQLfigXVthuk-`JAvg-rN z+9E$wQQ;-og5uo=9lO)LKDu+kZs|zICp(w!Y6+wo=D#Ya-jw zAKA7pK38(9wz=$tU}D~2wG*P>f5cX2bfd4<+gWa^Bw^&Dvx~~51>towMy6BLn=_Y7XpSh{Fm+YM+jm~Ul{%B`%jviH~CDug9sY>w;` zKYaD#ZH=dVus23dCk49ltFj`x64|fVynQ1tR}gUidwGwQ$nWRv%E6lSw5wtr=2m44I5`i^1kxdlVhJ2-fAJrT8(Z4c|Vae z3N5bjna{DE9(x(P_-@SGtV>t7YZ!%!07s5E+=#ZX@9=V%dO*|3W;9=J=0AQsaV|U6 zVj6uWL%V9j1st^Ryx}?)!-|@FXt&__ zMTg+8r3O%)T!O|{nIkbBi?Q$^b1(6JjPri+rF(L67adIk=GTuY)=GBTD#x93Iah#| zmq9;p5~h8S6Wgdx7fNO3;QY^c#GFg(NI#$rOOUaNku%v>yvIIOC$D+m9_-sp{i1Tw zOh;IG9N|q#>@b?(?U^s)kb?Whzetj;IM<(XXb4 z#Exkd|eV{ar7hqOh~`T4gT-dI=_mAx{L zPAD0`#^}{34_c9t-=4}yIKVhvjim2Nb%=<*w;9T`E}HDwTAU_6VIr||ECHG34PA;;)$JSxt5)(W@6(1``anC%5NdyZj;|LUfdrg2NR(ypA9B zR`fg`q!i;3qNJjztOV#8#DqWzMehjAQEy-WV13-D+xKu7e=mKUle&enMTn8NpFib9 zn77>tOMA}~$2_&Ya0dG^dNDdNK_Nlj5gwSBpupg8ofv)GAL2R?yi=`&!~E$IaZDfQ zY+;QtIv(bYQCCz~RK`KrVO~Buw#FuZ<3dmRxWAcTRoo-qHA2>;{3m>r59M~_EF=;NS~|6(a9f`?Uf&hp4cSxNb?7s$sR^)$;qy&jm>2G=Nfd4McehdclpT+eKhVY}I zrTdcxL9!z_5Nt>e1P2!mt_Tqu+S`I7>7d61WH)bN$C{vu>xa22=QL=)qp=&FmP zPk-gDf@Oh^LvX>K7xFIZHd&F5KvEB_o>RXrSb_Vilk$&fS!qo>WP^QAuZTaE#R3oK zLz;qA!=aP{7^=t+o<6Rc3ZRpy48D^u#De2;xIM{z(w*>)!)m{!zSq9&{QPYj_&qvx zdW(IjYW=SEs?q9}S)%#8@^^8+N3Zp!Pm|w?zg=552YL=)krIBs=+yu(xOU;AjLr_X`m*hCChVe&BCt`ImDI}5;`_U8_ zDk*{FL>^LQ%wM1|Oic+4e`Ya=pt8Ivk66i_F_bKZCTF=o7Nk_Bps>c-_WdYF(!rC2 zNrb$@LfOGdcdv9JHA_}_S@100Bwe*IAg^4;yLN93Wj9rC0o|9)Lbt^PY-A-wbw{JDH`d@R%^tT zE8yRHi^}Sl{AhmkZFsaDK9LG$Nu7SG?nz%BKO#3m>F4G+= zl?-isu>vF+e3gGyB`>#HVH~NQOLJFoWA$9F@yP4hh824!&PV(4!fgD7Fz!ghy(y=7 z2Of>C9~QWI`Mrhw*$hp^&^D>yo=}}DD9^BoF;St5>K#S*8=U35M932D+FxDMeH>cP z--+}iq}XJL={@qk!c#dIb8e5#S9clF0{ouU#*MqE&eUIJQKFZP6%EotqMtcvIBE92 zERENpv)$+SJko%ad;Xd`*rvg;xy3LzGYG+UmViZ+N5F^DQ7M=xk_Up%13^ZRP$n~} z{rn_2AAy;O!S$8#Qq^cUn8`--Sgsd9@|Wc-TOfgCHH<>aP?D@UGZU54#_*R;LG}@_ z09!`5g=8a~q)_-!cQGuXQQ_<~7EUqkC*ye=O({fC9)A?mRuhl?~mXap2a#SY7SSWRK-Crl-j8$p9yt z>_&6s5Q%YP>ZW(SE=lMj;{c;gE5w(&0%d7_t;T6H&H6z$MZB36d!S%YOT7DzhC{ z6Ak)4CUzrmD0-zyuO;V9+U&c&Pf?sw3OvO}*d4Cr z-sG+noNx6rP`~p~d8mg?^N8S<#IE>AR~ON!ngHa}C|`STsZhUrYv4u&ALFw0&$P-H$&r z(~l^MRcm8VWT`M6W(tOnNM*s|-4U>|3sfM=bg`ljjL7YiY-EWPou$(=Wl8=xt<`fH zHSe+=LbC~FoqoJA+?v6P1PSlh?XLx`E8IClsOj3hAd976(TD7k)jNx zVZgW=>d_rPWjMYTqq zGDhpVd!}3{TvPJN+?w;}_Q1h;tytHu?zXl@Ihtnj&ck8zck|}5q?+;vPF{E?8Tmd= zDn%qCW$hNR`PtCxBc4Vk_sJRw^&mzd8v&qcC|=86LkBWEX~YxwbY~6s;I#Tvc6wwr$*5Cw#g$eyFV6>!2EjDow{g`wlND zj!x!)wk7Nm&c=duyP`|eps++)=ZvMeLK~Uh#w(w;Cjt5=rKEl2&D0# zxolz?jfg3R`2knduq-50`msmb0PpI}v?FYVLf+N&w^?&auf+U5`z+6gZkcR*LMiS0 zdEUGSFBNi!+=qQpqvsacPiM3_!nc&r&hY|=^lgXYFSHSyKiwm|c*R!xlrWhpdM6oPcXiyKc%XeX6WnGzxw0UvG@CTr{+65VzVEYUOkSyv?uH~@i;N8esx7|+4rGh8h^3K z;Tu`p8TX2|wgG&HyJ$$ef&o117t52(*9Q0g6yfq!jzm}w!0#Zs@A^FSF65;NMMm8jp zsG3Q}rJ#2wG#1whU~B}l^N`%|L+`%XA%x{jF@zKtKd6jSRw#yfu!u@)Jd2QqvBBuD zxFL>GgfNkc;AHJvJtV7qn#W<{&S0^;AvJ80ZC(iHksLpTQoOm8*ah{*I_B`Li_ci%5>lC+BQYWa}zz>7ZKeavv zWVyJ#S)bcSTsNSvx4P+>(kutY>Ur+yxe1F5MzwuCbgt`pY*ig!+btIF?(8(G$o<>d z=SQ}*4GDf1D>9Hg4}!R|)WYmUt7aWMO{x@v`-#%2%88Q{coH4d#TSvGtq$31p^KrW zEGM84=J4et4RU@90)z*az!0U-)xfIFKJnS}^}bPg(zLm^;LbU$XnC;@HaDA7pWg8=JTrw!5rDCUQ}Zf+2-7f(OYm;mRqfc^lGn!)LXi>M@}lb+cl zdXw4k)-NoPpJT6RX2c!(*0K`mO)k>W*pMK9wVG$cg?!?0coWI2YEtKwXNJWoQF!|id_J-{R`F#F%HjPCHz5*JopnwV;}V*CnA0m+2Rfcv(KnAms>iolMw+!>%oh=3E{uqiZrMe*#ik@>VB-=~zm1Wi@ zeFOnC42ep_7ef)kP!cPWLWBZ0TOxYMI`cqHqHWZ}0}+P&92i_B(&IpJo(;dKG#}C} z*PH;9&5U(nnGjk^lAj1|(wKnpXYxjHbI34+ba?#sAKY@eKJPT_F`$WR=wJP1`C?&z z?Zx!AR^QJ{Nd@gz>81gAy*17L;q^ARtP}OmXET?UM58&bJ{JC+%h)P-saUN#j~Y%8 znbLAa9JA=T_>1atz<}edzKQ3z$U_%7lc(g%eSXe_HWaOmYCrmy{#fk0eJJLJ zegztb^ikt<-iyUHdd6Ej4}1GLq-s>v0;C|zUKEjIQqWK>psw-Sh8^32G`cG}%I;m_ z)piT$`|do;-bPT+0*^?^ACZI8qih=yX_dt&vJ{Ta>XmLwNf9XEtF*T^W=5JblkoUT zlA~e1DLsS8N6)3g8i|yue2{|>j5bA-s?tF`nx=MrojhWQrr8<}@sZe4F!{)zOl#;+ zO0kBbFy6Paw^vn2QKiye|%&Vz+}>9Re1$grVSctq3C zb{uT?;*wH0aFDf_l6CPr@l)OL{$2~o z8!ZdGhO)cs_PK0K^zOvbv|=*s-A-(f8wt?)3^S=EbbAggg3pvp2F@~5SVv^ZG`zIE zDcq(HI<>Sb<`UI0C>YHekfzfdEMNIwF)R^$qC5Mb2r7k(faf>Fa-;~@j~P)d zq{!ykIl?b4e2VH+%KH9IC|P6`LC>+=tDutp{4;|6!fe~2&F{JHR#tPd{)aVOGU;%0 z;723P;wS6s&r`CoA;-dUe7!xS#(S28l{@yQ39)Nvt!p(uYm?Uy5Psqo+2Aa7ao?cd zn$9n$1Dra#wJnYZ+CF`CINR>v^W z4)tw%PVXJyExk zr>2(X!0;J|r&T9d&nz<`M}^7YkhV3X*+E;1lxpchqHM`nn`|3Q?iPWsEYdJDGuK9z z*G9_1n30+N)mBbce9XESay|%L6qQni2B`=HT&9u22lFGMv7#70bEdTvpZ%B^3PF%g zu#r+rH$-PDB>f41DYC|RG(HoBDi~5NkKijyoF$`24C#b*8(qd7Dz@E&tbF-Cro!9E z0zb^HOf$#$pEFt|`H7&4;rt|&E+d+1Iw7Qa%U(k2H{n^gLynOdcv`R^l|DPuc0=&f zc+A3!ec15io?{Cmnyz{_E>El;L>5@9J?O)?`bTaae%(GGrMEh&N||{)5Z*q9zp9M4IoG83S;D@aB2s*)l30E8+%&h=(uyB{ji;un!V4lk|2{8dt4b`f+Guzw_x9IJdk&lJR9&n_^Q8~ z_Rreg*++9XTeB%pTtsy;TJcXwu<$kyW|pz`)7i2&NW9!-(<^mIV2KGu$QCyEaH^2u z(AiRU{vPM7BB#_3a*Vt)`pLMEY3-h8ThAW3y1R|MZ)vH%9^GNQ(ss|?>E3Vo@wcVj z3oakM^ZVx}%et4G29HNpQSCaPe0cY)ebT{3uPL+>cjVaqb!yv>8W+9p^O4mpl2*m# zMHAZRI@6kOzzECB@JuWsV`CWDLCcTwP-pUMg5{{?_ z1#HTEwg`Zqionw|;Dxk#K<8|5Wg@PRfl+`?28c#f0wrb0nhZt1nTd{a(=_hM772WL%OgWO=!^ zjbs6Io@^r)1HB@XQ@f=ck+JWL#i3c{a@hsg% zxZ4T$I>dsmSDe44dwuuoDVO35zZq`5#;%^$j-HVZqS?!JyDs(uxf4@w$$@m?@Jl_8 zq8~0~-sCd)_Hk*P8NWbaMKRmdpf_Jvq>S^B1jb1MtgOh`9JlB$Wjs`d^bC_6Ma=qWUEke5gU2s`_z>S(4?Ki5V)$KrXO%DSYcZMbC)UuVb>_kMu7RKlys&ZK| z2bN5MBBNpu6wBM$bN>93#o1awXBB7x8WqZ-90gn-CL#N&bSU1Sr}R=`B!4tYPKs(g z12=V%HmPQ0*X2Uz|D9Je0WuLIhO`un%cNKy3BjnA&8>k$E9yw(p_r%CB~U8MOhMv9 z-6}1{!DdPu@u4w)eSgy9tC7xx85{YsB?2RwM1ca5f+1GCR0N``K(aAWmOw`^+4amL zzuhJtWOgm!1>?nJwgKRl0AKRa04yc2tQf^_=&sUuC?g>~z_6+k1-Wg*QC3VbTibp@ z-Nr7iqXK(1!aer-|GpY~;9Yy|gVX3*tr+pW{Fcr8X1ag6DE*)%zYFD}CD`E)+tmbr zvv*NsP5V%`H$iMd$Iqf*l{R_k{b5;=tpe+_{T59erd#>89l>+QFBlu~94W}#&6YD9 z9j9ycalcez+QS>??Dx5EVg4o0?|Rsmy&|<|ykEUvUG&&g(6svfrfVC(2om%{ z(;tWR9?j_Jcp$HSYq6-0e{?ZvA>Lg1TuVXLSNXU6F;|7E1apomeFx<)=(U#E==qN6 zK*xpCk+{MubE7MlOTQLhN6$r64wf*#g#^5{dOan5r7`X_@Ls7%L@vAc$}8ZPKyX=6 zZ~*)L;|mYIMftRy!by-%ud>P0@p;>TaDx5qM|Dvn_E*eU*B^~g*cu1*NeNeJLAIx` z2F(xkr<{v_NOUh8-U=HmaNGv2d^3-E$x?oYdHT)i$n~4>7a1<`wsZE0x2a#PmP4NY zy!UqSblbUYK*H=?PgCV2v+DSRdX<>|?AhOqK0oPq?Y05i88h+E2>#xgPpi;ZqgNeI z+}gUKz3*9TJbjmd-Tui?-KfxC&rHQ4?oP_h$E<*dxq|H4Vb{GqMTMh$Tg+cBh_%mz zUs?Pix!QDP`lW8|CzbiN+*--grC7gnLh0LpDnWGd=v^VJZ2JSA0$$k*oac|`JuJ%d z9FUYcu5drJWtVh`<`7T+Uhheaws!a+@MqrFmGoa`mD`ss%yZ zjJs=j`1?8TqO1aGp*_sz@Jkn}e+*Bx-FQ*EKc(Q=rOJmsdwDV|8gKoqd)uzyJo}Nc z|HhS}+QTwp-@31rcq!a)8hOmfSAUeZb#lq+oJV6lM|uIu;o-FPIgBWGbG6qZDR1 zql*R3O7nX0+Q@aGc@{7vG9^_ArAfxshK}>Z*tgE`;x+?or5D~oull_4+{$G|a%?Hr zk{q~&Y{)~p%z}}2wpJK5_%!se(&{?_JF6_S3}vCwvE1lW>@E`q zdj%5Te)6nprPhO;O$lsE*mqM&%t;rX@^>xSx}?`n7L>+nj`g98J6SIUUp&;s*3a+a zvM@CoGI91NV?s$__wx91ho&75$K2_l+_o#&o1)*(DJ8Am%o^}y`xL`D^1X03meK7m zxdw8C%6K%i(*|x`6YF1gxrwfkT8X%RH+kjeD9u5*sOMd6^^i+1UnCqRD?djmuZIh8%j!-4#{O~|deMCCYU87(_D#K1&BP3eudN+}zl(&0_up$= zc=)Q0H~FJvRnb>^^r^x_34~NlLR+ZooF41F-_zYo->!^XZ3C&w{M*3Cx;^J&emNaM zPucmr?LM8{uy5u5KBd0qUBAZpVno?PZsTixp|1wTf21x37YAEB?&;goFO6RN@J?ba ztO*Z}C+vaU%uI71py3okyoe9!nXSNKhZ)keoJ zxuvtkZ^mQHSZdkzS#Y77L-g)1qYJlo*NdC&TMVB29BtD(W8!o|*6XDB&5P4Y*Por{ zUc3@*{cOL%;)TTScM47=*FS5hn{s$-Rr_rYY3VKmI>oGAeLEO(^p=~RuGEE#`(L*@ zH8#!;Wc|G5&|c0Rr&?&iIY;v|O7!?4Q1a!RC@L11^IUiRSyVsm-}Mr0Wwv;%TWplo zChN;U{V@}7NpmddkC=tEhH1CJvIe`_e*32@tA zXdZ9gz3}CwVtT?ybk&*DN)phH@hGTbz z$>CTJYpu9(SDgBO>gwB0xNnm-^+8yzyY)ME<% zT)s;*%IXupQ+&_rzRlHbfHt~zC~X(NyKeC*B9-yRFsb8}`s z|1=7GmxREqb6l4sX4_bTSBtu!lv~hL9C0|x<3UBkJ)Wqq9{r!_PJP!MvvrTf>!eB* zi!AwDx@~Fx7Rss!IFvcH_X&l1P_2+gOUXpEktxfJ2%?Yx>|#!hEIc!gE(N1PCnltv zw=u(13UVN1(>{z_u2*0V1v)#z9BmsF5`hY@P75NRnsrD^%_Ar;;x;w){k4a7-OG-r zWqCRQ^80jBZ<>p~4X*H@-PQ>Cr0F0MTcQwKvfFzwp@d@X#UmhgKnr#J?Hk?HjGL$0 zUrq@x&u{%mRSZauc_}u2(rwSs+?q>9xI7l&!W%}-&*i|6nR38V(U2>G^8QXlIXU)t zYg1EA!#*kpE+vnWFPh}XG5IH=fn#;u0e&(qJ-VSygC(rP`0#^Ex>@h6$ht0KhT&r3 zp%YU|2~K$+YlYWlfsLI30EuxxncfvOLQvH}zh5CTDNRJMO|eM^9M!=gh{3YL16) z5z`-%573>zSBAW6Zh`x0&lWzuf28Qr=g&u>k7h&sc<2W*dV6OS6h3l@Ea+V8*{$w_ z%`l!0Z1I~*xHE7>=w?EL(!259?~o@J$HXI;zaIHEVWSNCVC*JY6|IS~u> zgFzeMtKY|}&mPGibGBpBm8VJ_v1_*tSro}_C8Sg~*z|#2IhF=IR4E0|u-X2JnFm`G z&Wphcm)S0SacH)l*muVC$x9#6)|Z{EudAQ(Fq#%#h2<^WtuTT&?Gb(86UTg{<;5-X zoc_!54vXoJmjo{5`J0c-Uzj{|=6u;RRquGPa7)f0pl8u@RNmsL_w|RueKmErxy#bM z(xX1C$_t{m0iVk1>N_Rp(?(}r`~uyo#UEZ6Y5#GsVJqo_=_d7_^NZ_qdP={1A4GgM zpC0Ooxq13Q*!PR98$C+U|9#T|`JFU4ze_SD$gK zYiiRd5g<<(xexP(5|V1}j1^l99gM`-L&;OY!(>b?+fomMW*fZ7s!_&7kAZfqEUXk+ zcYxu5B05O$R0_ZI*lbkOig&tl?Xx4dLpaxQX6$>;CMZ-27AkA^GhSSGGwRgJ7mAXw z<2F0Zd3RveHL2q+Hg#;+K#`F47}z4VNa&L`>0&I!F0VK(U!6_5C0KOq<*CD0xY4Rw zBC(x%$vLF`y7BfklGiJ529$bz)IDhw`CWJOdc*uF?#@-Q!AO~#{imm|YVUgNxpv6q zW~XyKBD^7B!%E^;wF&98M!{6uN3H>t7vJN44VHzcd8ms%o!>NxL)^Tl9>Lar@uO_z z{LKrAKh8WjzRp>mKJwJqJZ6wzN=0VYGpuP#-T(W+aPhSJdzq!xet9ALLZCujHqgtHy zv7-XO>FJ;=>$B?zr+@lV{g`ozVoV_kgK34?o=1gRZ{J>uexw)j(_8bbYp8gHrN@gW zib9Oi9?x9Hi2d_ZqVFW6R@27qM{mY0FY)-)qrcPOg6E+R5+8IQFZ=Ps;Oc;HM2XJ- z2aZ5-zgNkDp1w=E8!y{aJbNMff(D-AkYacCc;%`rQ^qvp3y zB9`N)Z8ritb!^lV$bziMWk`z2{~R)nkq#UL~kGrR3gC^h9lnoaN1$ zM{eV}Sln?B^@jfdFWE9`e)ZQr-6qjk)l-iYF2)^1V31{QY~*OVtdKinvc}`PngMVN z4i#HbQN=S-z7ofljNH-6W!!PNS)!iKFK@ehI3e{>G3;aHYhLqaw4F+=>}Z|OZSy=> zfwAtj(;IIDI9wZomDWh4bT(_f%lwwT#lg5MQ9DvX5U5EeQbY+MBB(Blq>=`8NTTT! z2$Ue90zwdqN+n3BlpPRmnn5)K3P}Xj1(O@55=1nUNe7DlDAsVW3VKj%>WQvLW{du0 zF9C$Z9Lz0si?dv}uSMuTiWP=zdJ0kQ)4~b=0F#aX03a8QhG}W$Y>-Ik-qUdAotIdy z>!v1odl_&ka^7b$AX;1);iw&ZFB>JeugY%Qn;xrub~lOQ3@xjye2sU!GywYBb%R0) zCdZbWt4hs#CzbRJidy(1sE|hsq-cB3-EH+N=s0xL^tjz*k;%t^)U+~I>I`jl^z{qp zP`5nct-Xo1tJ_h56)ihj$4SJwbBEmZSjg2~y6TCe$u&d~%^g!8J42k?f@F2gk77Pc zCLJI$VpS1IXk83(#@0K^(((qMt(H2dML}zxTuT{|ruz^1tS?OQU0k{oJ+UH3FuuINC?t3*Sy!sNs~*)?%_Ura;J=(o2iOZO~l$ zTjITx;oDbFN|@zW0cl9KsiXz<=fStXV|V(*mYkur2Zk*0zIado386~}o2alqjvcAyvqh&A`0+Ypf9ZiIIj7@R-vR#MjyEOF>R5v^` zGDe+?91rBCmu&#(m?|LZpCtsw;>SX)7uiAXDT%fQfqM8PU6wyQ2$s;V4je8F5aJWAO=5b#FU|5D{M+2zkKYE~{>z=hBME2m6%%Z9BJh=%_TK0=b z+m5Po(!nE$v5n(#wZ02Hl(RG3c6R+&Uu;+JhnoH*{{WX(ixZj)I+}OJFUZ_4MM2`p zqsrQ*2aU5ecoK4(Ii;uMryTM#W0)=(d@5w;TXBiWig=gTu>5|OXZ?jo4gBouf9|{R z;}16C2Fl*8(65EExPAWsDdf8pIPG0MjB}T;eb?%~b-YZNzwyhxe5mU2r`q7Hr=Lc@ zE58f0D)XZGeFuvolFh4OVe7bFnui$=V_i>B9L`NAFj)Hcrx_~r*44i?m#8T&d^oIc zw;83K+o)Tkk~v$NH?`xjUFnjy{AG11BvEvV+7aCdLIEVuibz6gpt2B{3IGKl69F?| zE=T|(tC*HV=K=+V^<0^1S{PpU0NwKyW+jP6*vkf?+FIJX&@N+X-#di0TMb(f*}lC> z=V^7VINiEd_sLpx%^g2ooc{pWDrc>xqLL^Jn!@vDwO5N*%||smW2Stqk@-nr*;_p{ z6%xlZu{F|1?vgbND{K5mOx0L-9}X_$dmr@I~Rw2e^96k!zswy2_H6@Tm3AN@e*&4jYL{%m_j{g- z6&12oz4Z8bwX}Tp(Y~EZx`u)}b{x0N?OykL&pp>|MLDyS+Hb{F&``gP?G;bsRGr>- zXJ)RWB#E-R7Q7i+0dVJHvd382CCL*Bj01OAix76yEI5T^ID9Xaz_o?i+fw3st~a#W zcP^ByRy7XG$k>${N~RD1cywpoa5n*RhYq@g29uIN2)|waDaP0^x)NrU#^*DfbuV{e z@YzU~rRb2cP}K=xk2ZnL1*G)owl0w<3QGlkGebd93>7o6vP$fsx7lvEBI9DH6iSkb zL?Tj5sPj}biZnt2B-Bpm8WKg(NTiujLDC7N(V~@<08%BX^BS&UT?nBD+3 zJr2uMHFHAF8yd#~{Kqo`i9h@6ZLShDlGHJk44t)3kU7r)oa`ff*Yyj$OfjYsbW)El zW1NwumQrMAh|uGf=T{MXce2-9DGW??D_Rb0rq=|tHKODmr!iM(=-^Z?s)A-mkQbdC z@)|s#n_R1-#M<`;K~V!-HxszVI#?SY@GLlPKU;=UNli}5XTtND%$^Yb#>a5EPRS#~ z&Du`j4Hp@$rdx@KhqH=$vtntC!1Je(l8X;Rb=$!V7_KQo$3W(m&6T1?mXLYqzGfn` zvoY<>uExi*&}wU;yM)sMbR;?7n$N3ScRW*7HZP3SNenNLab8=HUrfjJ1LU~WZ6%WM zh37FiH(P3S{E1!66B2%TA5l)nNa)?DvjyBVHXS=Eyjs|)YUl+lmUyRZuK~8m;&$(# z3S-<)uA9k!Zd?Y^RXOoS_=^Kazsp6L=15-qXzTM`xv>@)1d>s`kC0eMJ<>--!<+3N zcIj;cK-qdCbsBJ(Ju#F=8~#mKTiRUN?=L4<+NF6z1Yb z%NaYC*~8?!7;N=4k#OQ`s%YFZH!r;z^zOXbDLePCYhE`J$4bVwqcL+G!f#tc8~pl_5pqSqa|1==k#X_lwMcvT*?GiG?X$itK!bndw|G110IrG>2nm~G0> zSBP1~fSF|Hu7lL9FPZWO8QkybYYl)-*G(_1G4GW2b(VMHn*Ey@6TciTEI&n+%xm6w zai33m$|jOa+=jOOO1ep!$8z*IcZ;zo16a!Xn+{HPdwjy~LHt0);+Qesj!+{ z>^_bfE=%cH?=(&=Jr1FMka%m@<~#>6+7^*;ehbUuz6HRs49|`iQA~BuPHZpTr%%ar zu33ejTYgaZe345S^ETtC)mF#bRyehN0~G!r;}m7ip>%kJLz6s`f9X0OfnG+kl*KWE zww>@wKJ1Mxa9)Vd7UJBv+sCZ_GRn%C8@{8m*-c(p;9-%>cVlIV)Q%d&@JB}~ADT01 z8*b{&G31UOTVS!-FvJgh@>2(*i(#ptKT;p%m zaIp+c@HMwMPW594NHa1$}nks%3Iez|Df^{n{cC_2J ziCPHRah+3GF|TJ7r`hsc%Y81pPC71qeQZ>0%-~-mjhe=bmlde2%<$(nh~`RTO--Jv zK*-4!bI8=$b92p#f-LB0$(p9YGTUwH_XRs zr$WcUMH^?Ei16DNbyZ?!y6}4wSmu}c@3;#puBN%PGtY}m7)u6$EQV}*^(Wp}&tRv>G9^z?>0X66=Xuxm@Qj#oUF zAH-fW_9$IRMV3m@qo2E3{rfLBkNBC@b3K@NF))m-lw3LEw?Xw@dY%btW^6DpzDH~V z>sqt3o_T6#eAN;eBze1><8oKfd=KIyJZ!_OWV_le8{BsTm#X7KfYQ-nH8a-+&oGJ3 z)Y+^y<=*_(ym!K_!!Qa=Qw=f+B8`r!Zen#H9OI>q>lrgrmaT2`)19vsn2Gs)5Su8H zyx)YpM$A@_Q`9&%t{?-i_s8P>*P}WuIs~*qLZKlDK+-5lP$WtcMFmANmoyzj!a+zV z7)>PA0%;V{3i_`Fv~5*s@^8GM%)1Ab!K z70zkS_QvLtTx>MvyK;;j^iOORvNl#naS^^(xHiJ~=ld>^(=KFnZe>7pU|o*P(nZMW z>Y(Xb=N==AoZ?A6%AGvyjk9HnGUvA~-rL)_=v5`Hd+yWb=(4LJh)XbxxHOS`#OOVH zBBF|-30lWQOLRv<_Sw1pHS#3>evSm zyWD4>{a2$jG&4jPX=&dX8V(ysvd^?M_(P;~*w(r3b6Py0lVKW;9scHtzf<5l@mrwYOersbe>@u8QStOW_O|)b6 zE6mG`)d=n-bH{5evRBIUa`Rv>b%~6Y`AXvPsm${)V(Pp;0rphX?YB2w=jyT4mc1d*>fiS2j7mVz z;Olj5^;Zl^N{U${bS{y$^EWNm6ga6zBja{vJhZSYr9yw5yQG-fYw09$qEeWc9bW;bxZoST#Cy&f9OF0Ps}?Xt3`g_+^( z0lBa4gMDY7?Uu4U)5i`;TNriOD@m~=eJ{ya`llJqGi5USK+i;Cc3v5F>~Q2x$DP1D z)z;bGpG%7x&c`||Qi4F+0w-hPAf18S1<{JKrOmtOT_<{JnJE0hUo4Ln%l6NvYw9!F zsu0ZvyZmkNBf8xUd}g7Hj!V=v##TtvSCg1vn!p^044u)>1 z%&@_VVf8=#J#9Rln;^f*Yq++EEXS(GX z{4%gQ-klYfx{yKQF<;@vA3fiN)X7Hu!yopM{^RPrZY$wTa|>&oJs-3{Hi!vSh_*B!i>e z-zoHP(Q{o))2uJIV!M4xU-E|wc>C*?m}|pa2z#S{P;9%@9wMuQD076Y9d}+u?RyQR zVYgmMwdKC!t=O)4JZfc*IdJv9Is0c%sbT&nrj@`s<|#YqSEr=LOPUFDWpAyD_;huS z4YY#es_D>T)6=+nJme3;?LzQh!@fRo&Yfe)*B6J}>Fa6cHV??}!u=MjFO$%Cu!}aW zgb&2sLiF@F)KkT3dY>ue4Z`L1a%1BqUW(e6{AK8Cu?9fLS-@L&E*p%suP&InICsl^ zUFxNgvQ5U6myzy{K@*3|PVp0iBp~G^TwQ81R{cxS6BLd+LcVD!s2=)Qc%_ZejW)lc zqLt6B!NijiIMvZ4V1ay5?x@>w+>S~D%?s8 zHd=UG$9=1^v@yR`eE4-OO=LB4GV(?4o2%R#k*}OI7Hf0Ka_TE5uaVGE6C49aRCVm% z-E^%sI?#De_qpM{+F=r=N5^bktod;I z9I^?SaB|4dbqd?YymJgrXpe`rtD^SmShzF3D>yprJB748u;pW)cXGcq!o$2tPWCbx zVh|Cf!MlI5=lGiwq@}NpXrwY#wYK54-ciuIr=sKOF)h~hv~b^yViIB1p3zZI(My-g zz&!4EKsoxa6O7|kSggNlazYK;5ITCErDLqCp0=UW)ktKJ^E`(^&?*;5u8p^0m~BQQ zE?8TZG4IIjoBN*2)iyc|28T0^iQUIn$a;U81*5`vEnQcJ+fzYb0CS{$;Fc?Z;iZd# zxW0?UVsEQ{AtV~TF)`tF?!7EJ6($6^%#_vciF(xcEk>z zr>S2-@D?;NEGgJ!Sr3|>nLu{DNVc)-ZM`hMTD9So^WnIJHI&Y@u{z@W9-#I+E*@`< z9!@E&ck%vQ^3&>7*zpbC2w#T=s_ud=FA;xH0;bzXwB#!!n z`*d7?7vk7XG2qtC9MPJP_BuF=zR`r6I9sK!+hyS}yh3a;y_Hnw%-?yW=;6?*;pDzt zF*zevjwHi*n)3TuLcxP#kYdy=sDaz)M=*JV-EUr(azbe!5=^A3kx@G-BvlbYWFp8q zN?|}L1q71`1j3=DgwYu>3`UO)jj~r%HU>D@Ion-)cg6MXt?^xKu)VK{JTb0r#54hL zdGlXj#Z4oqDqB|AWo&Ud?v6_x0KUuM+(7>Ty3jjvRss(`($}z%<{aK$O4mvr*0w;> z*xQsAv<($dOz7Py12Y|Fka>&V-$gg@q;s4b!UU2U4Z!TTJu@AyYoHW?v9#yROPo(G zLd!U1uI9;Z;B2m_h9KbB3ypx)+7fxJ@-jw8mA1Lw{{W(pt#lL5O3`@PEOH$SwmOSz z>fMUyKOYi6StU7+KI`ptp^DARYqYyd{DSeiTb7+6{!&~9(OyA`Tzu8J7h72$4d@xcAuMwZt0z1G}VOTvY* zPUxKJn$jE3U3K)js}PCPR!1E>?JLE|Ee>dC+@HyC(;m9%#((;VnXy$p+&fqu?pg+i zwx`z1<=EJJaeA1XZX%GiqT2=byiOWAS}AHL`zWGmbDSJP_iI~H#7{uk*i(FY0Pk#W6yUtOPh;@5fOWQj&F98Vx;!Hkk~vH6Q~_h zY|d<114XsfG$0t_!)B5>+mT3IFtq!m?cG@vjf_7tTuBybYptpwb90j;x8CCSO$ymz znWPPQ^huy+v&jRpw!zamqb^(SG#;r*9!WBgLHVc@3=gVgZ;(XSI0rr3G<7SjNlejG z0Azwjw75CV*x2s51-5V(y4M`W7++@)=XpiCdZ6xMHO`I`G_uKP3-UFt%5uAVAIx+r z*UBZnaloXjah5lL4W!tCVW7T*dKEkhfs#;1JZy988z6LTW5tVp_GY`F_z+e}qo-wL zkVfXq6voVwKv*GvKY-ZswTkNwQqU?0VVB8IZ?l>`L*^Y%%U}~z812@ z@4qAdTI-zICNer!HPcl>2%XL$&C9Sl{I9mfHU^mtFv!;z5cX9~c82!;{YHw7Z;`Et zNl*kZKFyMnB17HKoBZx{Pp%^%e8E)B(qp zT}+M^Xg_#gZLfXTMjUo59wASGv4!j=^|CM*ztA0m8`HB*f#bCl#8=lq*X?E%3SlNP=wBmMRJXrj)Iwo8j-uJc0)C=_l1?Q=8 zd`gwyVTex&KXsBD4}!})%zZ?zjv*UMh-+?6LGfB>=vgc|8p`-rnVEsjxsPONN>Tx_y3MuHL4w=@^8_u2VSI=uGg*yY|erA)Dj$p0+ z62zjzF_`M-jJ=Enpf`JwYpn4xwdN;Jw33%KToSfuStCV*h3r*O}`Mrvj@M@KAff@bd0($YOaU!)csPYJ`ZSh#qV99096dwj#u+l9Ei z!tZ^*J35|LYQvm%-BWuWZFk9iOCA0nQ$w0eBDv9>_%$A8kNk$eFjt7L!z(FSdfG>D z_7b#aVgCTgFGYNf@jS6?5op{wY^asC*5PZXt;+D`InlqUHY+o!T1DZK-HytqvPOR~ znswc8*B#^Z^54gr&f)85JWE*xgWM)($gfF5;%Z8pHSXcR@ViCw)<{RXV(6|u*OQ*hZ;BqZyaA}+PG@Zqs@o?1R7e6o)eniG0@hctc? zZWnfs#Zt3Mn6nP7KcezZ9QeBB=&zBE+)occ_-b(}GgX5PF!x~xS9f>pwaqLPaDx8; zC>k}bAELuYk5SayB&`noB-pJpww|msyDN)!CtD=>JL1u%>yA5#+nwSHnA;R`xIR#Q z*v7}X=)A>!ZAEx3o@0>h6nlJ6HQK~*TG?MD!dBIvyAgK{dFsk+Ru&#SGSf%@0NVf$ zn7`(|IPH$#E$d&(mq6U1rZ?pricm5*CNgC*I14mLZq}Z*=Cc;NcFQA=JG`w_QnpJ) zFfMl@P42yx$3C9X%rO}&?dvhALXrU{D+_06eKX_%z-T}x>AL7+{v9+G4KyNIA$|0A zrKD@R$xzlsHb%PRmG?z;U2R4lDSe^FHvQAN9WT9?D%#P_WxL~vh8U$gIPbAPs+`ca zrG)#kjh)xA{nko$$sm&}T;Rst#@^`ewo?`uq;Ys`k=WRud~I)4-q9DQygU}H(%XkE zz_rD%1UP6x_cC)UGFrd~oT*=NhZF}UOT04Ft#V|=RtSbzkgCK^a8N7h9F_eJPeMq?ExSl=m z#57U262l-7Kn_{rV9}?Ohhwq$FAaO|s`{scad`2}5%d+-mUvmim9GB)axE zVDezN%#@D1qdsU~_}X^(@;%pE!?=xSha?86nW!aj5;dmgGu3^1+PNziuTu6_(P-R0 zm{L)WMokkXiNW!_2Q|RxLS;C|V6kq9;6!g*8RZCAb=HN{H z!ZI|tKQ-efvstIfTBNR}k`n=rp{C6ab4vw@aqHVfIN=gX#+d^cPUn&z9Xq2hiRF@J z%fZWV1-H%5TcRi?kVz(B8xwAI15K8!LNdnSY{Qs!3Ykf9WO+#)O0btT`WstyUl^L4 z+O)J0szfBT(o#)dUlk-bbh11#pzCGzXsM-x4}%FbY?d~#X5l<)&_(oc8lTm8KL}Ld z)YY;}i%8}?fY?q~S*Mw})D`Wh>#*lREnOxuc=G8SPLw#>_G3tPyPbVjr4gvZ@LIa} zn%pme6fGgnFqVSzuBEzYKQ-nl@N6p+n-i_3e6*Nt2zS~v=Fe@2zsKmk7m6$EFkA>z zGOj76swOb-!q=PX=WlLy_=U-An0WB)I0Z{c&yqOeqH{$vqYY~`I*zweVin_jOOIms%xrwnNd*ZP zV;IAc-O1*>!PKvN;p+O#C&86Cm0d)Sh=COyJI&jhs2wl)70<%)nv81{r=+XGu=>Zy za^^7PHs)?SEk_9QY;DQEqWdmC9#0R##)~rS8g#PqSd|xs-;K$H(a=BFUmSH6;}Dw=a_ruO{7Uqy}7_~sVbA~qy^TJDSFG}D;e zLx-2|cJ93|hq0M3s=(vsr+$(&eqnh$?+$Ivdxwk1@+Zd0sq6loii&d+-vsOj?zyfP z1b)lN;@C4N+{vJBc%3FpjwjuBFHy+)bYE4hrGpiuZ1nDFb*{$_Ys^gzv%@<(Ii-A@ z8Rs0oS20gJAO7fk?bMSy%^RC138Xdb)VjvjJ@r|HGs?$E7)xRrldxUfQw*rWm`yvx zl#)LtSB*eCoPSlyf%P=(nl2QNYe)}h)HcUootN0HqS3f~OqlJC(_2R@)lHZ+rf7>V zdlEP7ljUK9BSV1}=eSxbDq-1?nFF5b93&Rh?Xl(6Ha?Zn#N3*I_t=m{`2&ANr!@i0 z6s4ipc3nZ+U)3mMX^6SZ&H34Dbv-_KhFga;nH&j@8>qF8e5I{$xY@+pWTTbw`-a-y-BXHA8;LAyi5Bw(KunK#Ym1!VYys4* z5?Rh}XiE>gGj#2y$}v7KYcfdJaP=SquWeSmLZP)ajC&qjz|r?WBKn;=s}RiexJ^4L z-A-SS3q7p0^N&%>nr@k)hTt}qa$F*0mn?ARZ|l!+RT7rO<~9c&EPinzAQ5ZmKQYZt zl4deo1DenPV;BJ-TbU$Z(Q(@AE`?M0o)^O$8I(md(LerPR|0+?lI7+L zRc76_3H-Jq{S+jW7kO@}%s?({GXOQv>D^QwLfa0O8(wI!2r34lj`KH@o3nxS7Yn0T z53%CaP|+S7ut;8Osvm5vupl?Ri0V%u7oK4?=zK=@%a2V?=gU|mR~5qqZKti^!!^H|DazAs{a2lMQrjrt7G?B|uA=ToNMvxCZPMUK!w{?qFE!(79POpn< z@Y&jFM?u#vfusIkE~;J#r!(X5IoBnv&f-qDD;AGh>8PE{>s0OGz{d0n(Wz1GWyJAD zCi*%bXQZi)8mV+HCss3=1Fs;s%<0;-n@*wBs>JAMoJCDj7~%JCbMgxb@lFs8xY8c{ zBEEg>k-XjYuXB#1<^JjW2mQK}@oM8aCG=_IVb?P+qebFO9z$VR)}Q|4T;I`jV5+96 z7pAF-TmA=vzD?|vEgBX)b~Y&yBxdi4?LUYQ)G764(tI3N{A~LG1v@X6QRCQ+DYO;R zWgm6NF!>eTqw%dvY%3{an0_eEL-N^bGS}tC{{Yjn$K$DcfD>F=FBIW5L^aboo#&Lz zu=$M_U`rFD;xb0PpNXynEybdGc<+k%=T?m*NCM?^tMLXv!>zljF&s+H5yA_ec4*wJ z5yErvXLe~h4o&n@ZTec{)NaTnYQ zKW#ooQ%WP0$59L6m!q0meyb~~p50?`c<6LrW5j9W#A4u28(j_c%+N{n=)B%7;TkqV z>1idFo%=Q~<_8{~N6mU`nrrgM+kWBmr(LeI+FE`}OquN2*BuJ)*5J5>EN*m>GHBWF zn2v0|qjkr8F*{&bdoIzIw)~;a*dlsFRem=7R@!`0cz`feybgriE^()Obzu(rDx4hO zeS~*ewmf%o`c|h;Sxe%2sPvVMkacOd(RFFOQ%db6E{OjCP_p^^Ug7Sz{%T@(jld(- zd9UGCdWPdJO1_bND-iR#C?qHE00H@hhNHtY5}fq2%LDImVjcnf{;R~cp{*c{%y&_u z+YT*P5c@i(5IH-{7b@b-hyMT_n)ZQd&h+(0dfFJ`t&0z$o+`Lp3E8=A zp89Kl&2gZJ%`+M{c)2|XRqbNOsOp=;l4d*~yC>{axENG}GihUG$GsPq^3iw4=6Y@| zUfi-{_vW(WQOz4G;mqK`=VfPeZI?U5*mttjinyC-XFgyDy8i(0zs+yOuou)lufyt^ z;N7B=$VYH?{FJd8>3{fkT6{v1c2yRJXDquM;C<}FZIz6bzf#39%`2&SG*~2>(@##^ zHafo!$Lwx!l(0C4yybg_;Ek_;(Rq)CtF__0L)q%RnnzkGouNN<-`{VNv&8smf^l05 zZ5*c30ry|mK`=@1wn=Zs@CsZO53~DPmybNo z8u|gqF2UJqTL6L$xf>La3J?fF6;a7Bl8`~t38WB#q)>%WAqp}*u}1kH?y;rE&BSfnf z5$fGefozKlsHvrF(3qW=A0d66p|!Qo=Wp<>O|Gaiw`K#=jbLNhwnbDb=_7XEkbIYh&AjWH9ney>Oj(lPwUNsE{;0s#X zr;f5l*ZG+nBNt?15_ooLvC0mhUzb(R)oZq0h_SY}2z3*vV0?kE%TVoH3%5PbKM+hHG__x1Ej`Xy z!_Sj$ZqrVMc+;;n(4b`W<~hsEaZK!&&RX03-1hsYU3(siXxrA|Qw$3csFHeVC5}!c z)P_J022G@E-MH4m`yCH8>-;N>(bWjxjnXzrm}SW@vyP_zy6Ny8SITQKn%7ge4{b}` zNf7~|z-AyDfaA{FX`!%EgTz%(V?z=xYo!3$fzAyC?m)S>pwUUH#J%5)aFa<}?J>9P zCO;$0FBMZ3QX9BCe#`6leN`8Vyg1jihc-sp!T+M5sCIY9g6qkykxJXo?j;fOnXO9 zYvH_o_+-KD(`o=J+$eYIpwtZhj}3e;V;yPahKMO$(!Yp`DgH7Pwp$<*}STY(1{1rHRu( zB{L8lL5;_I+jjm->JmdqjnOt}UM@$K3bUl}z zsEM)8M8%-F=In5_`D@csbzfSUYmzq)kDJH73u?@9M186T=8>FcW_f4FZ)bY zSKFr2x~xbK2aIH`Dt!?Dl(p*McL;<$%QK$kJRV?D=#1A&@wSYa9M2y~WdOy+clpw(9MdQil_ok}05QbKK_n9MUr| zfuRJ0r(i#^P}-QP zFxt6I=ON6M?z!KW;qy0b6hnATSq`@Fxjglm9YChfrY;K z0_Oh!0vApESy>%DTn)r2+U^um!u*p*Yk6))w!VSa>{W@!{mj|Sgt%51>8ORw#fJGQ5Yk*6SrNY;;3Lg!14=EJ4ZrJ5s&GD!>T>IwTtSUIDh zW|k+V#k&;Pf9qv5j3Q>qcGOfqNLmhurS#|M0*Y0So7hNZN-Aky18A8cFL?vscsiZ; zHtZL&{v1=@uA+Rt@0R^6yeo?)sgEn9npZf!S>!j&;4h~?iPZE9>Hh%3+G8FI#Uoh> zH(ateN1(;AT5KZ@lD4dzjIa%E?{V3oe3ucd#4$R_s{Mj@8C+d**SHRVSX*W9aV&Zd zY%C0pM#AHey2o9v6N|-71ZIuL(aWBlVrDvgx@T5Jel0tr=c`=RTQM5Ay~!Rw^1AyZ zJ|)BE87cv2JLhe}oAAF4V(cZ8JqyF2henoSfRhL@%87uPD z6-5PZtaNTaBCK@-NxU+-y^f)Hddxn*4wEHSJg_`|HkPZYag0KjM#@||KTx^b1pG_a zkIvU`Sm-Ju_oL3wJPgMA%`w5>D`T|;hOPNRFrrZjs3wpVx>$L@T8Hl#Q@w}c+ z(5_QUSGaqr<<{~0ZKB1hAaO2ib6;}p9DXZQn8cFR(`8_SFiDxxldnK6r^Rzl7}Ng% z($pBq`>xa~p4>u``Qk2b;*U^%2@@%NSTg?r67{!^LB)u%=G(O0W5eqmTTxWQPb*@L z`B?c|mp@%SSDk{s4kf^JQgbn!r{Wh@n-!IsM=W&%qsB*k*5c9f@nrBX9MX2{QqogU z##v7jBWWbrqSq?JcOKk=!5%A4;yB^(JFJ6GfeTQXl@#tYvfLYtz%HKAt{*=5a#Qn- z9NC#0j7`lZ!(9h;hMsm-Qn`+M-(i)~WylT$`$c5qZlE!)Fo#AA^WuHp0W+P`2@lp?y@dNN$9TSLgco$=`kV2a%!?KcC(Cv-Nv%PL( zgo;I{P|0~5a`4GLfmc$^BTeC%%y8Prb#@fu>YS{F?k}@gS}t$VZhQ>GWs*9YVaJ)y zA(t96^js~eYO(7rv=vfRMnQF+2A38GuBz{AGe#Lu#~8zdh5CbVtBr(H#?65?0au7T zN0Kq6{L9T1jqvtPFSBxZ^#H7+_PTHku#39GS2v%;Z}VPO>($}4rIG;Sr``*Xk7449 zus#8LdHm7ak>=lrgWj%VJTMeCIGe?+p?iWO_BZox#cQ#0ki+29&)6>i*j2l?<9Tkb#xNe$A zR0$H1DJms&!B9*UM_3^N3ZOy|fLu=))%zcXYu*i<`1norakuJMX?SnqgT8>!R~u7dSL-<8PYpNDVP;u8yKQ zc-~2jJILn&p&bU?xv46n7^{k*&_hVahCtID-O~R6=h0=OkBy1a#Qh`BnnHO2srm&8 z77eZ=4E+fO@qs99D8*S08tG|j;blOrsG6%YnpsfMq+{Ll z4t^i8Oyb45#Ac;p*6bSvgH^bc&0 zr>J3$c+IsMf~-!RD{XNvEi^8L)^@Es6>Tj^gn;c?`7P2j%we6P{{Ww&!89(XcD`mE zkM6zn%UrefyUXNw(J{L8^%xd0J{>T9JM%1_zul~meovGW6PZkTrc4&;rLZKaQ> z0bNT@`k8}R1-E%7!GLookVFiYRszW6b9K41hzF*NWga0_Oiuy#h>%^xW?_5ZUy5R? zl8`h~z}ksebe?gi{w)30ntv2x)Y3Wd%@a$7h~P5BdRbIpqp55zbMhPT+|12>S6t`_ z^j)Unm|>=hF-z?1YXCW-YxCRQ9;d3t>{5oj<-{l$&(@V8mx-U2R0u$s?OQu97-voqsVl8NUhm6QbP>91WhLt&Rbb-R*na z_|8%dvfkR?E$@D-6>KrmS3W9PY|t8Hsd>zEZ)UrnEw#TjoqgL~@ud>FXI4qZjgY=5 z@(81DP|Nc5-uKmeTKrNRKf@5=a86^Wk)X<0J=WUx^*@sE)b3<#be1j#O$Xut$3Qs0 zu>H!qTDfPL^17ZkImYDVzvirCoRj)$x2=0@4vdc^(tK@YEb_4VB?q&bxz}mc&@>*v zwKC!znXY?&Hw&-!mY%0>vEKu%Z{x#T42N@CrL6mbT5&_I;R~<^*1*5KCUxyXKF=)6_IvGJ4$16wVS0fYtA7EI3E~jg# z-gUet?f(GMSAvSZ2?!2$M|5_JxkHQBQh;gdoLl%_a|CginC*iV{u<(xq0gc*)-Y|5 z<$uWO^$L}nt0>6!>RBTnje|2v_ZXk>^98OBbpzi=A>_lEzaqSi ze}X(qgM#`O3b-4sqc{Hm@hcNb%dK^Umqb35)ALA97}AltYx&gwT@rn4Qr^zv(X{gqu$w+Mwp z-0>Upg2!bi*OK&9nAH>5);-RNz+2C^&3TD%){~l+dv1(9NO-m~n`V$_E17rSkTI&Vjd5i+^G{05iA+IsN?BsNA=VN7f#40!vvMQ&v z$@js#ho#p@ynMWO@GadZZe?aMEHKL*WGxkC#0c7SXzG8>SDJgwj?C|%unVU?4K>T6 znX;3q&7mc8=BAzlX<~avTWRxM>ouO9E8)pc${Mg*7;EdeRTBu<*5H!trn&y}l`UiwqPsO_m1Qo^6NWaNK^Y zPELJYT-CD~Y93zhLz729IUhRkSE0At-|(;n z=PO%JQHi|HT-GtbInCb7jU$)8Jo?fOBInA{9+q9L83cz1v=O-%)3VQ7 z3n{BI!sg{I4rmU(Ra+}0ZF`KS{8N|^EUd>nhnDfU_J$d83c0DpJhM2)Hw0Llb?T^V zOO2W?x_F%+mHyQYyx+_n`utTeN0pYfx~;{dTX51e@H98fE>BgbGh;1jxW3vU!S-%O zX1THKy418~<)!WJvoflnqinJ<#iro$(RV3fXqmC)B$0AJ(0Zj$3%J3Ii1|p&O{_s( zm?8WiYq?mJIHI+KMatO(Ziwc-rAh$7cCdos?`x_bBgEo1v=)*EV|z68JydEdGStTh z1j?ua$6Z-)S4d!xf`vqGnAH|c1xH_GCX%6gqnc<4g~@!I_>EWX9w>Yu-L%m*KZ)}n z%rC7Q0e5Hy>)^P(S+2$E>d*VrIpX~yhDmIt`WHE; zUC#di1=IYn}ZT|r3Ce30uYek3E-$j5&u7rhap7%A4d)(l`X58(~ zA{J%X!!Zb{SrcJ-WS~3B=7QHqPnE>-09Y$xc&o%|ok2+jJd(NXostq**O7B@b{5op zdM*O*cyaN2Oq0ta86#sYA>I^R80$kF^78{Z-Me_Wv zxHDr7n+|jw7e?V6aj*vh+cnp@=X(Gz*F)UnBDb04ckSs;P&}xwjE8?%Hq@B;6ou$S;5zNxU z8J5I+NA9hzoSOH~3;1kyM?(9OTG68%JBwv2OnBTkcM>V1FLMppLxWn|SO9IQwTb@# z*>a<+a4sh+e9n0C++6P8LKfkfbYjKoDCrv)3Z7_PBcH=?ALH`CsDf5t-w zt%j1YlS?SMb??I@>CEW8-Zg^Kc!G(w6z*uK4ZL!Vi0FES@N8B2u?avo46_HoS$bat zc-m|VX42NV(ohrXWAyFWa_Q5ytV0icQ$CimGaRft{-E;1@i5aPpJ>J^L<yC4*h&WD`i84?71el5uBEGug_4WDC!EmE-^2*#{Xu!Wj~2I$ zyp)?^o;rK%LkNnorlSwa*+{#h`>ym{C(D_RrLT;+pk=d#%SS`hD)8)C)PTN}zG_jc z>E3TF9*6HYy6?Qu(ZT`gtGmaWc)o;KaCgEffi zO6}tG_0-IoNrbJmq3t3|gQi@@-+T95NyBEXc&BTgUUUFllh03@_Qda)e5+P##G)Q0 zsm~)=;gnnxkZ3jvn~hvA+>))~`3v24wCWqSzZC;4LITj~$9 zP{mB$A2UR=9%laQep>ui7Y!|;Bxz%^-;Il6^Mld9ETLFQU_nv=Co0MpT{;K*ULR41 z$Xs%@)eu{g+AiVfMgAZzV&8!qV|1VMH}tW;(Ikc|*aG3TytcK~@XlgvjBw1^V{-#; zbj@$sW?{2#&R=@!Lv1u~;vpJ(Dt{6f!+5mhxv}u_2V5Rn_U-F^)(Xm|%~P8*q-!H< zW51Tb04_k-_FU^ZgWW9B#`+USPCX}`&9 zGQHHsNZQvpYm|?eT;kv?@we!-Q_YfF6Xkc%tVOL}rKzk>VYTo(`H{Ju+o)OqWS`5C zt_uZqKMGvU9!Gr-MLIZNH9U`t&S4p(XE5KyI)Tro`!7{I%#`n!De>uV;jA&tCm}68 zmF%jSp7?By`4vnqbf5-E*2&8`^1HD-kA1q^buKAvu8Mfw6pc1>Tr5KE8T@>|oN8LF ze2ia(w%XdNX<8X4l%0dZ)f8oiH9YQ*w(VTt#y&f^0^X`@g2wdo7>W3WE#g+(zltx}Y=;u0sBq#~Jb&WgxXhmrr69g8BSxjsoBb86#As^l%BWgh z*4bPalK5{<;pm9Nlkk_Xqr~eVaP~U<W=tJ&shUpo}7CpI5i zNB;nBU6h4>BSLLa3$g*be8FFQcW&H$16h2`;o;hqs!cj2`U zqHh$eR3~8jvTyTI#$o0$Zvps+3~QXog<1ihm67%AC?+buXuJ*DH=@{_DkJz68gx?9LSa(-`~k zoBcOgnpRz_p7r@{6_gdNyTcq$K57r3VFlFlk=fdX3~E!HNJ z9mCaMDktSza|+x9P?%OokvD56t_FH+1K@F7?Q!S2>0uDh zElaDW1+0+hz};RB-wx|K)03q|MX`6yb(u*g5r7cZ0tq93MJCWiEU`%-F3GcPq4ixe zk``x}{1#?fh?(d`6~*17cT0--{?3=m!J&|k$tJQ&uQa!0Jekb;q-&|-uVoIRLM{Mq zgW|I5#Xp&9q~KG_!DELJ+MAyna(5bj%!O_kiS>LO4w{r5Y9_fK($Rm+ zh4Q`iU8x)~ZrUi~ifVw#=MYC@ca|4h;1Xmmk?msz+~NhhrxiSorFBP_hS!E0xL)V7 zh->(ShPZ$)dntJ#I+&!VnefUy@w+LvA{Kc|gFvy@OR2f;9$i6GrVOgYVunkWl5b;_ zn+w={tg{U|28L)ISxXH}%!&Y9?BIsDfCIMm`h`02h{(YN@@ydjE>3qldi1`W5-OuCIsj>g)ozR8Y!5C(ju^SC0Pxx`XN1rV&?xPUgzNQ$r?)H@e}_ z^gY)qY$&oLh+z+V;fUSwgK25cUrzgaFLmLc6=A+7!_00CFUx6QeXc)nx!Avl>TtQg z)G&9v#NJHR%pE(9%I&C`hmoAp;|;vdWiBJLX9Cg8dOUsCci8jlxo?_!0Q@?>n7$BA z>Et%Ze-v)yeua1Hsp+vmWTk5B}edzDycc<*TUkx(XkM?}*nC(k$IG5G1+-{c?jMG&x zGFw^EKlE8K941;~W~3%azYjLApHkO{mWN%hX4=`!^efn4-YPL=hY>lMu$X?Kd@m!z zwmIE8d;Cx8HpgvSiT?nFQiy9Q-vvtpnDJ*c{{Wg5=RecxFbb)v=;3W$JDXx0Y~~Nw z*30Nsm~A#8AGA_At&zgv%|2Ipt_;FzNw`%EEq5cx`Ysr=+Z?|1#veAk@n@G9-WgIF zYOwb(02_9-u5O0oV%~k1ClNGnYb2z5GZNzaFtCg3Yg*RyUY``e>Fc5{rfg8na&MNw z$GHp0)zVbaRB)bjcYxt52mhQ zyO_~WP&u{3-6yec)umvwNwBfZH#z`UVup_qrffA4!yNHdw=3d%?Ack+-rY1H>7wfL z&n`W*R@Aa4Q$v)s(w$sd>x*iXB3Ti`}6RKs#zRynJ_q(xh z^0(@HEY)?ARlGXFC|EKwHhZjY_8*=1DonKy&0;LlF5$CAqRQ$@cU9I!PRki4cxV>U zw^Z`v-uBe4ju0e+6M|O7FT0(hI~Ngc)@OeU3h_F5X)#G@WF}c+u)XdrYpm4m4ycS1 zd$?U)TKOfZo>}B|qgGzFD#Zb zaN+)K$b{j2o(Z`Z*4izz)A1Ss-r1Pp%oTyqqruK2gc|Azn1K(;mP1{{#jySxVF1-YH+Hm2!(AF!mf{TG+Vd+P23f9IE@uc8}0OjNImJPh+~NB zmtwKDTAVt0=^XOH4oRbHv^|wL#B8M$)l_GR6-YJ%PcYxm^&F34w_94g#I3b%Ec8PN ztBss&HmW(AMZ<5E$Mhj!sF|EY7AZm+?zYNSYzq*4RTS_<=WJVdy7^pn)3*9|UayZ8 zW_Zp=mib+=w`J3-fn~- z2^p<5Mqobcip5atJ1t#1qm!v=X;n0q+l4Vbid)2;Zj-la`MB-IO6k^aB|Ku&FxYb% ztuWWZ_XkG&kLuH6xQ(lknn=rz+NZ^WW2gX~j?2kxcCT>l6~~jBS?nn>{6d%vl>%0d zPyNE}Quxni)xzd=BT&9>-XnE}V{V07+Uc46))U+ttZ9p4v_7Qz%nKTiElf~M58`OQ z?zlLIfN*NNx`KDpIO}WXYVqQ-7m+bz%J$!Q!eqhL%+@YoQW1_e6ZaNcL>! zHp=!_PS3xYd)kU`4_7dg6If2UqbrkD;c6%OsVgnn$*7j1(;Z9Cw-l2=_MYf9a#enUNoQO`QJ#*e+~QmXU2Ovet;- z%jooSHdvdM-vzO)wyVkDmF0vn-&N}(czj@lh*Xe-Dl{Ph2%#&XA-WHie-o=X{ve8# zrsA3baoxt}>1E?Ax?Uly_WuA8*VO~%e9p=L01=@21<&p_E4_G!FO;&DhLQ-lzT1T; zXO)e3jqht-GP>V*cSGtVk*(r9yfLAzzaTj&nOb3uyeQgRd$?}vo@*9r6&Kvx<+Zm~ zQu{mNs*+rfdqYHQF15)vzk6?GUD(zVT1Tl`DBDRTG!cD(mGZdA=}ViHpbHC*M_;0q zCALaPXv3ZDGeIL+rbmZfPK~zUi)u6-N_7q(MpZAkyWv<)-}}-!e5qn?iyY*Ikz|Kxwflphsj*Z zxZhQWIPj-*zDE!VU>Xhlt=~?ohUb^P&nD%>xSpi0#bLy$Y28g$87pbpau&;e7ZXd{ z;77%A6%8Es)4DYT8+tC55f~;(90B{SzN>YwwCN^w8)u`1^>fD)LE*N=<%8XA^>JG9 z930U{#N)NVFgUU8%I$LPz5U_SVxfqbsq%e`0}x7{xuv!sq(_Zy23%|z|5h-Zf^UEb!3<_unHxw2!~k8g>Ca6B z#q*fk3@weh3(kLx>^7iVA!LA`y5ZaRS>$t| zoz03@*{4Ib)R|j5ZRQU3ALl@(q}xp+>u~CZR6)gpR%+LPzPI4t;tW-}DDg)&8nd8w z&6D;kj-ZQ} z{_Fn$V!S3H5p%tl6p8GbJsTm>?dh*DuJEsm>M3N7o$rpK2T0)CN&}by&;WHJ+TDA( zl z6Ss{@?WF_CeMcg^)bxd)X-TN(89$QgOU-9+-r~`#IL>xs_Lpnh&zM(3Sop{in~qLa z%Gl}w5(`K<>_WjSB!Joav+)gz^~LFyHE!N4-Z#v14^M>Sbr^fYso$C-yly&tIxlNQ ziedQV95;X@x0#O6k45q*rF3TT9X|@+OIi!Vn&&b`>IgO=eE$F^!HaVoOP(zGFi-9u zK(4~)q$TiPSbB3Gy5~wM>tHa{#Mu~m9ml6cu#Xl#Fd@V|?bu4+)GpOU6(%DXsH2gs zd$kT}(65hbWW#P>qw&9}(=B~(%sd7q;pu7fOGf8SPq|?C+xxC$xQ$z)iYcF^J906F zwjgCK*U)Ia#Lb?HT(h*c+c<1iI-E9wx?wEQxsr2yM=3t5+lR~exu3wTm3{E*vyqVF6pBWW23k%7rvlrt*>oYa}vYwYG;lm z79mXu786A?W*6(I7WBI1RpC~GN~|)WwRDk-$VJ;D2lca;sJLGG%vv`Ok$T#e^|WeM zR7Z!+Rl%i=l0H{AgxDThBm7#OI@?9bmnfb2eB&_!rI1z zI+d+5wwe=BSrh@lx$g}I`U{oYr;V?TvqKDXOZ=k8-a7`kWpkZ-ecoP+fn%1(L2I{7 z)v?bcIZGsa7#)1AdEVRGx=bWDVp6^I^z989qmoR|bMNNUHsDweN*H4cr8?g30_9|y zd8Z8(4Yo@L&@Z!Q&f8x>w3B`^aq)Hv^(s`y7L}1!@zuX(U0b6>wY2okI8n! zJNsqNB=hX^kcPe~BY6#b0dq;`ZQJqX+;?3(BmS-@Q4{u!21pN6_nYw=`i0ADoWm7M zJ>h2$P*&+2+Du!IOmfB^*<-f0YrNL@T!ffe{kjyCvDY7Am8SBW#PBtZ{e3Jo9hV)m zRAJQ;#Muj64V*bV197KLe-+)u80uVBOtHxfPInTTLhI*lgghR%*4&D##PZVOSPc#% zqiZF3W181Cl})>NzH^xStIX0<2pDQ4VQ~WcUdz#8Q$dR1Wv^i@H)(6RtXq|bQg(l- zVK4SdoQ6jEUd6W!W2q=&hN5mO+ALgou3S=4V6<$9FpZb6xw$1gR)N@$vq=q9kz{yv z*mNOfS}~SZ&sR#wpn`g5I?{;+$O?+j3E}gC>T>#NH?vLK@++qlpb-&u+y<9e;Qs(p zW;r9Idkq#*lyh3HOVWPX(oJIBylj{~c&ww zR!IwDbdj?=fY1#txpWg8TMu!>D6$)ol1BdP*(QsovR|C_bT|TFellX2gpC8WLqcjrx z!pFXefZgJhx3%u5ChjeJK_fysC~06COFb56$BE{$=^ji^=T^ZjOEw|?g-O+<54C5l z!o(dY_g>d}=${?qM=<;s8)CJ78}`L+nndtBR{2l#n<kwlMkro!?U|kN4K=l_hBGZg@<;brJ?9nXE9<7OeVyclA1q_@JxZooC90gu zJF`6efan#hj>A==BTCsC)f7d}00nJ5E2SdAdOSM{76r}O8fL=q?L&(6(8t4I3B?Oy zWttVJB1#d>2rmdJ1o@(p!;RI73=X=g_Ax@@-&_f?EV-K17}ps)?26^ zWBCPRw4R?Q*O}LuRzoR{R=8U2Ad8EOg;_S)LhI1v(KMOf=Sa{?oJqF8t7^D;$&Nl8 zdH@`A5vN~OitYpuNF$gk!&5mHdxOVEv=z!~+)oKg80f$wZH~nbfW;g~Gi@U?3mrhY z8vK)quBvfkSit7AxSYgjI$Z9rsfMHj?G1h5v`00(w%Na$vBFC1A~@YU+F_1Yyaq~FR9P{Xl9LmS~S2Qor)*xAHdzyr&l z%&6d!Lj^;mozaH?19+CU`kRn!cDKb{rjHSlR@63`-~#N0!Lfkm@8z>}JCmnXo~}A* z^@3Ntl0j^dWOHrLay9)G(7m%`Qc7DbL``#@p*hP ZH)JE-Cg6IVpX@gt3(0v~&} z=H%FtcCw7Nxid73v1HN}G9i;XuL{1;M!BNZDie9jlQs?Chm2_g|Ptkg6}U8w{O zIa^_=`E*+9Ug{bc-B8f!#jlju zdo1P_l10H5I*qqgz3p?{?AnukR=P>yrpjK^CEmw40t-k6pdZK#byWiBri zP#E7&AqAngY`a+UxadlbDO*WX3<92-COi^dBU)N^_ieSWeyK?OJkbFgLy2=(Tazq+ z1FP@0y6JruTH{IWa~c*(%3|Zf61wgU<$miD)G3lzF}a#-e>G4!h3#Y6SlA5NfHn+a zd%XgPVFKi6c1on9WYay6w28qef?*@05&#G-CfkdA*2r-hc0}miPbUnKdpV?#2FFjT z=M}lIQ%M|I24@BW?i`+Hb+|iP~4Fmt__b?;MFE-DGOR%a}$l)M}Ga+BTi~Tpx=MZU2Qh|hljjj zhhxxtH07dFEcl*1@7yd{?}RKJRf6i>%mn`c{ZHt=MH^hlhetD6BXu5P#{#|ghP-KC zK?@m+#Q7<-0-?5WAM&!y*G)w2#;T@dk8@^YqMe#ti#Q(Pb7gFhoU^nRAG|dMeR{5k z3GqE6W^0-yBg-^oU;BmOv8)QW2y=x?1hmB1O3~#$y%dRVEim!&(gWsz{{Z9rETrq^ z1UP)c?8``7=B7G+0)HivRrROEb&!7I|q{C)pfA!PNI?G zN=dSUZd>$mU|`7fPERt=jVw|+~vhGVsK77TwDAHd_cp zYUh3Hi-qo6QXaz;%noVLvEtyabzTKaP;*j0fbMK}x_&&DyC0QV;?Fj3>GqGi6z(2n zttHlJ8M!+4MUAr!Z|@VT=!`0+8u)4I;&UT>fCmj*Vs+QiY4LL?W5-J{@*M*sXeGSP zr0wutsJu+-A3D^ve<*P@u>*1Ux~}d6iOqvzEuDb65(6b?;vc%>F|IC+jyKd;1A7hE zuJGM0Gn(n^*c}FI9RBXRU*fZnqv#NOHEa>pLPOag4r{?yx-QE-Fl`%zZD?#KU^Z&# zxM)ZhUVS?4%Bq3IrP0_v$0;q$0pxAXc^p#@eFuhkGgLZv@q@1JruVY;mGyM=R1#Ix zIJOoGk_FGDy04zs;_~A$nw*?ET*rjV@)}(CU2#|L+nwi!1+d=?2G#;+w0F^`^h#Lg zWgK-f+0?}Fn&I~d22Nnr9z5zxj@J9ODp>`RS-zK19+;#^(2+kek* zk5#Kqw+fgnRguBR0{r8%xc z2Is2CiyI`82C!+&^j%tNAR;f0&VN#;Lku#;_D11$^eXH+np#sQ5>oKzXb&Zsm0N?l zcR=RVMjK}vE`?P``dt{2u({0y3p8zYOQ81tdHbmGDI?aS59#FF8o*{&HI?DkFk2_qxOLS?cRqPT$1yvsWR;TB&o$ zeFq@2E3c@MvD*1#zKtCHQo|;ej<1OmNr;O`-b8-ut4oK6G&$ggeM-8boxCiuv;YQx zEkNM-!vt*5f2?86&2gG`w0pzxj{R4a!)IWr5zZRuC}^m4ky5BA)7dLCaT*0z+GV8v;LFl@0i?A&s=U)?L7C1)_< z=s{iVE>>bRE`onEfXMO8j!ypoIfauB!doG9lMwc`<*jJ@);78Qmsrba`DfB4MLiU? zQSl?uoQuV-p;baDa`EJirP_v#O6cMkn<=jqK37UKC+@TR_FNae(nwz;i=6FCj^#e2 z&u6Sc;3>fVW&Idc(MZeMDDFQKv>!!8H091|dtH^=0nhebCewjp*6cI@EX|inUe`Q= z=NzM9ut>lrVaP#qdM#A4M>C$w8?@U{r5!6EE-VLA{soPzlExM|f>m=chu$!5?Z6AU zBpKLr0pnX9N4kSpS`K5s(LIeZQPH`N5=?II!~3oIhq)NLzJWsJ=FHG@a+BW5XtOvF zJ8DXkJ8mOgq#D*;&v3soRO%_^d~M*rDF)}do2jF$fxPx0g|ZgM5soK30__?J-9(w% zbj&9xc-3r-Rl|uu)-cI)0qp>-#N4X`b5g3|ut2Dkoj%-kX2@Kf2^G zh0ZQYS! z{kO$9guvaRQyWia`A6ipNadRhGYyVn<0#FR*B#2I7NL$GG%U+uasux7R;vwuDGO_# z!-icXc{(-Ruh4f|Ja<=w!x5vyWsag}xMqB2;f?8jrAV85B*baq6S6iJ=)ReT_)8A| z07i+k(6X*cTEb_JVjboeBytwh)pnj4htDbMrlxLK@|I=~Epq|61RHg>gHFnIRc58E z&l3c}#JXFXy5`^>Ld$(Ghij`Lo@zXjGC7?SL3f$ur(1I7Ir=VjZ52fwSF=vfwXh&C zhX(_(1aEbjh?Utth{)T-hQYTb*8Nm-L|E+YczwoG$aTNbRQO!SmPpda4GUZ>N5L^H z*>agK-L(L$dal4SDJb1t{Gf zrb70*`dC~hq~W4jA1P^-tOc3?)`pGx1t---#B143V=ZxUXpoRJu&_H=tnDGrA(FHK z`1HEAS!a@CnCFq+Vq0UU!8tf&h%~)|Q)b*|HuC%;PdIJf z^3p5`(%o(qkMw1Iu6lXt@|j~T4);yL2ezF1 zE3{HaAiX{dflG@^9TaVcGd#|cPylcm>DQ*?b>>O_%cDnES4srfd?p;F*K-_o&|iPa zbIBH(%vO1Bn%^w7!StAgRWlv~StFQ350u+Ql@2BDE^#H!Hze~aQRFf=iF}tCt21%%tw5d$i&tTCacO6CabHkcT*7)AY`&L(c-Dgzj8j%*WTaTJ`iFXTUni)H!ysen zpHBVA;t~(f_e8Vt86H@pjm#RpJA&@#U&_i&c6({{Tw}rTRJGL@G>whtQwcs(>Ct$6 zLW%IqY3ty0QjVg>)E;VB4~*;Z$2F6<)Ic;hK43d__^*FUir~H{qT$RLA?DX;<{!)~ zYGbzIchlvPLo63R%x6$F3oMYwFPVocyKGm!#ylZYN(|Uz%}hJ+&%Ot4tH@MSG4F75 zOfv(m#f|%gV$%(KZ;5eU*Tih`r(Q^8j%T>-Iu*V~O9=Z$=5OK}g`My*{%Foq(~|T? zShQ-+R>Kn?YEjg*kR5irlI`LCAgiSTuXi3Ujy_@WUS>u)nmlROl5COf_f5w_v6BTd zM;yHVx5qzk4}TSQ8;bxB+0D@C@9wIailUnCjPY1HZWoMYLtS9;mER@RqsD6KECcw_ zkGlQ-Yv*L~Cclf@ht9q^)bQ=YUgmjDgP1Cc%r=g_&y|lf^S|D3)vomk$BAM1g_|hg z%zqZ2ROF+UFElR_H<0GM@$Zg!;q@cQ!=5#g9B1J5l#+)S_>l|RMruE@_Si-no5N0H zhO)5ey!3UlLhBo_>~Es#(BrZ|GUoF18+?~|@+N;MbDs*f=M|LU!xo(U!+4+-wRVr;;ZJhiVPM1#T@9)60Ap zr{xcKJS?b*@VSBQcp<>;W%C~w;gwh~2A-G^MEm8G4)@A?@9VnyRts3#x*!R((dhBl zx}zSZhPMosnxVOv@$m8Fol`UOo+GZ|mB5?k9SW(=4Hp{~w!aRjq^>l% z3*Ubs1Ku50%3LY7yo5SIt+@rgnse#s4BmG%4ANZbSRL1bm6@Jl=bPzZU6;I`wZZ5CCMGet1H%m-OSFye`>jsF-Tt^S2GvL!8I;6Mi=b^QUe-8f8hRk( zSJMK=%Eo4R8wH=k_=|B`Nigh2oQ9jm*2q2K_Jj!Pys$tkGc zQB4VZ+vc-(^;?1Dv5Y#e!&tZ2yzrh0hEgsZ-iNsU>j=cE1rVl=%o^S8_l?c|9|iPU zX2;@x4;Zm1@=46wRyx`^f1Ov(@eD4j4y}@!rIvF|gTCvBA`eB8Cf z7c(QI4RemRT}hKlqmMD~Wg1sbY9wT_S)k}u zwDi+5u16U&Ms^n2Z)99XUNSG&b)Bm)g4vwLd5WA_WMKf3MudPsSJE-&?Q1-P-M#Pi zQ<1Ev0j+J`c56bLSgg-XvIfS&UThXa6XiE>EoUb4E1+OGxRV>ZkmS`Sv9d5Zq!4+9 z8l6|CDx^LrT4R8G<0-U9VuP60y^=mPnZ9yUuJZ z-Iq=Hbv;C}O!oPiu>~mD*R`eX)N8LIuj7WE9Cma1boE+@+cP?D4{S{rJ%H+?Q(GM? z1LiuB<%}Il=FeE#dxqLdgSq72^P=b3^2lEyE_1gfYo=l*ds|aeHEh}CK2h$lY}DhA zO;n?f$3?!Djng<-Sw@t>1Eg>b3((=XRlqJ6?zLgqTU%mAtGg6!V?Y4~RP^nMpa8G3 zXhkF;BmzY=iU^?z6-BpB>M4a4E?pPOvEK(ahH=BLG8r!KJ1oAayyiWIRaDh+Vi}uj z0IW>MNiny~Wx%T$?qra5HvHBJC~9KS(7aoqy7~;beNE{TsWvzw^|+>3*?_39O87BwCsW+zk}%QzcFXBL3l4|{(1-&L}2 z4@OBbPUiFkU1O61s&BaFKjUSuYNn;#b~}MpM&`>;EONeRT3+@C0~0ppY&6treOEr0 z48yS;W}2D`$oOE0-UA%ROJGZ$4Xt8y>t)B6537p#pnZohF0(nMzVk@+38)^Xr^1F; z;#1LQik6B1??0O~nR6BoLGoTuSn9P?Vl}j}zB+2T;$!cbt&o80?{Tus*A}^@w$R)r zjk*!xkx5@2IhI#7?O-xP7I4S9-czXB=~Q5|)3k#$CQ#D$#Mct%uo5#3Eo~1mqPnLU zlBSo=XNs)m4}3CZWQ}u)Ihr-TyWilaQ&T|cC66qz+~yV^o{DK$ zswgX|;AHhtyhct|mp=AA2))7eS-{Npv{({A)pl{3wtFdwkES!!OP;CJ&M$11_g$Fv zCE5;wa$%Y9J+eYTc(~P}-$JY-baeCKvWkh~4`rUl7C1N;ShOEa{z!tkl=x(noDp|4 zhR8tNk*Nf327_K}JoWQUO$;(*%NC7wtWT*>V~lV;vayd3wV!Zup4M2ImZBk}37VFf z*Qkg+&Tz3hhPSTATicSZj512+%~Me5>`Tk_%t<@x&s&vLPl58WAUaNFx`)SYc|-rdxdStaMJEgKC9=0F;g-9~7e8E9jR zvo`mz>&nQ>!Yy+i39ulv?5rGSzB-48Uq>*Wb}H=CMPQODFu_viGU0|di#ttk{{S7W z{gmT15MkxnBXnVg;7D!*w7Z+J8jqsQQ#hThU>P!(I^E5$&$828_)Q>W1*Eyn^0!Q& z4UaRgP^vG%Wr7GKbg~9#cn&f&<;ppaDFokhm&w^^PHQkG2{ff_ld%0c+9mxdz~Vs0*DOiyE)TJT6A-*)|dRa45! zuMrDCL?S@J6MV-jbhmS&u`J9vx7WJ18KiMIj{{TC$ zkP@w?sG62g`gvay`4$@zvo-xU7X7`pE8$w|5a}rowZZ)(%m=46<>jYgd9X1^4x-li zh3s%FXB}*8HMB1g9i@3}SMO&Le}e1QQ{i~KFINLwA=+rjzsxLj*8c!cm%Xw@ek(IK zsMIPQ3m>d!FXX+RBjIYgaAcx*s)wM_-!5y+)KZ4XTJ|~_2H=YylGIvZt?#!kMm?VJ z)2P%W*EzoHi0#R2b0v>c=WkZ3OJb7$0Ce`zb&Q+*oaYozMA`XBK0KDnTtcFs{{W|$ z<@_$xEDnv$+Rjz%dLNR_t4q21TD?6ic-&JoHnI$-@i$PeWN=P1(oPTb-*1BQ;zteq z-l{@I+!1yjzukGQ<3x1pOxL5*9yB^Rq>jqyaTmv7n1#cG6RV65v=XY^28xhf4heb+t8~qHcV-6Onle9iMCn}C@e3kAM*UK#&Ei~}wB-?ud>beYU zScNQ7Nfowm2U`^ydU?(q*=BBb2XOO)eQkRlg;mD(V%VaGBbws!;7y*>%G`+h-F2)@ zr%>}+ePeRf6>TLnVwr+dEJT?BHyKa5N2QL7H9bUiQ^?Fdq3({M<1{>r+B9&!#@cDR z!CO~PSt)ZkmV}WjS}aYrvFukmNhN%dzl38C7lN6C&1?J4JeQ*#V^KQh9B(t%?boTq zA5T=*IC0@=Iy_~#_;Xot0MBFe)73@OzOsePgfW#2{(O?yF^tTjjH zg_<~LhDjLa7P>d^+UkH>810L|)LbjgyXmQ#)2`BMC7iX!IVF?~wC#Ir#vkhLyI2+= z=qpAT_qw3i=9r6F-8~mFNa@*DX(^8qH$UxU;ui-v{u9rw*2r-A=xZT-Q90~4yMfiG zsq1x&lMx=0l;(Y%s=Qg$*rhcmv&iu`-vdCpPs6DttB$frT4iHw*IQJnC61<^Ihq5B z9}szko`VyXd}^kON=aDAfV0TZ_g+VKnmkI5x~h6uWe$=PXMxN2S+MLGm}ON|?4Cyn z-ri;WFRDw4bMIbCTIZ8k)n=M`&FR)J5N?LM0 z*@f0>7-}NJ*vjWM(p$_z(L+g3g5k}JjB+#YNadRvrK+rgBSlrhv%z)taOj&IT?bT> z`};=)Ma{jgGgLHpnz*eCxIo2;0~N~@Ggn%umDW{qn})boDdNJB;!13|GgmlsmYJH8 z+OVvw?(fh2pTmK}dC&QN-|zE0?=wE{GZf0Bzs(6}&lx=GJQ&+}?w#1v>3DRvrC7!? zY}-WnX2W0B2nzXqoZys~ou`5E$Z~w&_M3F!VSJ8nsO{AAws}6Uv>d$Dj z9$!#eA2BJ>u1d!U%c6&fkz?R6EBW~Q2zL)V=M2}@p6;bd3(=0Pj_Vf^<3OI_?d7Nf?ncr!^t+Nlsgt+faZO~aL#dx{Qf;7qvqv9x za(6ngXpm*Tt?57bdgwF_^EMs-yUsMhlmD@i#Xp6=*V28C^1r1`1@~#mH@UUFdzunh zB-ot(fv4QO+vBTQROn)Nmi}Jb)BE0EIh*#Mzv1kaWPn;znwe$oRMl&*nHyW!by&>d zgUe1-lYcfW@^7z6Kbc+dHyC+q4Lj|6I;~;#+>~AG_j%4;%))NvH~kYx?agos7)yK! zyIU9D^2?4l5no#qdW2h1PPdYL2*|A|hi`{ROsJ_#;Kfdn@R1QF9{pz{c%Jjm^sPtg z=>DvwdW9IDIqICT)4L=js1teC#O21u=-!vDDC1wgq<@U+H{73kqG3^E+k7GxL`ar= z67{*;A?#y8ANP0vLGq^LbZ3qTr@LOjLt@~cC+LrBmvVCmcb0veU4z7GX3feG*D|`@ zV_>~be=WaNeUvRLpHLTfQ^QUn&t5gV=A#AIWC$%BICd(x$?V+3BZZz8lkTvFuJXK_ z*R9@+r)Z-yU%y_|+u!-DioO{YNk8;JsXq_*Fn8Y~uCFE^+E1!j}nW5L~_ir{x8+0`1 zWyC#tpdruIMHg%U+^;n~@3MTIW_)e4C(O>xtl_!Ok45FnL3dB--o3b~lonI^7vYv+ z?TN?H-Uj!j#sp zUq$oZuJbJmzs*;=mFSown-N=D5MzCKc6#VLpRsz>(v<;z%vh*g<68-5U+mAnth`^f zvF_<4lV4wmI|c74>fvX4nNiPdc#jw66utQ(aFX)jgmc)%oY0ab$p|9jajH?Z+YLbP z8LSN{&pE9S?YE}h)D>P=7P!N=vHFEk2a2wtJsh$bB9Evff2Ckfe+s#}ebp!Z;w1;Q zQuO`vhHI)X=3km+{K#K#C@p#W$^J*VS?iJuhSgbXa{BVykK!0t2&WJDzamg3>4ri)*{wHe->@!3YLQefH7{~bF>a@=^!N<$ zT2cEa^fu3te^-_)#r{&fpPnq>%vSp%>uvO{NTD7bOt7NL^l8Z*)W5zDm&UreVeul{ zPkMJgmD?Ev`n=#tS69uy!|G^>uuCIEOmg1h9OJjNEyAvx9t?Xj`(+;9HdydS#;H-H zluzue#ZqMK>^6g`VieY5(QLC>oUxx5$oic>lq0{G!+y?vcKf$2-OT6K4ENLNv+cV; zr4=^#tZieE)Hl~%$*uj9F|Px@d9MzbL{@kZnx7eba|`n|{9rKKy6Qp8b$9%GbMQ)) zm)%*Nv_1Oupg*tfK3j^G+tm$zEXI1UcIN%9A1TbNl(DFxZrRiR_tTACR#MZtKkNTH z=GZ{_JJ!yyjnksnO7Z#|s9mG;UYA|^yr8h<)f@`!JiEt<|EBiVYm3<7@UBJq1D}YW z8k4w@c1~4R8UE$Mc)0;@?$I3K*}TNFf7X1)m}pppRq;*x$#WOkHdp*BPK`eQXG|KS zN!+ltG`M?ND7#GjCZ+SOc)tS0&KSNFqc<5?*0aM%m)CflU}oq2;8^RtqfbPfVS8z} zZ1a=x2Q$NeXH}oaNU#?V1(gi9!)1C=3K`pJT|Uesv`%c8p0B96L3L4l%uY{4PRLZq zDwn)8bL?orV;Jh3`%>KmpR#i21M|bTcRsI9IA3iFx1EwM&^6PeH( z<5#DjzmKgM5ryBGRPOYSYV5+_tha8se$uhOUWkeeYH$=K? z!y7e|&;(j8BVu1&%wJ5H`+6YE8yLJ5nHlpJMi=jAlxI9+6)*qgfYI~~CS`b$JZFd9 z9vC6-G*@X`RbSR4^vBy)W*4S9oY?wow;ez@gsqAaSHl^DXlRU`+90SCcq38a`OhU| z1Nf`1=Ffy{oxQ7wH{B461kWj;WHWK5vubM7>;Y%o!q_M{j88mHNbc`R?g};8GtqQZ zLV23{v1;dKF{Oo|b+p^{0#%*(bmnnm@xn`ql@r;$kt@ z=ARO}mX&iUyva)XS-YN)otFd8aKdk|OHe5t?|CcuPYva8MpC8v8>J6;qVte`-7g_j z_Ke93?HB==r~?L}U^qT>%_b}7gUi;L=b}b`#oJ)vW|N1oz50s1lpc7`=E6aT$JB-+ zabGyf7`itPL?iAILbNSy{DkXuID@iiNi?C6H0znKaAg=7s_wSLt)+&6SGK`OAywSprxkKr ztpUvKjed-^y79ae6qpB2O+FQt%*`DFpXFB#|Xsn1fdFC|!jr;jdn?s`8v(@;;Tahv| z??26J{)^M~+kI@L>bx{|PT54g>agMQS&e_O6H)&*OKa+9F8){j!GY62w*=-XkzKf;;f0Xe*f0WWz< zYRkUHEa2*QJHoGFZNI=@SVFG-hOg|Tr)S!Yyp<6lZNM@w;BWU}GRt*t!3-Zq%a$bN z5OWKEjVw!I={rRPa{SJ!{uJ*;&? z!_y9$huTpU7Rqs3X=NVY+0pa#S&nwVL50ZnayIutNkD(j2zwiyyVs!E2if~(r9R;Z zwwNj>Or?0qoZUXqGl4b_85nlE6-~YkM>LyU(+Y@x_U@9&q4L#*-_rEIv}mN(!WoZ| zfa0WtL^fy0Y*ymm-1RNh_el)<(845D;q_J1t5KHya->f2;i?LwMiEM(*=kt8nbwi@ z>C%Om4&!ac)%nY$ZBA#VrKAhfkCuk>(2-M=K~Ccz&jjOCM`SDVIe3xxG9j*4g7@I> z?PFD$MnbI0`@Wgw>&uIk0l(Thyw5)~Ty4U>`TzPsU^0uJNF8%0O;krZ}EpX|am#JUd{v*Ge9|cCydepGvr#yjQ z{I?pZKizn&UayLxhMTy@WHd=V5V*zVu(LOf#FB?1zd9EKl=$ z!U0CmA~#;s!@GsG&-*bJ#UHh^ZV_D!#&7w&x_3zZWpqACgTQykUDe`jReu!we}J@3 zx#CkK=Q8{7gwfPLJF9Q3e$f^>;u+G%_m<*AAAl~h9_YxXeYKPte=ztc`TPVm@10z) z(m&ewUT6PHg9gT#V(z_t_8pJb@A0MOzr50y;@nCw=a=(1GCBd^wHSLpazk_bA5Kek zPQrLsyv33DvyGLyy_f7k_VBH|1zGtg6T065_c|DKs__X57GM@Ed=kqU;BNc;_P(;` z<;jl&oZc{1NA4NU9(%*kXT<~mHWymfZA*``*Yk0oUkLr|$dLQTWBi)`%bRAXkAcTp zisT0{-IMz5Fj^C)aqbBpG-e*H;(*c-eGH zwZZ<1{)=An!@2mL%iE})DcK)I*I$P0cbN%_6#R7deAMZuE!1@B7BgDleYvgc?_-UM zwdC&aj$X53+cdJ$%ae?Wcip?s4iu$dDmIs|R7Pnm;LX&Y-cYC6D3Hz-Avb*{t&%|{^ly=N}vN!qnJCD7! z4eHF&OMc-`2Z!AsfaMs*c7zKgxAz?APbd1F{b?ffXUr*~piU<)^FfK6%uS-8HMjbM zOX(fbSzCOBB8=_1UtZ2mi=`VYPpuluv-!QY?#`zQa?v8Ao0 zPc4oucYMiLRX0rJaGU8a!{9&Zd{S&(9wzVimC58p%$EvVrwL_Z)Xc6NpBrVds1?QV z_Ukw4UA1?NRh|0_-y=GUkT@Phk5Brwl4~J5ZwEDefnMR^3S;l*=FMyFy*R?|zxI+3 zRxDVov39(d<@w86q~K26NvE)JFE5PWFENVP!HDsX@AyEEYsF>%q!bjViIofJ*4UJE1PlUnzm+0+I_@NwpMF7@kXYA{pC4Nmm0>PZ2d5fZ!D0 zzBSwnn-$2!C-8h=p?bx@@@MKx2x9Dhj?A5s?7u-IKXcVqRaVP*|9mi6<6sVBp=;#u-kR#$|zw%DUTo^R8QXD<^!h^+IpL zBo$tpdEnJLmk^R;GJldGI`lLDhBa+#hKWEucHzZ$t&fdN+ zP71bv>V4QBPrK(vmNC2v>ZY}Yr|gDEUfz5m3AFnT3+R;j_CHOpvs8C$g70NaeiQg* z$o_OX71cbHJ^AKZOE|{Ap2#o8UMC%^Tw$BOb{%VI6l?;4(@mbS<>?&)g z56V1VT@*Q_?(}^x{j1@#)|Cia7$Oq|Rq%;=cY$jMb`6;7a!IzPi319~V?LeM{Gh+xdxA>ln|&v0kRuZox{=`2jpyQ9cZ=Ya zDX&X*xC+F z_gne!kAb}4RbW_MOzg`hv(86lgqkC*zd&kI2S>+xc#sWp@I z%S4gatC`=tA7p6ArUucfBQJ$0eK+~S`yspb^;B-NrRs8Ead#P}sN)k}Vslv8j2OuE zll?f%s*e6DuIJXBequx*?RsRkw9hlN|Lg1~RhuwxkGE8IKxKFj6L~_~Q&}Y*6UHbvLu}mTe@;k^3}e4BvM z@=_%!q4#r2vh|4iiJ(L2EZ_O7R8dcvPYn*LS9&!saQ%615r@Bd*;gl+KQYQ8Smqg_ zNQ_R<$W9=MMAnUt zoV+>7`;M`uBYIJC+VRJWu*4`~X=BTD>|OgD^COpN9)j+ph%QuanEsvxD%;Z5;r#s7 zzo#AAZj`y6;7xsP+kqS0cvvKyb0TEJGOi=lo3zh&mIdE0^?b>{wEyR(cF5}J^JUYZs4oA;s<+>L z<&Qj19{Z5Di@Rky`xS*Bc+80Py~Cc7nVm2+SVy6W=hnr`*`#=KKqs9PRJLWAD(Jwr$3sj~L7C(N|ei<;S zo0p*}oL7X{VPY3+;3FmIy%v3GztNu9{e8)M-Q7q9``jOmt)Kn}=n9LGo}KpP6~Z{D zPx?));&iX#E58alT-L;4L)Rp(_e2y6**A&|?M7e1+K8P>-K%rp*VSR`Tv&>DiH1@RApkL0DUDEzLyA@6NUG`0OhAfAM=S zPr1LC4;StgTB67HJvQ))71B3arfE}|B_b*l-b1=;=j@rv`@502U1y%h6W<#4sYwSFV|Zd?b8JX1X;!*_7ybGWCO@g?F~GGT0Sq1It-fp>8>5zS0Up+())Q@&8uA7En4} zg`=g^q|s;oOcn&iAf{H&*sP$Kc z!)`@EuEfRFkFf*q1AbI2>fP4UsDEuBQ2bo%j;f!0oCNE%?Y0$m@%`w`QABhjdgsw% zqY6E!gOG~2(lKlM`{tn^v*3E>o=>$X)M9VrSKFPsp+j5)>fVGLdbF8!`x4pbvTB&W{4M;% zfoC*K-$B7SDl+hbmknZ;KJdi!59A9$sG;*7Cz4Gu4*W9gHIdt7Ry zcb0q3Nsq7Yw3gzxmQ zu_{@mGeg>`Y7A3^IVecK$Q#DV&0AG_$r;)D+$xpnCR9{YJ-8&n1;IzuRR`%x%Xpaz zhTO3zH1?vIGSL165%?Su2Y5#fp=!gF8u*Yj~#ZV2BnF9BvL2bh?eM{F82(Y?XhGCtT_4z9X=N;Z0m?_kxbyQJ^TAL5K zj139!OtG@>tfQ#((IgQVV15fVS)6$p$Wq~2)p`STRtgrOaAJ`2DQ;)`ipy?y`TDR% zcssm>B#>NSBm`BPt5I)C_t%kXak)N=mGy7$z1ZRHRRxuNJjhQ{O%SHS8NVy)y*ncOuSw9- z#Iiqkdv^RJ@WA6^{5{hI8(K!Dqz4wa0Yy(%0A@LjyB;0uT=@V13$*!pPw$4(*y`D- zoG!)k!HaJ{%xOA=#z05b=sKrGK5eN!C^z@Iao)02h8VJA0U8|E#=9%WzX;=<)c(88 zLmqpvK-_b#{X5>wYg+f80@&{Ijy} zT(AnJk$0BHN?5e|=J@_<3zUKJGAN9bdGtH&LZ0fiRlg6E7-)0!0B?%BkC&u{?%dpn z9gh0lM`P!_MM`Sc+}lSEDq#+3P)|!kaGUUT|D3;kCBgyjfpYy9bZB(3CAU9%EoyFm zrg3j3gY%ekzwp6%SX&%#O7L}``|UkazBLL(tiQr_-h z^{o0euzE5nfQK`*1dbF%^W$ZvUB)ty!eijGZnpG|#we`I(b}c>7;WE?%(TjpPwnK+ z$D!?yRT`A}d(?pajLsYem3^Ud?zyhc?JTgdm%*q>=fK#AC7uvikgdYbP8y3a*O`n- zWsme)+pO?D5+#w<4fQZ5+y`=Sn3K3 z6lJ>vN6owJFBBcrNA)1kYx?fWHVD~jalu1x1tZ2Tcl(?*=WT=w@>EHL#LEkw_M_J$ zqJ`84BSY8CBU|D@)<6`$h0=!#`kcemGo8x9(AT!hQbQvec$bmX$L-oJb{_1lnsCCB zO_E7Bq-S<8rWjZJBYIKrUAS}1+T1~V@rS^n>bG0#TrFka-06e&_iQznw9E3My3OGu zq(A56TXM%G`r>g3n*jJ+f%`QSe@`Hv;UwmBn&?mG{80HdN9&)>#kg|Pise}M#7{n9 zi_~!EGj&47rOmE=3*k}|cY78>Y>=jjt#U0j!V0^7{Bqh+$0vp^3C>LSEsi8fy0|cS z1(RZ?x%<^qVAd|BqG}G`z0BO+(Y1 zRI(2hSu2W3@*8&Wm_UR{Re4Hf&x4X2!z;OqmpIZvrP!P$p~TVoxkCCBNo>kKT`$oM zj)#%!FK6f5mTB@(c`1QGIDoMuw3J_E2gwf{NOxlMCby(mVIZZ6NIN1>NTpO1Id&@M z4AqvNc^_0DEGJdf@AfHk62j8?0%~BV8Hp8VV-;GWJWN$67wXkXtgS$W_Krp$m$1Oz z#$rygris_czGxWluSU78k`_;cWvWrz*adcbn)Y8V_!tOXuHeEFFwYfav6Hw4-lQhg z5nDLw&<3m_=6dzABH3-79_0hZ7FJHYWoao$*MS^JC#IxbwB8GOIDwTJ!qibsjWZKd z6752TwWy^4k>CnDWYwvXE^QuK3@mwsxGiF&ZfrC$GAh&@{m3sfHSx=eSk3$%{hIoQ z>FUYrFHrQ1e2zQgG(O4ve&#*^9_R~Vd z^(F6FYIBYEB-v-CCdL<=gn&UM;EMVGxv3zi;vR(`vIKT@RECa$*cCIIMbxUw`{`f? z@2ePhJ{xaV!y1RGPCL*P`8whWb*hQm(|S2>uXzD}Whjfkqn?sjPZ7s(V137WNl^=} z`!G*4L_cp_7QK8;x(MW6Mm>?kJyry2*vFpjLZAgeKFFqnM1c$R5q2Ovvsi24+*o2$ zK$~?ahsk#e;ag#fYrG@9Hh~HZz6g3x3! zWS8}waECylrq-dYntO=0hpnD`O#x#gPk76BCfbV@lMgG)Dq?V`M;gJ8;HHaO+4-xH zQbCo?gC6dr$84;E9*HE0@_dAYCyO%3{F=!C88&%6q43M+K^7KrCC=CL+U z=BQFr7%MESplV$8JhiQ5nzwumPUrdR7Do0laTBQA$Mz#Es%&?x!5Hhx3|9;FElI2* zotAagtH8GtQSmyn4isN-+Qi!BmsWXmUMu!2$SL+%=Zm7$OY^1~m3Dh6VW_SMqiSO( zGf&8EoK99G8;3m1Io9g)G5~#UJ`qEjn7<|^uNp&QJ=Y1>+GdUkA&%TcrU}BQO362c za9?dB^y*(yd5-r;8(;af9Fmq~$=>fZ#0#USex!taw96?ho;#MUnMWk6Bt6M<;{r%7 zQ)^lEZS;sWMB*9-VWF9w4|fC0iB^yW)X*vuNa|c5uT$yA}2$w9RPc44E1C4c{h$e1l(Oir)ZUHNn`xD=NXn+WM0xcv3vi(VO}U=Z^`_pH>8u9s>L8Y* z61~qu3tsu;p{LOYjjP3$^Ky&dF5Db><-O#6lEB*!dF09WhLG{FhuL8XS$M8(NMaam zFKcci>d&ze2gi zGX14`joYtg5rGUoYuN`aA1uq7BO^xWY3=kAIRdirx_ zHHC$@ya@VIndOmWJB9!?o9{FUYh@Kcurg`tN)5a_Od;9K8$C^Lhfx;RGHI8Icuz$t z7lJT-n{HxrK=QJgaT*mbf&!8OYbXfFxRaa5BLoGg<>qQw(uX;XD$WWRJ3`2s^;JMJ zMT!dz0zzU@c(kBahz8mPntTn82ive<2V^8~DY_Dcfr<+ttkp#gnP$`%kN}iM?SE(~ zhzkt&qa{PQP2duZ*M`ErJDN^g9_eY|=SIuo=5~ zKrRL<$}tOjRA&!sjuLjH#elVx;VGdR;7o!q8`unKFji1Kb5L0!-j$upJpDdJK!bfBb|GDLEZG$-n;JD2Fz${Y^Cc0q(njpzJArO?sDF6{i zCC{jFquA~!x}4uEGE+2}1=c|GfVUG%xj4efN`_p{rpVPMY9N-tKxMrUXfibEkfUi7 z$QHE}Ze9sj49DS4;uNL0!J7#f?yqc$;VZwZ$}lQ=xE?)34=1=9tw4jw~lMbg z3XnoJO|K?hsY80)kXRE0AVF1@HL0U2+_8=#X9~#Lehq-TbT|ay^rDux zUQ_@M0YL>`bf}EMH2@WzcCdMF(d7UC6bvNQk})p8%n*dU`LS3K?o2YbWMFQd?MaC{ z#j&avY1CwBvJk&|Qt|;Oir|p43aY}<+4Aa{A!v(AaWIlMkrKee{{;;e>O_hKafd={ zIqr>i6%W{cmktt_qdo)$hTv{LSQTH}qSAmlX)0%Tm3u?Q7O#y6zEsEd~v zHKh~b8ZqZ^LpyzjZH&O@`x$w05ya=D05s%%tSAW*QXG0gl4YGd$RRGcKCvP{kD<)l z04U}h4biZIot3ZTac5lq0#CQe>q>`bdb+JiBjh0|&?XWTXPuKdAc;cw`*QYmwWw_9 zM~-bs>P~}jZZDeWla($@N{Kb+jZ-u(=!RN%e^Uw@nHc7!9N#MfsIgNPdX` zL1=OdmBuk@7%&`fu|p9>L!l&;E0e-on#~g6&8mWmBhlg{^q~s~CXV#7VcG96(>0!^ z%QDcXUby^BKB#^OofBqzxiI4xe8iT5<7y(8d6hJ>Ufe=G*9DrVfwiU~b6pYQFc(H^ zePyO3nPhB}r-=jbraK2g_~;ab{it*iBNib8`MRW^$Ryj4V&UrC0H;y804}`{r6evr zbT%2L3S%B~8V zU&!5sdHO>A16aOQZVa#`YnPt=4ax7nTTgUb6FuOTjI21wESTZY529hiN>X%lTCOgw z5{r@76s3S#?UywbDZD$%#JN2Rcu8MEwUiqanXzxl(&7lqG*S3iy_(2PSG%3gTyz) z5!yS&<{IiG)OIdP5i8D&LqmnPUnD0@tGG%aIT_lR8ma$xDN)ME9VXSfCO-e+yv#h0 z`3@ZEE;O89lB{E(8bbzyp(P<`77Jj(8`nsbCQZ6VAy|a27{LfYC616$$$taY|+a*@DXc z&(vklBGhCgBE*@Tt6*+7ra*><8d1Dp2BBR;%-c+47R;GZcc4xUcx~#A+=Y6)J_%R_ z&*#%OnB8pcL@vati@mlSg!7k2c^eXND^QlUZ z$50~YH(u*djc8Ls((|x~a~4(eA_gNaa(NWtxRxth%c_t&6Qm2ZjgwSE2xC`kFh6P9 z725C0^xCPcU}1e+?D>cXRkvJYtoxnV{A*I2vt`&HQ=t;f6pp|*tjV}Ctt)diK*(LH z4%5q(X;yimfrr|{S##n=tSeL0{#Y$Wey4zisn~^v6|fB5~crW^|(3;YyH9 zif2%}U*%&n%LVLf4&l2vq$u4DJ|OjN9?+*GCP?f1VrA571BKZsSDD~xow3GB^U=mT zxyAJMnsTb%WQ6-vJ%>t?9&dLhV=&VtpjX|nL!I?+S~#+AiT)O5@9t<8`k;{De@pV3 zN{g_wF};z)J()GjvGUOg(#*fSg$^b}+q=u5g}0>CUddNl&;<`evX zAHLfXTy9<`X{13Oo1NL0zWQOM-r0mG!>4LYFK6RgNUICrsfNkghG35G{c%!f0h@-? z|G*}#uV*dN+c~sr4=I?(<(6SYaktzi>9ijGO_^hez4wBhM^-?NL*X(z6Ilv2jqWCH) zh<$gAu^Bwg;VJ!~Vt)-d*jrhEi=pT-wK&Xwc#aA67n2UQCHMygV{x~4@z(M*r}|Sw q{C`4vh7)~00`h6-OmNn4<46=+c^LT}VZ5DL@sr91)^8*JxAcF9=h0aJ diff --git a/ice40up5k/ultrasonic/7seg.png b/ice40up5k/ultrasonic/7seg.png deleted file mode 100644 index a793bec6e364265d66f5d242035f4e59de3b50dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 697952 zcmb5Wby!WYN;FY(dP&&qVx=pNdNZ_p}7sdpbQ@Z8=Rd7z<@Gyi*|D`~$vdF%jj&^7clR8tkVb_MZR z*|@&9C1ZFR!<^H;=afkE^>K?`ttJ zF;F#qKS+)K2PvPZ@c$(JAGiLSRFd}}3;%!CMV znhgoE%ocpM+XzO~LD5c*f0H zlswm=gNpqXd|TC#5`U;QoTlsnO3vw63D4LQOv$q_pW=4vL|$Ofq2ggY3>G`zwi11lHxwEY zc(pf(D47V&J|%jcgj$Lt*;f)ilwB2F>0R8VbXAOe%)wBZTzZ%5KUy|Q3;+0Bm6=d9 zas0=Ez)sNxgA-h_gQp@aoK`Uh=PMiQqt)o;bZ=gB%xk3i`WkP{SkdL#=BWtKjpZhcY=HPhMVe zFc$?j)doyUc-|v?WjcT9PU}!}<$j^o=Y55dUJ(M@`%Cha*=I+Udenx@l=4Yr+Wy;4 z&Awc7FqZz`gE?yqg6JY6i~jfgvQ2%$uU6*2JrgGvJ#ryovN-Egg^3en zrBb?5d2(Gu5X(A-!R0l<2aEfMwKBd@jcXkb5j=rRskq@MpMK?DC8e|yRSnSk24>%a zH^Bj{I<&R&^8{n0IBoEXHONo(;tqUP-u+(bsBGs)I~bVE#&2WsS54zlbB{RcpCUN$ z9H4Pm6Fw|f(OabV)0@~=bnzh`q@#5IX9fa~W*}Wlj4AvdGjRF;YX)(cFigWA0~3>e zSrvn;7%Y?KzwpEpFT(^rQU1Zu0|_dFG?^`67i@#ZtY^tXFj2XeOVuyl8YjF|NAw%9 zI^h^+9!?Kn(ts?}5d;Zq#fX}K5Vu5culAEE1Egb_c06e|nWX)Kt3wO}i zB1{{1M(;Acbz}CFXPS|zfXM84hQHT+&hJ|?Q9l(}SQ(MrQtZ)|Fo15L9w$ZyZ5)4T z=g#Z?)ec>JDcrngU7%V;^Z0u`MKfQr1A5zL$-7Bdx9hh@7c>ytyZZYjo_f*#iOQ(? zCM9WQCeL_|dSJ`IbNA`4!cBClhJiCJAie{KH;=}no?CP$hJ3w-y8Sip`xB=6&ziFm z$5vYg#L2*74+&*)20DQ&o1SL@a6-~Nens(Rn3V)EOd%Qy-|f6+LBNZ= zMt3)^Ny)$X2D+)NPCD&P1<(X_Zt4XTaH;7m>Bn&!qkSYR9h!GpDg=455B<20Y;$;t z;6{>BIDU-?#+HG+B{?5DIrFpGh(#t)W}CU*c1N#>7{*Ljg)+cjc;U4s_JX0ovUz6P z&AG1R+)5dej5VD$sYqcjoIVJ${+Dm)P&Cgo)vw9!s2+1{O|dOrQ~SYLe1oY-XDVW& zw<9}NxJd+(CSp8C17CD@G7OdTp0RG4>NwPSGXIm4TW2%b390Zqgj;-R1Y$MNAs_;9NE{jn?HYIDz z#K79=i5JCLcm0C6&e=TUS$Kha8@nI=x`>v7KD>b>2hV`B58(PUgfKa>5sqfq;EEJ( zu#d-{L_GKaXL{Gmf&;~aCH zzQmv3s=`Xc3Syc1pyhEmVCrdrIf*k|Z0lF2Z+m$%+CXaRx@*MuNfefD+W42?=_Y4Y zzP0&XtQk^?D{YFbNz{P(HfqxoiTab1sImGJu;~5DH42WUy8z%+7K827=S^}(dDGS^ zI~-M4FoxLbh%V<=73DClu`-NR=dVuiFf(lI7=DByM?>~79uDZPo{zZ=YMizQs&o>5 zcN1We8DjYPs_06ePFQB)w~%u}E7m!PK->S^o90%TDLQ_=RlNL{kPGd*nIne&uFljz zl(mTGPO8}_b>9!TpUn1~wlBaaL<(Xe+u4^#qtg?kQ)%f@nb$Z0C-Jw{lcnFh4Uymi z9owXgX{d5eLMN;e_Tbkf0rbng2@&BT6 z+_#u_xOKQ9SVTj{q z)N_s=dHMdq<=o>|kj^Ku*bBd@jc1Ta?cyz7ek3>s04`>Mo9POMdIIn%h~vw6ek@;s9@R3JZY0-w_JEqsusRj_MxBclMv-w zSxpW{S9F;gfEup)3c8ly|{6UJW~AG_6)pnS&ZtE^z{&Hu0d;I7|(ly+F; zu;1n)q4jHi9Gir+I@!ful-PmVzS@gtNJ+>~_w2o&;O}Erc8tqv_pp|Xb*PrZRQ| zb`pnPn{142<_zA7=8A`a_o=zxd6iYUE38a~IQpr{fb)PRSiEjbghA`|t=KI;6upO+ zocchMtrgn+TCSN^{b?u#l6Dx1RJxr=LVs0vrl@Hb3@>&VFAtAFkwTAJ;uL5C8j&m= zzEYt@f`xC<1mCFAMj+=oC`+TIUMJ$ch;e21SMVe#c}W!f$!7z#S$Kvrt&)VSYYbaQ zsuMgfE|Z==X{j)BCY=?w=zFUkp3JRO0CYPKdCz4C$8QzPRet-2sToH^9XTEs0-M6UVk%(Fb)bl+kd?vYEF|zJYE0<1FwWiF0vc@QOS5Q|yj`m|F>LjH!x>h!Hlbm} zqaoeIexN&)f&9LYaL6n|%rYYriyHub%IUt%i?l}W@o8F6e^+Ji zA-~v-n(fV#0yUh(sxQI+l$5JO_HLjeXFZAdHsm6&&_A~bpZCOrRx1Z8w%pdS96++% zw$!Z*;vqNZ=+ojIVVJKreU`pvAzvla;|MB0LN7Za|0EZzSZNWLjo7{%I}^MACHcMK z2l%knVdhiXLdsuZs{yxByEGlo7qZ}VmpF7l zS|96@JL~6pNFM+qBOgLNBOuee$q2-*g@L)Ex8Y`U0Q(}Wg+(lLPY0FMT=}ikLR^R2 zx)V8!eP!SHPHwwj@#SWruS6niiMX=jqjoI*U+oA&y49nWx|p`J2g&#xz9Y7;*qs+I zY~1$j-}>?x&PI(fAbsP3Bq8Gr5tMjt`|FQ~+H-wWFvpO>{Bpk8J36 ztc+t}@>heB-$u=Uk;Rwx`B*_qAM`}q;kv6|ha~y5?4mH|D#0BYsZf*#E}qaY&fKZp zPIAU?($QT zFL1g-{QAkGI(Rs2RoON!O7EKEQ1#U3E$(kTgK&~kW%F|x!6pM2#++|gDeUO6Y#*+q zl~Yp51hccTaFX_#o@5Ld>`rM_Mf)-nu3~Ig-~9M8V^GpCXo_ZS#Erey(!rwG8+m83 za7lp2C{%0q@In}U#(h5&?J@-BDiUhS5+34VG`tlms19h5+0)Vc^|(;-VnQn=_n`bE zwh;z;l$&bq!ZUK#0mEtp8$hz_cbVm3t|J#Ad#abb^U(rn3}f4e8QYoj&6U7y9e{yB z&br7z%A?%D0egdh9^#h&_(anG^ogcGzaP^Fq5lMKUsfEKjQBel3SU(XFWu<#FCiM& zWOiJlz0-bjTkPcwJ5D<`4I`%77N^@0u9%V-^tfZi0>6AOFuv+Mb&94TK^%(17>4VM zyi_{N4rnVLVU5hX1t(GaO5JAK;AXwk+5HTS^p!MBAuSBq1TO(cQY+{ui%OJxN!wt+ zbJnjYHiADb?!-820v|mQ(z);QC|PgUp1k<`>l*KP=8FvlDKnyi+aj-pQNwaNAy^hq3ewi&8nhewUM z5|4!yAB;{BfT3WFi6c*%;sCkitjT5lfZEsh2r{!zaW)%Ojriy_N&fk=k|_bP9rVlx zys0HUkHps^{ zcqnn(qy6mG#wR@KY&T6kZuymgIWf@Ae5s6D?7{rhy(ud=XY6|8I%`Q(+LU19a6&%t z4^WN#d*H=-$Q~LQgS59TZCYo6wG+R3=(aU%RB|6%=koy?I$sZl-; zcg#MlB|2zz9K1QLz^S3vsyASyr_Wh{Rn|rk$N;26;>NUr~Jh^TIOb9?OZ-+aC4vW zZNXyeib6_er}6GDE*x`gTRx*3oI~?T*#m^ygY3>FqjweGEUPmqQ~O+Eh+~y}3w%@f zb4tk$WC3m|^<^Rdg?0PknX*J!pR~$?S;5R@u_{6PvWZ^UPFnLV3EE41cN!`<@zq|n z-6Q5GUDNsiI>3~1dP3aB;d6qK_K3t*%1#PK%~<_HTZuY^`x6HRaI@jIx8Bh>DO_ws ztQC`WnH5pp;JwmZg!8!d)0^$4I@#s=O^@rT7THR>d7_<^z0@I+YZt!o9mx7tz$4%; zw(UtuhWL)O;2s zJ-k|KakG7&9ec1oK|Ii z1oP5rLj8H07A-%tEKYFFR4CI9VidzVGJ}#z!wpXGI@q)8pET(L_tsQDhMB_^fki1l z5h+kUQ$TxL+j0O|XFb;jVasDw>w7e5YXa4;*uCg8r8WEMd*j@2Ql{*p%Lg{dQotu> zbMc8dB?X#8%FeE$ExCRx1+Km19NSGWkl%hmwlS_D;~gkTI7&#*IAQK}8ta(dO1K?! z@ciBP04Y1sP2}d2x&VU(yK22T*-bjV`|v$I+cGV#eZ+lpFmyctW>InXN%Hq4XD|m7 z;)nZIhzHlQ4WeZ1Fm1cr84e(_HO0*A-oA#5P@jD3^3uhGbtiDn_`g7u9_sil9%TRg zS_PGYzAB7uvZuPmG<1e;c6u5xH=X3F;ZD6nhuD!$<0c7FF;wFaR$2=*%aF0qA zCM)-POQmGgek$>GYgZw-dnIF%$|9bduR+F}AQlt0cqmXwLrGAm6N?K3M~mw9FCG(? zhRNGLOF9g|Fvl+O_jC8O`G#a~qei|8#%IocJ^3h$oo=NmIinPjjggd>%#UJ#R<~OK z`tTjjpMD=c^+*0qK6cwsVZZb?LsYhFQ|jJpZAzDzx6fCJH1XUcs6vfPf({|^+v_2Y z6%Kabw@DWAflC_5fENraQ>3%hz%c-jy`4UA-b!%m;*?&oUjX+jY1;kkC(YX5?<_Q^ zdH2o_J{;d)$GzU;;!8WUfcj@wpx7Zw4{v!#St!5n-Apu6%$gt2xHFl+lX^3dc-Kl( z`y8{Ryp@=&$i&|%ihUma)E#+_;YR%rs6yh2YtJ zPKJ4Ykxul}QK}12BgH8jJ4EpQ_-|X7siN6m^>|PT(0CU<&%3?g1h0?mdsUWF+Vr`S zL{H4^F)ue$sD|1`UpDQ0St~^m9|t+-a@Pn^^QvIdwu{fDa9H`5^!Fz2T{!PwooX?! z^zilTa)?Nb*VrNZoP86-loy2lQ#kSGc!t-MhbGk}=cX>)dy4mJq%a4J94S<_N-I_0 z_k=ihsGS3T1XlSG4nmdU+d2%IwcFqfU-wIhug?T63nW*j1gn_n&d*v%UHK8RjZ{a~ zzFzA>!z~<(AIf;T>xa^RA5{Mnn8dsT*XC0$O(Da-a>Dwy^=s;Z^xil+vd$V9D0%_Y z@$`7C8E3|6O&UXhuJJZg_|o+L&ZTu*vI37r4&+_h?~U@a))L}j^`>NRne!y!Aq4S{ zfi9x_ZoUnO(ml8>Di5(?%+&YY_+hAdf^g-9B5gt}@*UM-@^egfDiw)zy%|8k_xj2^ z49T=$YE8l+PmRn2<0!LjVp-lv_}J=Mz!9*HF_&Xg{QO-?|DE)c*U6*`Vgh#Q3sQCn z23K=20%U2>F1XSl2f{O>ouQp0z^uX1t!shU1DVt!F-hDUXfCLIjlKhTMD zFxBlHc?nguKg+S!1i4SJ$0wAMOw5X>MWM%YA3J;@U5&>2sh?G&ia711hzXic+U*0W zC<{T)!gque(?=zXkM07kLnQS?#>6)@gOR^A?*-Vr5V;Va58qjCHT8A+ zDFm80y6|I}(ZZJVJ)3CF8mVU?eujklY2?g1cmH}&D4>CHQGiIm*&xB@4idoy|9tF= zlIQ@|Mz%wyidQcp^J&v$Yc)Qpp&m11R||1Fok3QTiQcJNC&PfTg=__=4AMHVS9 z3-RTR*hJ0+ZOMOSJ47uOz*N3B%2A9bmf+tZ1<(7ZcFDyz2CqMv`d)`7S4{;LgQ-&w z_s^-w?_>|A)r9wuC`kx%^kTrO05}OBc}&HVIq>KVT8nSKyT0^aREx^`?L5Jr=AQ*I zA%6kT-PZRXa{y)HoSX{`1cZHA-9qK&s9P5Yj0(!-Ji3X%;$^9+07DEwcS=F2oq@Zm zBL^=-f?0McL99(=Qoa9UB`EZyHN&Oz{;sO7-R$1WKZ-S?`0zWMgL3#Anh&fGqiGam z_2H(vwl)Pc`F<@^87>dIlZ~UJ&G%q8u)CL}2`(FP^_Gv=T~;vBa`M=&P?rdzHM{`> zHiA2ap#^2V+}9W3{z(|Bw37f`rSlcXN)1fJZFVnA;N+D~K!RJw>f^er1G8PabEUX$ zrU!8EU@fp(jzI0E`i>(%LzLiz(kA|_ZZR8GPrdSGf@xS!LF?>VC~zO{0{eYujK93n&h z!x1ySN|``DIDPkWfB9kZG7fvWCY#Wc3;!{XG4GQx{2j7%_iUBK;_#EiZk4Ol~ z=bjR@iT7l=z-x8~>&zirFqt3SL3LlGaqCpec4H!g@KQ{$on)dTk9hjEj$`MBm8PRQMZtKVV*+p^Sx1uWnksTrf@D zkBttXtFvE^`1{r&rKiB9p%@GlM!4?W0qVu2EVmBR0L>>$m=oa=hfA(5x_6zy4=M{1 zwEYW**~^skLhZ)oNkLcI3qdm%;OR4ewIE(tcV;Cr#P=E4eAxOiiwC%9lRns@+j%bDsFedz&d{DORdu*l9Y`AF zn?ZnEG=!E}P~r2y87EC+wOXP&;6|KhdcBTBA)j$ zIy7KD4&Cc9%glG$EJ1Ywbj#+em9s-)1po0$BNVz=8U3x86knFPdcAIqEj}3)Qd%tW zDAfhqVwD2vjdg=$#qUF6=s<^{zn==}74yXss(>HTEriO}Ea&mU(Vj2(H@y1Kx>r8tPT4!gmk=)~ z_~kCxmM3U^F`;A_H)4B-WfF)H7Y#rS^(*=bcRdi%>>460fAN2Syic0|* zAB^wKZL@irs_hEJmRbCTW)Kr2*XQ3gFfYlsj-4c9C@|gj<((&)HufzbSsI#qIXDng zi?6g&*b#m+&{k59M&Sd9i6zhM5B0x)$dd+$-%u@#Vc#I;z)u%uCSsAz&WP%+TnC+- zSde5%WZ%`4ZRlfduZ2r$OQ0INg}Ci>eEFIl$Tt-MU%|W?R^Z{}CXL(?0~f25i_WJ# zs?29WqiV^4k8Cvj(P$NSEz)ouFXF|*Uz84d6uQR39+(WWo!fnI@4V9ko77WVkXFc} zx69PU>Xp=$9MaAUdZ+0iTdT2m9@m-kt)-7D8igVPURq-Hb@oQ1S30;6i1JBTWuBvj z7X$~o1J$9st|v+tZ~ZV})M`lK8LGrnh%rILokvKsB|?1B!{j`ZE}5qRLU37Cx1W1i zRv?iEGPX+^NEZ1qHv70iVef?<%RkQ>jhN|^WVH?53l*WgWZIOK^nYP6bAOH}QFg4C z-i33SKpGz-zrb);N9waX+NJ-7^ zem)>UB~KfMt~m3MSf<`!KK&*LpYGS1Jt%F zec*l|{%*-*&5h*%k`|w@=0OPW%EUuQmDwPwam$#-ZFge%9Nr8 z*kqt{Cr4TAkirDUV`hV`VbHJ`kNkwp`!)Kzvb&ENiXWtB`$p+{*Q=najPrciB)Gis z^T~cUHRi<3`dX=m+V2zU(N)gd&~jH+z?C|9MA1aaak1!nwGIVo)7W|#j352#YTwgV zVnksOVeQ)RJlBeL{Atf1_GO(JkuZ^w_Jj$8n#MR3wLiS zbQ`q#k4N?*&7t}rk+PMtmwMAA=|tvAZ%=YN)L5Z(v;E}q624x8BqxXUr5%L32H7X0 zoHn2#N<#*njU?TO67C8+GIXg#A~QBe9sgJ7A0}*JDxb}YJf_uvpoJ$dqw!2S{_qel zBL&k~ZHguL@?-UX&9KMU3dgEIyd$YcH`pRykL{2ye#Rmy9bo7PS(WS6pg_m$Z0HEn zMt2i4;uS)2o3ieS8+}dqV=^Gy({D7tB}={_21w%75#M|A`bGyE5;|P!Jj*fph)|B( zs+l157Dh`Gm$njGTio~wH$a1N4PT8FieJjm+QQ8zuq=y7rjNwjRe$Wd#dKQOl|sTz zo3sdo6Bj?@RI^Y1W(0w8|0u4xDB1BwQ7ZV?G}4i}fnYm2 zc)+w2@#EqRU_*)3Xhp2DYqvH++jn}l=q`^4*K&1;+UmaT+7{@@?g8X}6%J|Qu!ao& zJzOvthDa~FJ?$xd!7|x^pPwa|ktrGzaUYOLDt6Cy_tmR^O1IbLKJ%xZ8T7HJMdoZw zj?~nTRFv@d_rEE+EIKR!j14)^%uff}3UY1SPZS&{yqK^|gC|b3>V5-;wwdey71_xE76n<+xoK0iK;Fx2FQpEa z9xwCW?9y2Sd_J^mN9K|^oVaz&w(1tDj~f}rxr9@fR^(3A1}jZ3#61ZJpvEdr0$ru` zzMh+%FT#Q^i?I>k__rE3#u@W1f_%R^B8lo<58%q{qCLw*S z0=f>9re_cKETEe(khhav7#qfl`^0>JPdPrZqQ@WHg|V*2ZT;iM$)w7yYm%!r`mC&0 zXJBwc>4GS*gjfQL>$*80B74s{0ijWEP+!&@*S|tDU^PZ{#GC%|_ReuQ@aA&wC7p#P z3iH~x2je!++0#kn>zNyFhbPII$pqYXn>SbgZXo?-VMr*#u<}i>nL>@PLJ}&04 z_hLb0s>v710xSQO%870GXWA1k5F>W8MYWJ&Y`3R3QPX!z#3gDN=BGOX)a7{aV3L+`YyZ~~bu{d}c1chTcC zQYB^3?W={Fo+Ah{%-;rP(h4%sZzWZ#(<<0~dWZlqzRhZiORgnq)f(S^cKNj)Pik}V zQ_tWLQ}VX!rzA(wZ_55(m@hO^ojUyr5h1cfx#V70gHQ2r6FF^mYb_I*TI_;JgDwRdT zLZYC2AxY0qfYVs<0+lB(8z!Pfi1Tv% zgL_?-sY5MXpD{6tHp!xl;z`?-4Etu5a18~Qy9_l=xnzc9LVN*p(13(16*AOSbTHFF z1>;7r#`_u<>qhSKkJ)Oj+nBkUcJrl1CXx?f#XkFSQ6pou}^-<)=l+Y`ua5wb7!t}{^(r2 z3H%d4DNP{EOn6ITK1%$+zKIYesKWlX+$ZNF%D>t1P4|7er2^N;3nFXI`zJtXb-6|; z-EG^0CBrz)(nc4AA&v--xblv&|LH5YvPqs?8bv=E+i}_=rZlMXmhR(zC{iz2RiadH zVzNR13kTyqu$dUReIB#P#WPo^oGH!0&=Zidd{cDeK~@2)wHjFZI_h~!qtJTJ=+qhD zmp3;OwBGU`o9F(I&Ck#RA_T!AsV!9RXaXE#eLBE~nSQf6(j=I)M~8U~`Vu?ni=C&F zuilc76MQ+50TIvah6_^PKFiif6(ZvkFW<0yUn5hNd;4@4xGGknk(;6xZip3|p36mV zS*TPlkYsASYgKlMuTOrc)y~pX-dnBY?#~!;`|PvL1(~lpOY<|$x8BbE13$9Ex`aQN z43H+RkxQ`*PGZNr7E>Xvlh;n3bUh6PzC)u5J6kRb*)uoEUgIp=QeyQvUTQbl&UrtP zAKCLWGRF8Iz4Mhvy8gAQMXm?+E;zZUbWW6eXT8PXPXdFsq1#stel6zlU35Ly;19jO zxqd6}ylGxf$aLkr{$o)R)9vuw6MgxHxZ}&R8yDC0ipaP}@QE;1TEKX98!Go5UT3n3 zPt8xfhlM)VMie8`pZ*Co(SD|~)Cz6a;HC4@b;}sGhTAUZN-aNrCqZ-Q>TLT0=KV9x zukK}}PIatZPX?U3_{&y^TT&=jei3MGPq-EpR33QV+oH+fb^*lRG}2>s?W8ep8l^?3 zCz1RC;qo+mZ8!<}7H=_e54Uu6)wo?+2!EZ>jS0JoX>29i)7Yb05$ynwmKL--n79In zS+r^G&cCXQ16eXP>;vSy676Z=#%QpE&?CyV$lE=YPl^veT|I;IAg9a6O0i{FpRy}= z%Ox~bTu@1FBYy`&9B+p3MWf@c=YDX@d}velSoD^eAuI$?i-`P+5huvKZ{JF4k5lE5q3v7t%Mn)fGXutd%a|tZ z6PcB$;9K~(?XNv#Xee_>{*G@_d?|dAXpu#Q^}s?AS{YD#>(`UX(-GdFQssU*1T|=B zpZ9no(g2^u5ODhUOaH@Ru#9_EYt8hc%-)L5`mbMMwdV|(fI!-LqmK#+;dng0#3e|d z5qTLSwdY(cdz1@uPx!*Jh4G%!K~Fr;zfpk}S8}XxBn-bNC&5cvW?{lbT#r|Yg-gZym1?91_#?kmjeBi{{}lE^>V#9ojaS?SUL@@4p~V71PQ>~cLWr{MgIPL+ z6S?p3{oqH7eS<$o$zbOM#5)JPseL|%@=Upr&`*%q27U#FUUY4sWX}R1pQcZZx4i7D?6A2efh6Tp0D-cSf0a~cx;?k~|!RUGXR2HXd)U8kIQ#+zf zR|>DLJd>|yBSS!tZ;jEa5|$BFAFsYhqCVMc~uF| zfl+@>#<#{8#fLpI@T}v=*BKWWg}tTg+MyJB`uB#=_7VSRuimcFe?^?+#a7|JAWpD8 zUF#{P*bEZo-Pl6wBi1mPs}unG=xC0 zitx?$?Fw%dIVe~Q#vvuK6E7r^(7*AQXv5rQOhFe$By~t?76C8}_Axhn2T#vBuX+Cr zi~KIP`)XJY-}VY0wXdOsndK!zHbZZr|B?{J>A6A%Xpvb)<+L}2RI)#(uVd-q*_|j< z)Cp8}f>k+Sj^5%@GF6m~4Gn}REkq#exr#E)F-6D}2PL4=xDPI#9zeZomRnhyvNeR$ zoaZTM@^M$M`Q|~IMwMsg1{LC8*%WU7ue3q))k`$Du%xv{_tBj!J;Hy*qYuY zWlELT|M{Upvh-Fw;}>7i%r67tBUSVCrZ5e9k2IE2TElrAE+ap;k0)J2uK)t%8|Tfj zzjt=o$61H__c;MWN-=E5vo&?I%^p$qa*!zlzxQWu!1$Edu)%@~9NK066mf)ykl_W(>9zB4Auee%L*`s;C$;aPaLYeG zWvLrE#@-a~sj=3>38ZBs4ZM0z8kqw~mn``# zJ$@gP##NKc5y*5QK`^@(T)raATS#|pgDZKPdhhm>7Xz34GX<2LL6j)ZgpQ9`*dj$b z6{zxs2KU`KYYk*!{KmZBF3>Ouw3oZ>N1)JFLnceGQJpeV{wbpRNi-oyi1eL!7eRIc zAvICj+}TOBr6PML=Bv9A{0|7a#@!7Y911GyvFV`nbaCk6VOW*Br9qff;nwyR#q4)VFVJEoJY=~s|H0Sy!9`TMBbgmlz?u>W^V+qHe)ulBt7@rG?Ya2F2|8h#9~4X21dvT|3eF3?A06XSrM2Fi z``+nNMp}jAmP!_74t@{4FgxpI<9(MRB@8p$dyil?Q1Wol``*H=>Vd+ zzq{rkbf-mx=z7O;aIqh$xA{F+19d};y}Hx-OT4DR&h)%VlJ{I2L0^~Lh}`&rM52T# z0teqEJ4VK77sqnD3|@b*&YQhLr+=SG*Vm5S!ijgnKW08uu-3fxTeZLz$PQ@TwO}?e{#}-J zhuleV;TXE|`?m*10r4;GDOj&2K0X>LD>x$}?w6fH+vICd9Fvj)fN7^^*&ea1UC9>e}_UoGd^6aAX|k&B*&!v(#LGST}h zk{A+oNiFbvu%60$Ul+wH?D=kR$0g4?i3H@f+Ohkuwk;Ah$XT@)P&CUU{`jSMmweU< zB?7-yR~zPsY<8)nk-D1H{2c8jmi}Y2*{3v~ru3SXgkuypf>9y~k43oLxJJ@;lk!ri zfZo}cujb9w@9=6C<_JARg^5AiEpn&_xj7WGsmPs1^M?m(nrMFQxEn_ea(dib4`CFER+GOCM1?spj~X z6kV*CH5r1op`Fj$;suC~o;~qypvD`yLwE61erZ zcvCEA5cZGrV#o`c2|@p8NY#6HF)qt;{xCvCsN&fl7kHTG5X zTf5%>Alk>R_*34>*Q#dhIRAQ{ub1{PWfyU5wHurA{pqp?iO@d^ICrruLng|ef9bf6snBzH{Uhz9T<-H)LSUt zet`SojRFc?R=^G37ytO^W)#Cp$1Ah~OTBLfViRB!ytp=e%Kg*1YQm;uk5AcoPi;*F z%;6-R3g!)<(2kqtGqh1+Xpaz|9)Q0H&_-0qgy2PRSH+)_a$3Ih&AT56a+L0c`If(& zkybv{33!v@o_T$l4=(Es>}5WxlN3pJP4kRRuwNa@8jo%e`EWA9p)+`W+{SvTxFIV{ z;I>zFL2>);0Y7M52lTmZl-OQ6A<{oa%G$8=S=NTII^$>k+>B24?T!!)yq_!W8d1{5 zV!^o~lAlbrDs*j8+hhUR-2@pAiF8n-l7Z8cIbCN>!nFSMPM%tSq+M!tZn2_IGgZ z=Drg-rUhM8)><3uGi&G>(JUwH)2JFR5g(Ge21xw_ss#@Jfa;fpBF6-&alj-;_G=HA zH&N1-j%eR{mGmt?C-5N{_lGVdVS7pQN;F|to z0A#HjV-lLP0>geQkZJ%gbWeY+Ymicjfa=New;>OsYi7rOjVDCU>4^8>!J$Dy2dRzV>*GNm)of+@6uc=Q;wT!pe9#-LAjv_IKY|;S17yyt3*kEz>81 z=J+{&{CV0((MRKLT62&fcOb8>PSjYm5N*kEIgAOzDUqI@+jv#SNkUUlfCpd?Ejw&& zp!mBS9DF(sc`(fi>ZR=2n}I47cgJ+wI5oVaU$HrtpJI8<9OzSO|C_Ef{<2K}_h zr{zYqKGFJoyw7+zzeusEJQZS*QZ0_O3Rdb`tn>Ch?ag#0b=}=W=QLrLSiK_oQb4Nv zcc9E|x?_AL60NK?@oO$eD0+Zh)W)AYve|P3ORq!51el&WQX?QTrEulU-MUoYx9)k2 zQ&?GfQ(U)!eqNG>PhR|2#@s=n^OEiPU6itnxjLFF)aE_0<@sFEYwJFu0d8O14>iWI zDFz+$nq7KolWBXKPk5g&YHqHF0oJRd&dAn(uih!^1ZMDPvuVS%DunB2Fy>L3&XBHE`D+z8)_)I}j%i{{44?Q`mSHx{xGI|YF?a6$>WNnsRt1?TA-_pn}xGZGJ&HWDaG$%1GcZ&Eo(BjiH`};VI z{)DAH$BM+&pI}{$uGDwXl`8Ax?u^RWt{AUeC-yt|ogPAc1@V3F;2Q1fUch=;L^$q| zXa3ZEBsAXR8{rKWNTdC*iAPJkEG69A59_erS=i$7xwn?W3l}}vbl00eviz>IKF?uez$=`f z0O$RHQ^{G89Vi}qkC8Lb!L>#K_`Z`QXQe7f)O`WkaJ`%+_bct6m(n5Q27ouPQ@zds znzPf5%YTO~rs4*D9J2eAU}vhEaowQ5L!L>3jo!)Lz97eS`7;%6Nz~G|tLTVZ%RSeR z;}u3VIX4HMSn0h7R;U}&+WrwBY8%qdC7z@b|Eonm8z41mkMbPs+hkI@B32Xd%SZ9^ z=YQv3I~aohzZv$&$*!B6svh8-pH0%eS8ds$q7{^5cK^8fT$I#P%y=GVY-Jt>m# z{To_3dE2v4VFTA}Xzh~(o~sX0lG)kQ+U3$Gb+pWj!Xq9dePL?+x=w-h7S z+11p^wss3hkVQ!!C*)7>^nJb)*7)Sh4MgjcR$1R21GFuA1nZc7;U2aOY%V@~b%=sl z4`60ncNhXT|1w`9e!xU;!QY?%UQgw@DYGqQ1txyZ@`d7!>LN4da?(l-;CJ|8#NW^_78m~rtcReR$*fw^Ha9zrEbN20V8Ur z?4?=j#aQEQkWI)|qF;)B>*VB4WB<3%3dwC4feI+Dy#t^ADXd|AYLg`=H)7U53Or|J zngMdUpDeUJzV~YQHzVhJP^#t-)v#FeqE0x6*#;1<= z`+!!aZqc0X^j+&G*!Kwr&f^zthwQGOEP&68jqZvhaE6}LQxZt5u&&jf=$4EGui*`F ztUDFs#^Rl9Df~|s8lciy<}giET8Mq8sThorvD9`WrDW(JXdnpCuUqAd@ylxC7*kYKj{1zX-^xXiu{YHu>5Bw)Z;pbDWNKI9aG$9| zcQNNXxOhfXb`>Gs=GRqDRw3G0UnTrgdZhY$&DpYbDfr95j`k3BH-byT`~HIW0xhd^ zlf@W!`|Wt#rqPw>{vpY^{J6cw2b?ytG>^q-FY)gFB!F8_Ifv|U=7E)61Qs`OtqmH7%bHNQ-7z~r#g z7ERD2pgWZ8RaEC3k0mYLwmNmW$ssU~yIxz*uwkC$Z;d2$g_8E!iOKa}_L-p;K;3zR z4|oZz?keWAm8*!o4|JYi|4-_uzs03bV$%04pbIRL8o0H=QN>LD1jdbLxL$x6jqUDw zL6L_au2~&pO%Ss$1--tSDIA%|?|+N*Ew8&KO|r*JLpx*mO1roR;4=HiGuLKuo9L*3 z$QyH?vO^$nd#*}>YleUwS z2l6VmwQRv<&Mnx`HU0G5kRF5dfChzX-x0&Y^a0J+lq~bbZ9}KZPI@sv=Py#3ewpByPnZ#ssCTj z_^Eb@(mGjP4{{?DVlL2YTfLL%R$0?uG;~u;K_g05Y>LXS@tPO7cgzB>VkTZ!k%r%K zYtVr!laGA#9Jj!7c?I9TW?riD^su-kbdWozOQf;mQDVSnsAjQX$j=>`g61;y_?HXR z0c3nlQ4!_g*YvZJYI1e!zy5{R2(JC1lVY}6yWFw>iy-smhJ^IL)O?Hx;j*tv!ygzC z{VyaSY3i48*Vn3HM`KeKLwz!bw)?|c>g({*`S=bh=8KjJ*`{0cv0uNc_J98h%`S}( z^(F&H9}_ln=c?{A>8ulm0TBq|*;lOz3->jV9t z(%iVzfrI5XmNtGSm#vbv4mW75dP#PBy%}o%KnTcsb$E4|g=^iNvjn-|lpeF8PAjjf z@!b}nDQgR2EE$=PCWVR3sEmbyZr#zKGJQMdGs3TLlaA%-z~{kWR9S8`JDK#zW4dYP z@#%clWtH5xUw|A$aEwiq#Kqnp*2j}Uev6^tUIHz%*=d=p;}4}TlhE;`=e{oe$jh?| ztdq?szau)+{iBaGfzyXN0iM^;f3+rFq>q}l2MR<3!8Nf(mu=SizIAnVdvZxvKcJK7 zh1IVy(RW3?=p!mGY}*5j)ZRiJIRO$6bbX49Gm6>8J|!B|x)uZng@P}ud^dr5OxHLL z7iPR{i4Tn*xRtr`{%9@=Y4NiY7LLv3Sx)z}ENTg4eksQ7HC=Mz8+`PSSxr;!&{2s3 z#rTKG9ll+mv$Z^$&lf_BoxWvf14XSe7R4ga7STR~DPoAojj7hg6Q>Y^7U*ivQ9t0Wv{7 zp+ruj1%-b*dhZ1ejT30Q`;$h7_T)iZ?a1lzgY~Qw0oc$Oqru;YO)w&x6#o2vz};Ls z(~uF&Tw5hEvFL6UN}s3lTN#({%(G8-#eFQ*7OmK)MQiS6D#j2dpd-V8K~IL04q&p^ zj-*}8p~ikDEc|)q&|_~?2(MS^Ili)^wcLDi(7)scrIQg24GQ%BJo?br*hi|dgueX+ z+cVNg9jRKZ-lurP(27%?3|#Y=5l=?0C^0;Lu2y7Nkt?w}?ey{lkO9iL!0kVlJ(L@Q z8s|CSY7LeZ>+b#-A@<{J07S1cv|uxBngx)({FQSK@jJ#PHreRrs$M2MQ}ST#VR_Qv z!b!9Ds@NVefR(dypido6=Cw7a{B%63$w5N4cw;eOD%!J2A3XXZq?HT?I%K6?Y$e%n zvp!cUZS{|c?}USi6GQ(ig+BZb_JE;NZ?TKVt^9eyR}y{YW}Zb(m+jNrmbts%rLA46 zckUZSg^(LS*xj|FXm)eb!PjQ&PhthCYdb`L6hf-}*2e!gu#E!!hsTq67TN#0eFfVH zXF1iPP2K;*uBe6U_&{oAv(pJ7wavuX%gw*w6XTQ&%F-EElTV+CZ?_k;uiHPzRyG{l z<(X3Vb7m!FMkQG28b>1DUMNOH<69c|?v~(2+}9_ZGx+FKoMG^NUPLr8oZ6ahy0qD$ z+EW=(-&&sD{ zdto zB9A_>>GJAsTsB+86Q1!FNbt&4sWv5w&~Fq|{B~*~+(7&G_~8}V+61x>#0I2x>khjC z6Aa-Z)UoB5{0sQiI_lC0%ZNOpq$V-0Q0rHhIwb#!%K)5ZC-^l+!ULjoj#4W63d#zE zli>$ShY;w-y+V+4Bs2rJx^>}Ih1h85USrlBFJ#5ljThS>PI@kz@}cH7*9jdtc{=NV zn>s%&cS5kakSzX>Rz5tc0X?BZN{;0am5>PvA4n)L->bx(>o^eOy5^TqBqRy34=TMY)K3IFjOY}%K;;sck^X=M_|PGiAmZS zr93xMuA5SGnq3srQBIXQt`Me<+>hg(Z1&%N0JkNjnQpzHP21q8$9ftO`#=529xWas zPG@wcorhE>J(qiM#KS(|lbW@3T)6VAQNE1%--2naF8%qRF?tU4E7%xVIctGU@^K7p zzlrh<7>Q2|Ef94#@iBC;n=}?$z4q^cILH@71=P!_`8v`OU3#x^K%X3WAnkAJ6R`xkKswAAv5Fhq{68Pxa7B@mP%RLz$c#MDz zept2h??bn_xDTxoZ7c6Row?bzN%O3BBc7n3uPzrAQ-y-hliS5P1=$^!DVy?&EpYc; zj{Qjx1vR9_{G}3L9Ce~Sby%}>f2nYI@C!%}k0OTSzAc6T)duh`b*)9FAySjbY^J+J zROJpO*U|D#paQ^s4}&YL-R)~h^zqV2`Kx+AA&%;NKtA?|(~WB&=?dX#;X+W$}ZI5ZB+ z1K(WF45NsE=`3_dm-d5WDoGo=4ASnMW+Aj6Pi`%~m~*chHPaQ6bK9OYu$`@{sM_}u zXPYCOxNR}3@C93>xMcb&tnyzgdmk~xiuMgqv`o0RW-`%S?&-}pQda9LseeB!3IS}` z61tnLqhXl@Vwf$2aG$eu*X_-$vhRBJy&-(aj$m~kOb*m1uTVUgcHJz%_B0UwQiK%g zdvBEKSGaAj7FBo)3Z;W25IHMOA$rMaad z`_4y~PiKwWwb8z?fxi{N_OSZ}_JzK{`~G#vwjI5&{9-8L$P}vxIxwL|!5z9| zAMFu){!r;BriTcaD+rST3mF)qOCOjgLB;p=M8T2A%?v@Z9De$!C!D0FrIw)Z@%khi55bglo1m+NMPncat`Knq`aMiAb3Khjs{ zE|p#EpR(H#-uIjSm^$~+KlFtF1ssp&t`-`EQVEEJ#uP;F1h!%fnO1cWDAa@KR$tyU zsPJ4zp5gr%LE8Bv?=_%1X|%}djbW|r7poD_L^I&cYhnwlpr0!`y~htB8y0t|j?aWs z0M_TnMW_PV?*J`hTmO^ivZGMJ(%c!Nw`3>q_Oh5Xa34~8b)CvHtE6oiC$YQBS4|kFsQ(uN`D2OIr)Sv1%UQ*XP;~K?726N4P z*M9l`mm8ME^^LAtsjS4AmBGH<`j%C{#*lwk_LaN_G3G??(VUbPh5(~h(!=(3nVq0k z%x?i-SBK7hS#=0Bn*ADaR%Q6NiWry|$b~uLMST34xx@M2KzH$p5g0OD2^*c=>04jk zHJuDu3kv{*q3TQ!-`HDg-F8LPh8T>I?h!Gc4t{(pLtE+<*=fPF0k6c9JH{Dey;yt* zTF^p%XbQ4aHmJa``u*}j!Ph*Bt@-2@UAd5lPdZm`M?L-g`bMAWxKGKf&I#eYVR8Y- z+(i5umi>5(&tu(7=i{*nLiI~h>ly3GD{??)-#>ZK4rgS<>9ddF<^J@0oJvlTze}bD zwC8TPv26B(dj-#4&B+w_Cgqma1#5z4HZ-eps#^I>VgyOos2cyC3F1wnTq3M=Wl~>c zgX%jcfGM!`n?r1-`lMYJk*6;I?a>C9O*uae-h8*I`_DT*%Vn?ZZ=Kq1&4FQ&n%ACV z&$nsRuqlS`4qPl#(OC8C>O{-=imG(rEWv9 zt`0Q7e&hVu9)aol!{mXlLxqB#`7wCK+l?3NF>f5x!$D9PV*f*orfS|&uV>pjmU>sF zvTFY0D(ChaWj40jBMo)AV>W8-Gc)G|=mcV=Oh1iK-NzpE(#N8-1d=B!X6-+_?Kb;? zcoHIQtJ(7U#1BABE!cY$+Y-n_@glLX7QA=p*yjhzQnEZp6GAtbmdscO0hf*q1#pw& zYs^3TjLy$+PGiVk%TnK)_axJr9wYiyrtaJJWM!)yrHqJCQ9U2M{f;+PI9_EFv@`g=7_pUrf%TDEc8aCO0^Eo(QD!)7_1<-O5GpM4pMA^@9vx6*xx_7iVc8E(3$4ck7gW(#N)`u~vENLeRs(`SOuvOqk--w>w<# zWfEArUM|J#WJNA!w6|F6AZ>Scymn~x_nv_BHb94QeJn8_PskZKZt2PyS>i)=s$B-= zbh0q8=`U?b*!olAEQKo^chS`Kq-{V`3?g!~^2B)=|y`~l4D^d7WUyjmm z>l`9=yYGEE10q;+Yem)&7wj0zl(`q^{^UH+!sktxZG{T}vNEJ-N$CO-lvhu?>?6Uv#MJE~zPF8XDhj5um`ZtmMVT0|oVYE$fTQ6aYiZyqWucP; zw%1>NfHqfXC$6nv6fU+{>hp1jRxYri0a)}88X-+nmA}6b0d&`{@NHnRbGxCy`Uq$~ z{}Tubq?6*tytEu}hwhb$o1?qlcU0LOjv2k23#E(f2SBT9pfXQc_xY)vxo2NJr1hQR zwKTx{a=Q~d=gbvf8wvzNOZVIk#(xwk?_kdD&lgmDPi;HOUIAn9QvT@*jq=*NX=nFu_0%)2b2i78w<*Z`n0_avz*`Vl*&C*Q7{2z~Jb+`UQoB;k zj+ijzAYxCzh90k9>DT8^=GFIs4dKT{SDEf@Q)ky7*-3e zr-xe`%3OY1rq3+o@0GrqCSd^Z7{m?vr)*sPsQtE^UOC+Xbbn1WSji~2U=uV~*cf)} zJSx&f00MZg4a{;n>lF$MY~YbDs6^iA4FHi^z?#j=W_WxIJ^tav>{MnQI2Zh(RSAJd z2u+XDK%_YHk24YBu^z-n71iCMyB%5pt-4emobdT&m@lnUT?(O3cdau zLC;NyGu-DqR7Vkpa9Q+HC4fgM=}1^zQT>TN18iS;@U3_0ZG zw(0?OGsCWB3)u%lXrP)J<)L_5?wermtAZ@n=ks(_VdLSS3Vhe*h%4gTiaFPung3N^ z5`Zj#AtbuZhIMYBP1wPyv1p`l^yYi^ZXG5;3U&J5hZ&Mv{>ol+s4Kvdvi?9OL{-P| zi^sl?GH^uM&najKS|mn3=e}5h+q_zx3re}d@kRJI4(l`!#;|SRlP_of(7U&DqkcJ9 z@(!sZ56W<(1}M9fz}xf0a;U8lDKQW`18JVWIZ#j!Vh5OkUeAX|=>@TQkcCS=X?ngV zLHC5|QH>Gs#?A+gD8Sc;?SAUW*D3j!tBaWNAWGzfEJZF}z>byK<>b~9wuKS7_mCR0 zTWn12bqnTnJc2!EA%0pG0++IGb6rqMC5V1N$u;p&^f5B08kDsXQ$|csIe?T}ebKdA zDhOwSgly`D{O8tO318%rg~6Rm3B77c@E`}E-P8%5_-tDek=aYHjQ(tlXVxcxv;Mtyeo=( zkDtDoXHCxk!B8Gf^96+Rl?B%~jbh>>W%yM7T57~SoV!_-G*$afs z;2BM?`8?8u88_XnwHs2F1|4SsUx1kICIM^r{H({U&f`uk8Dg1r!}5%82fWimE&RQU z>4DNxLHBh|e(|Puy3*Edm7R;6zTLM4AdP&%<-~WJ8NbkXXthgjkwaPmFd`Ts5?2|LYm%e0Kz)VBov9EQ$Uk6qi@TmrCHJE>^cM;0U0hm5~fG zw=-qD5^VL8>KtCBHHTOAj2{`N}SWAD~)!tX` zYQC8x6GF*<$qqK$L)f68Fz|H|N8yIh)PBu%X#v0S*ml~r?+bQx9= ziN04|sCuxvXA=63(&#=!c5mZ;{9{Xoc}vZz1XfEK2?rtM-UWb-)T+AKrng&!tlGT% z=g(fJ)$sW;1b6g8ZRjz6GSn8N_2HgO119O$3Zycywv(@TF1@{b+Ey7 zj#9sDLv5af*?Kzen`y{kXL;xbF&1PB)p(a;J^M-yl6L?bKnCS&@Wy(~92*H!<{nnq zk)3Z92q3-A9Y|iOx(j5)E9cm8{$${NXwb3pFcBXKLabzp+|nIrTJ;2ljr@rVxJzL| z&$9*j-En$najd{i;CEd$ex(w_Ti;+u4&gIQx!AJUHmhI(>zd#PN08UvMeN0j8oQ}& zb-xbjv!&x^)-cAkg=!TKo@!E$JsHL1Cy3Sm^=>m@v*j_~S1S;eydt_yuA)eO>Ur&h zheBDYKR;sYj(Jq{R1&qH4Jz(LTuV4NZJ>``tyrNS&q{u^7aA!xRb{SRqDKC^=<0mT zE{YlaT;Uw9mtv1R&`J?)__X_m*s|qNH+^YMb<9PPdn0U%ueF8E_l1_o64xH0b3^4$<}POD0$U#kW|Y_b zGKvgfmj{hC>*U~e$NLaj%6?pJ16Rx>jZ|u?WF5vo%yTzSSF{GYV~?6ypyh)Dk_>;AGi ztJIT^uEloC@^jx6sbZ_ZKVpObPPbeuE?pHmHyjFWa9a%47r_6y;K%)q!8G92QRkId zFIG!w6(s66v_gR^sYDvFnUj@_P8H01O!w%pLt;uFPS8pjeZ1g#>nAtQ8uu^={_CgA zhzl)2y6=gcOM8a?lO#y{(b!By^bZWEk zQw;fDx}~KvYH(DBy;$THc-*zfH#t=HAp4?`+q-MoZFj)pN@sJKb?R=(Mik(_nffRR zsG7p9HV}UjqYAuEY_{rh@wgjCVhx{#u74LnmhavQ{^B;16Gc4mr)5oCGH3TXgMGn9 zVHIDF-gBC!JD|NtO>N!6PQLX^mA!1LvU%RsFo8BdKl!-PH8p&?BVa#zVSYi-83CNE zV^26e`Dz;pY^G0ztoD_l@z0@?c<+Wa?$4)mhqWAIQ$A`w?HVApLlu18b`l}B-~_oe z5U}+Z`)Xi1yKy5^H6ci-h**4rZh*NW6YRPc&}@K%>rK;@n6h=C zRAxZ1g1I~_rdjpa3yLqM?rv`_2AKrfBA-RFu%No=$SJ%7{yZ ztmcHb>Y)}dv-84;GmkRRac1p$y87NO& zRxkGPJ+Pjebd1xSL(H(S&1`KI-EFmU+~n8soN?e1p2t;E>e*V#%0l81(CU7uql^^( z{`S>%<#Jz5uX!s9?Ntau1 zLPwG1CF5@ig1C_Qk^qt4o@dK3n=jUeZ2cou>pY5$Z{MF)`Qz?hZb;P@`GAXD`DTLp zI~d<89M^Fch~^#tlRA|JJ{FKx@88fyZvEq?)oRKyrLDMisn_pq z^)@y}z=*Z#T*8s=?8~*^6+KGRI(4rx8LwqADqN{xq`9q=90l!N_Hk+ef;Jx9YqRD&Rh@PQP@P1&ynEa2}aX0axo{UtQ}9i*{7 zE-dyQfzTV?N-c4{jiNANb8l0Zh*ODaa$9=j=NSJjwt}25Q6J z8M=%>^wT_Z+!H^O|HNZmebeXVzuQsjdYtb)WMscOpSvumIz}7VLUyeeP;Z1(zy}MA zQ{3O#m`1XSM|ZnXoRlY4wiEVE6^~ht2ot1%fw)sBEppA}->m>4jbE35vM3Z4aJm?D zrpVaSu3EIl$Z~(kEOh?gY9BGKPW-f<${`#R7XfBk|~1CQqRJQm(7 z|Ck5VyX97ZerD2V=nKRz!E8(^%0RukMgzRZhGn-Sy_fGmX9Sp#R~HSH0G&mx#j^5n zmN{81pdxqCH>MoYYF zdS+|dM7!12MN@F?bCjUzWfJ|LXXr9PVl%@N;Y+BGE;P^cN*lFT8`80iGURY!zen|~ zbMTT`s4gee$_bGp>=j!f`Fb1{As4t{V(p1fvLkn z)Wf_!T)i@4gC9;A>7d-Tz-%smh&Vwz24}mHugii{2C?-YCfM=hh#bdr2V@@PjFCk> z=W1VbAyBBWxN+B?YPmwT5eZ98i|_R~cnquSltjSWm<}jU^kzyBS*9^6c+7m%>WX~_iB;VZo`SIlI-39J>Ak+H* zv1_NuS}M#;`3KSa+ulCf6F@$)nR_^7!cSD=Q zBA=LoMGF#w##~%CM%etu2Rsyuzcv0&);!kedAz1_T~F`mFac>#&H;rc=J0Hg!p@9~ z#oiM2XL@v5>y@&J{@gO`ZPrx2GSFVi_{;qaT9p-t%Vl7o5wT4bTeF%hAZGKbIaCt9 zoM%)KWq)4&X$fsWswK1?N{q)0^lyB*h`|`b&xBApsXLkl#xr)zBXic~^g=$cQ9E^W4%ON32eTs1^CC>v#R99^phQYFUZ>Zyi z{JP(X(he<)W!2Fjqvi1~C)-^yP$fm6{K};tWGtnAs2{g*UC>BM@#!)( zzhy4=;S~|~#Cy~fF`HUTtc0ygW__PU@VHYQ@`GNhTW&mx zr__S(hi|!{pAW|{CIeO6X0Owzd^Ep54W+W zT<4FXE{+Pv1h?`E>-1$2`kND7gIj4lHx(z~{pCm7q?fHiCN=sQ8`pLn65kJ+u)t=(%JG3N)P%^nj1H-j`>BLr2ZRFo zguxr901AsXR-?L0&w{UYt-jU7z4!UuXryto7Z26d(a5Cb*GU z8ufr1drD`PdcCo4pYFz4q*NFWWayVD)jC8ul*Dh7z^@m+L*@|ORND)*PbHs^Ba z=Y$sKs#9YI^0TZIk4~r#II~gkT`_w-14p}pmpum@i@&AUvyStA zemJn_a+G=DoD;!LpG)@cD#rq{Bdh-fPXhBNeB*6zDWpbTz#2}(QFi3n{&#i<5~>*Q z1k5@gytEk|*P%vf$Zg#!$Xpq+Y4t>x<0r>3pM}28Fs3bB0M3maD5~HmeX}KXMAI#c z?kgbb%1AhMvx!&uSNEUohsPbHSnXazmT3Xa zkQN4^b@*mwv6bRiQIN|M-k*D4820YQc**#@rN%*8xwEJQ&q}>5k}F3WAS8aj2TMYa zW#_&xeOufP=-*SoJg<6%>_VnF6wIZT?9yVd2u4@7fq#P;9p`7NC!I0tePrg}rr7*J=%f2(>+skFwk%N-RrXzv7FPt@uOwKuyc}KIs zbEj>zf#=*+fXBrE&AQh{b%vyvP9IV`?VGjM9;ivUPp%1z+oOo}79mHVy(Y`tVrGJ5 zQahazlP}7HX@P*MT_z24hfj&l=OG0IPm2hQ+BnaYtw>=VE*@8wQd=l-S+%G-HyT8( zMg7LP=_fHW@#98l4CIYQ!em1R_jBHhR2hiXhMPcWU0n`JbR?^MXfsiL7&4IOTOeeb zxYWM>TfJ*=)4ndlUW&98Mp%&MM@Fd<7K~`6ZEGN&nlvdU2lSw@6#`^GSFmfp_3S^e zD0Yf1HiCQv&eL<4qx^@`inLftvaf<;&WDAA0#RAHm>gTCd)KD%>&!P(*a%szRB*h@ zN`ie&$4QkzzR?@LR8Nd=&X&Syh+CqS5aC+(3hnbRlS_$uuRQjycUxq;*+3?fCeM$4 zDgvIs4A0^FKh=@VAn>wIq8_jNR6)Z4cdj^lktO3$0l{`>aucIrc!dR8AC&wvI~(b# z9A`Y<%lJ_1x+@GS1eBfqu`CXKC}bsRoS;@0_wH;VW$;7WKVMcZm2$e`lF3M(W`_7* zMMIs~ZTh3)zR92Qx+S;$`bxK*q!7_8bgc6H8~6{mPi~qu zyr*zEy_!9Rcr7giY)2SEX))@|%`aG-&HV*w#ca=hP_r2_b`m2-zWU-iZ!GWffJQ>e zMCu9h?~SD&>puHnLrHZZL+yJqhjH>MQ9FNixd^^A-LEHg6%r@0rUi)cfEY5VZI?uf zAT%x>^13-Krw4T4z_|4E-bzZ}?wUHBtrJ!UK3`YGI+RQO?>p7Dj`}r4Cp3^7H;#s&XRiRmr})rm?>cOSj)^oSP6U zqxOnBifq`hiX%XCGao6;lFFI%4qs3(J@x7v?he@>LKqDkPOLg5U|eUctrqRdhm7i*iy zTN}39JjMH{gE;#O1z6;Ead{Wp@j;uWY2#SyRKH?CQK*qhdcizxV_0y)-z33;^{70Z zI~;!Z{=I&%kW5$x+9{K6D3DPrwc>sTq}h>`k6d?kNABGjApNnN582ZpHSK66O3?-F z>(Xg_uQRzr`UdYCVfbD`-agIbH&$uN7VmpHN0IXM66c;R*T}4fc>1iw$N+yv_O+ctI=S#qk?89WP|aR8PO^-rVLZz<*Vi+Z z|CAmIi6v+8zb=Q9XkF^l_+F)h>YIq*}Us*X%^oCO1X*6tWN3D;Phq zv*`T98&Kr3$IVqZ?v?b?EhHfvJkn~()=&s|K7NCJ9JT$jbkm>#vmyZVig#d}6Clvi zA}DWsw5>#4`Kfqv>?*K7&s!kNESP&|P?>66)|2Cy|5lcPUTnPo@DtC?7DxKUz&AM653zfH@YUm9;Xfa1$hiB@yg7RkZ%OpX zybN1Mh;FaB$fT^*mcpj$$iENX0_qS!5bkdse1^GIto!+Oq5=-Y&Rj36!M`_o{nd{_ z`n%z{Xms$anuWWeMqwUdu5Yr~fey!rm(;lej^-NkM%)^z;@PbU0rDk+w5{69xt+wt z<5dtOPSaocI3I4#NKwr{{rQjr7{&GBA<_j)n@E?BK);-PTUo^^AF8$-c$B=hq z;D)jMiOPp$y`hYJBVryy|B^*=3>SY}SBnZqE46$|k{h{2am!)U)fBC}cwZch`hM=& z$>R2|nNlBo8h>Mm)=-s>PJ-cVYlw8?n0Jybn0JfJd*6n?P0xL^!YcieEc}wkghGDO zrsD&YPKzv?;{7dQ6$3vntL>3O$P|_P2I{T8ZeVPF!p7epwh0eKwxGAg?xx-Ar;^uL zyl4E_z>fU$Pd_oB90z#I_`#lVq~%@LKcrxe z%&XZnVb(8&zeKg7@_wvp)_3D3c#+DmkDeMV(o46%8L~H0YZSaUjIIbeR+0#ueueF_ z)O#ii<$dEn&RuvosHZ?0l<3>8#1PN^7 zNKS>XV!f`lzoh&0FkNBRGi9y?#Z~SIkwN%c?8eCoQ+^c*<;M zo-V^@r_biYzpWc7|GZ8Fx>6)8YjC1JY}Yp>>=ql%uAL14e^k0C``B@&ytIfmK!2*P zx3D=?V3b)qIC$GN5j`fV81(BarRN95+nINVeO8mzwM1){rSyxICGdyOT1;l1im+5NT#;$xJq) zQDX^^f-n7UOs^QENCF;;L2F&M?j0O`gG2#WqZ6@;m~Jt$3Bj_8Err1x?OR&@QW>QrKS&bH9eV9Qe-#f zT4+(peG=T}VGy(;f}KN>$M9t(5?+ssw_*f5Eraf+Q_h7na!F88^(Pf!$2liw$QaXk zC$(zzJ-dDyY(fjDr^QBfyL@+fFLAZrZwsv&t%>Zbft2+5~8rIG21-qT=j$xyGI>OR?v;Uc>k27RgIY`eEMM5pKBvQS#l*v)fg${Se^>d%j&jmKN+hA#K~l>FIrw1g8BolKq8dt=FkRGnVX{jwcH4>k8B z{P`=@B@&*sj~R5Yr{nqXN?zKoI$2C)4)M||+}1GpdD^1A-Fkx}1w)OuH@W-Aw?fq0 z@>ILe1|>SXx*~>t*U-@DZHvY|m7@{x??~x zBixGwEGlKvzP^o!zpZ}_fG3k;fAfAgng5B)HC*0Kco6K+sQpth zIj7pWe`R5dd_&7ZolaxMsy7aFMSNlR%zjy_+-CRfFAjk$ld*(oEgQN#8r|Ic*KI#M z*kclXP)}A$*lKU_ld_$-a;4+D7ae!;J~3(LNo{^hz>OCgNtb)a!$mzbJo5tZqfLwC zsEhU~Rp}6sw#BwkHwmx`%1*o!u_ksCVlXG1)**^$c{%_EE~bCJZFa5moyt2$&`(eo_lH&x&Sh&GXEPH z3A@aP4QH*0JZz+I!5|sS#l))+=KQul{vaubU*+j{a*BqJk3Yz;+4rYk58&oyT=aI- z+HfLiT@FJugldvmZe&PbgdfD}eZxo)GrQ5ph<@YdSKdQHCD)@osJS@SX_5S7acP`7-lTIB{WkFS{I~%JtzW=kc~8|@|?}L{ttH4F}IzMLcmM;O# z-j{uY{IbML+LUlzT#11?mfs}plrJuoqd<}-Q)$iVMW*_#xdy8_MzWEqmtt23>lTI& zdm>IcA9dc|KQ{E)kl1UH|2)?F(HwKr` zUY_GUBDebFE1wkx&^^WlA318pOxupYXxLnw}m|u7dbxvtD2g5a%MY4j5Gg=D9}lw z*YY*ZfIY^l$b~^s7@n=`#Jl@pVN?rOt0M{AC@CMZM;XO!GV)=sC2x6DkU--dP1lvc zPsRVGde62$B^-OhY14yTK>J%hXHw5pnn}e$%I=)LAbTZ|)X}ceUz^7#+PbpaD1OI> z?XCC&k7fq6iI_>&mpOul-$m5u%tI73IpTEeK63lxt%TyDa~e$Q7`}b*T&l(OT3haa zAW=XNyBK4fZ)>Q%Kl3S85?^+#lv3mGmNEP|(&Yll3a&R{&oXT(jK-sjgaBk_q+gdq zn0>e%FAwdiyyN^;for`U->?=;TD)eFXEU|C+`-6SK~YVbcnGUlOFY3l(6H7hDC;mD z{G%LpUu|hq=CV1Me?6l|Tn>oLS4-Jxjh!HmWF(D$f$wzLa+x?WB8w5_*!&RewVCGr zWQ>t5f>V@0F_M8ty#W$Tu%ybAWi&_EMin`yZ0*L|j<5I|EVmccgQqN1Fp_rTp`YiJUa; zc5S}*`?y4(rM!^SHOCfSwlc6C>O+_CX) zItZxYb3U%Oj@Tyz`p?u+Wb(qQ=&_Ef*O~W)zauUL0mW;(*G8De&tN3^o-j6-yBgmd zNp?d{`Ud;iA^Mkn_B`1U@8~G36yfu(_cw1%*Q5t3`w<(+n zMT973yS>6Z6Osm-vfP)vWMV-#CuI}qlpqfUU3nIOW_v$_N1sXNB$o#TcCK8<6sQ+F zj!~9MH-<3#{sqWVGXktfgmcStqO4!NnzO&#AN|ma75KE2i1fI_6^`45 z@g8!iW!scjg>jD*#afYkgG=J7 zoE!ZfG4%An8bb>{#>~eaZ5a1!_szA^xZvWuyQ!j`&_zIdxZrtekW`;mNj^a5xC8r` zVLi9bHgh~mVroVuxqEme!(V>~7xZXy{DNJhfEe+kE+_)Yv&eg+mY4!ialSfCSSi+s z&2aVTiINCEKQjh(13y^^XJ)k{H)wI8xeb}bAm^t8;_P~BX{$umQ-0#fk zTbYH+vbH&uZF#R=Qvv_I%8z!bJOUJNVi)!?Nh5ZUFzK4poUEodNR&0(v&qL1u*ciWLvbxdbX>ozmIqq-!#ihhsj5Ec^xsF3d^E-L z8%;&K2OHumQG>opEbL*eyW+4aU!*R`$$&F#XQG7Nsj3aRMj|e~llaFhJjd&r`TjdL z0FF8pTD{}|Q>9;IVtI#LoY5l22^Vm9lPC1-e1AtBCt%~Pz6)Y!oPA+||IPh;=xPXg zd+VHX2vD1v`1R4QiP!pH;(9Oy15ogV4*=*}X+El*G_9Sd{8C=FnjRZ7obYf|1znq& zQ@S?d(0F1e?nofD8lR#=cTCdcGW5OptZQG2pHy<57n7hKb2mx)n7ra*Bv^q7pS7&=2z(sdU0Cf{rU!wf`qL-;|CNUDxYqpxi5;5 z9va}+1J~bONJ&!vj&Y&E52>}A)bXBXjsf?+&gccrx@5s1xPy2pfybCdd$YaQz*+gz zb-*X5AFcB?wf>cE}<%a8%{^8B+pwIc6m=M9Fe@@hGuHv1^_QeC221nLUo|i)5Nn z#gl_*kC&Ebl$Ev?lW^iqnb4icD=C^ay~o7cPF1&Ud`0h@^>Fkgb8qjXh-Ebl=|9<1 z%TepN=u6&w`(8^AVe4RXA!R7_0Zb)0#oDGZ6e_DnrJhj7G>v}HtQ=iC2e_@jalc!0 zuJhS+yWDwRo;csUF_1z}D*C>CNCP^Zh29#y0Chc`P^=jFM#|JqVqDx}PT&24qzW+T z(ryui9aObg{~P&8O--qE`XvyfI5#YwUhFj&At`t_#Lee=U9JIbHy_#)EBjde7W4Kt zKZ_tSG?*T-gSK*JV0p)?M*xI8(n$aiZe}_!yse-19G0E4zINh6q}eced2*j`o7dUBT^^lbijDsqUY>BO{UbV zdjcXDXd&Zg_eJ1wM*Yjr@3EIgH4WpMF89_wGkV|IxLI2iRi{ifD8@W+oG7^s&ya?xXx4562NtR ziD@YU8-rTysuf#AGSLG=qYLNxVl?{jxqHg@GpjZ%m~W8;6%@H=iFf~!Q4Y5;#@*_~ zUX=MHl$k2c9n|&-@e$-Quh?0g7#O@W2Gz3cKT~yi70hU>QVhEOqb24xns08uIpH~QA)?|UOgGIAGK+4QkG-(ljPx1^z0ms`B3 z18QtNf_C>HUWAXKg#W><$dHSFl3y75TOVHA{X4o-(bm4rbc2&T|3het8v&;2=tC?| zxLxchJm5H%Hu*RM{^stl%;EOxnQz;-f}_S@>17*b^_jBn)iav$Fq@;~13qR)Kl%`z z&wn|X-YR<^q&66|8(K!L9Q;5}vZwnE)@WJQ9zky}`TX)Jy1=sh=e&kCt z3)Jy4%%jim%@uIt!WhP)H+YW*rNb177R>A$D)qF8T&^c`;|-6-Z?j@0B# zqN!Vt$Q4_<^_lGa%j56#s^WC{a{2Xj^|x-yb8D~ znzmt-mD`W8d2hN7@?%Uc@18TJTFvSn~N0 zMKu)LIi*OST{mbkY>c|k8~AbRAiT~I-qOkt(k!^|Sff4it)uLK`SGd>e;)D+v#|C4 zVs@j8?r;kp(z?E3xW;iO)!!LdR6<&~WlXAcydktRiONHLaDJB>xprL z8bEs8UG+;|-@7Fj)gq@)LI` z%L55A!;ZS=QJ>S-OjwQ&K1T4midR3Q3;VJy@Qp;qXYJ3%)dvyDO7C@HjA9onLg&(2 zY{br?>0J&$Eh1%wzBaR zNaixN8_iZUYLo_(7NUcBIWdHAg|s7G$|y*x_0JDd9FuUw2k~azx7Iw*P=mPCyI2+C zb|Xe+O*0=oCV!UN0gskn9=d@g}cB@`)KFz>D=4 zJ0$1)#-dNQWvn|5uZJ^!2|%xCXF_s>J;ajL{|b7RZ8fi6k1%mCjvO&*$n572B|0D; z#FEH9Me_}#Zwy0)^I37)SLVRVl%i(ho*-7@22k2?QwLO=o^{tXWc17 zZ%L)o3^dwS=SbO2hz=;2jDOuFo79vhejDEBQn%n-0K3fK@6n|fb98H}xw1nPcefil zHs~OYGhDw%G8!8n2Os|u-elms8iO-#p$VHlB16ky=gq-+3W+u2v*{p7Kh%YX3UZTTJ^yQ;7f?{mO% zTw2&ME;KMOG^qG0g?sUhR+QY(n4EA+R6b)%%btrZ&g7 zlaeBQ>5Hv14JS`rQC_4E`oJw zwP2`V2x2nFI0{K30F-|TSo)$sp}|Qnd?WnVS+=x4C7V=%X_-4G$M_0AJT8Y4EATE)?VXfDdIHs%m~Q$-#7+8%1_vKmdrt^iDnp1Z2YI}GkE2yVbQ8R~P#Z9{p$ zRKF9ZptfNH7S_nQT85Mz(hI}I{jZ&f5BdIn33hjSN}?c8s^4U%0O;JAT{HK z3Pys$EnF(Zdw3W-*X*C!9t-1fi!di>Y5SvO){2o{7}c3z;6vx<&vll^_GZ~AuX5`%6Mg(n`56r)EI6y}a-ECWcN*D7kaWWBco+L!cUs{E z6QX#FG#L2wt07#S-+U;FP-EVx;-le10`&IYsLtWuKypr+y>u!4zGjkLT1UXwvo$00~W>!ZqmNOXpoGaSm97{v>S?)OZY%-s%hMOI88q8Zy-|xbQ zn@XK}WUddB$6NAe9hFoPo3dL7JmRe|zH|3Ll8E8mWhJx_RmPs@ ze7}_w_1>i_V3XqbYmdR`BE|C3>&;YB%89d;ByEY@kmKxfUkTv zwZ%A6$JCZCY=fs9rw_IoQG%zZH;4l+mdG5ro2$Z_{Q(EHOsQSX$Dvx zKw6J~&t#L`&ZN>w4mD-i@2^f>J;()^;$3r=M~x{27>ZC!#-a{*hS8g@*SeNW3GAgO zDE{{ePKf?+xQZ&k$?c5$MmlY%}2|DQ9YqdCqd@Eh@d5#}PXEi`Z1v-$n zmks-bzr9~tZZD`4r%dV8<_A9DXL4O!i@_F_d^xx%{;RB$_IOWMi~`UJM(vqGluaD6 zt1(h7YUhcGbWq|;AC^clE3Gn8|D7@jQHQWf-2M)@c?0WJY==x``a_>!@?ywVo;NkL za|BY)B-n{+DMmguC)NQJ{6iM4RF}#Dx>B!cRmqrQ#g2RFS)~G3XdB2DC$C-Jg3xK8x8{;RtdG48rn6 zLQTsh?i_wt#G1%>(Hvt3eFb{ALLxB>eFRI3R`Y{oYzB>By(awrddb;4C3nL|ff2*p z9ns(30-zt#CaURhm^Rne64Tn;_Gb)?%|v#T8GA!+ZoK}!n%BV`B{=g*zrmHNh@k%6V>DebARsZeD*fma1E=~D z=vGpARxHLiVjL#fjUmMKFH`KR9IYLo*TePh&j&qpe`y{-r~Uezej=%Xf?*YOnX=ex z*@rj6klE#ELv#DI^j(jTuJVQEEK1FM>?^reix>zu7Hqq#N^P8n z(3MD}(+ReJ>5u0}8q>jcD>3cVpkx@}FSX5~z=h2 z2Hx%vF3Jx9*64|To)<7XT{&&$(n>0n`iZ<+uZ|6wgCDP_&cStvesu$)4aB4 zr)O@OBs(;(GfgG7+>f#jwR)XpnpM&TBMcwpt&U-!4E-0sQjcxc8>0ThJ>_U8QP9lD z=3s`{(CMm`)Ta_RAh`1byJCZ)Xe82c^7m=c)_%{EMRCkRI*3~G-O~a9i=_Tts*D%% z_VsCai?Aw|+0@6oX}t}&5JtdtMuUqD!C#*tQH@zbOGYXCdio@BbX$vwBwgyJo85=K zSU6=2Cf}51+3jJ|kXwV$*JzqmLBc6yC(E>7DZsP4jQOTAPRoTrn*Ol*Y2p~4HXUd) zW05gSGJeO7uun>haf9~gS^oFLa%^8|m$!p6qCGi_YU!XZn0}i)A;Rk=E`4qqX3zNt#z#!dzjtOl`%@q!I-pA5Jo@5Wn6y*Vv^NqJr-hhI7{Ud2CQ=J#bkX14vT_kC8k@y1v4fqVQ3I-0}8W0^vcNPC#W1@ z=wD%QXAcB-K1X({rX&mgc17;;Mtnn$&`%i7-Z!}pzJ#@6&+y(1h`antA}~~XJX#5} z7J)^9c|lbc7PVjcC#>yaONTAG!;#3obsP(k_NIa%ym%zJ-!JgRSTH=Vu-hqwZd;J?Dy=lW~u{`swD(COz$qLpA&u#d9Ou3ZumFx;T~^#(`+;x0v!q41>G zLc|O$gO?~S_v@4`OS;Z|Ndf{2J58%qUImSh=V0XVFX*_=GgE_oV`Vczz)b=pcg%IY}*&ZWw|+^cO*D6XQ}8i_3`>FZehJTSOXj+5&W}atxaXKIy4S z7D;i?7c^ZSAYU{(=XB#}FvjcJ_fzu^oBVlke_)(r)aSF-p>~>raTFtV}k9wajwfZL9-A z>A9

W7vYT(3^>hB|$qL(UIFu_hpI=xJEU|6pMl?u3shh%UZhD4iRnG0z*JF++eG zhwx#n-3>y1Me|Vzw9E47E2Hb47TLj_g7<);#aq@k-S={{ zOmeZA6%@Pg=DoCaF?zHLR74DqA)lj$FE=mHDFCULBV`QKTWYBiWp5~^HGKA|pyrM* zljT?CTF4?cONxw&>0rWbYcGK!`{{gVj^Gk*Px*|aoOFMa zWffW0awo*%nGseG{c7BFL<=$nlt$OThxI^U?}e1N#w(H^=3mW z@TMsxn3zIocHDlT)Tp+D-=KbY;3v)|DsQoo#_#egREAZ>taG>jyJ?@+)w4+@gSYk+ zt;)od4lzH}BuRh#?Qv9oi#V!Xk)(T#cM-#>iqhRJDwfVHm^90>{G%WQnfR4t$5zS{ zXn~c2Rs_Ln$dVO_FwYOn$RF9pPXRhBF{XfYZ%NP<-PS*^GP3v$K;dB8z8HZ$rZ+tg ze>@rc+vH#~Hm)8>7xN0s6IMJEa&nzdd0MoJnIElk8C6AwpWXbbnVgOtkJ%l{u`?vX$!F~hcNk^IM~FpX+TN4Dp6e}G90g#YqNHq8&uw_AcX2n|4b;dx zI+9M#B%&Lmi4u#W=|3oP{E#~t-99^+Vf~HG@fZdU;_cx8KlO&a0lqzKKQZR}Ah?wQ_Y0KJK z1V~}Qh`yH9lQzm8UJ(9H&sUV}Z;i$quc0Vk`yfHUIFv&4+lU6XhH>SJw75c~E8q&6 zkuIEYGHa8Ucx>75%>TeAFt2EEbAlPF#s)b)!F^=b|jie(Pe4 z`r4tYGjzU>S=+Z;51`Yh6IN*eQj-L174&7%-xKu6`x;hLKfxjxr`U+x}w3= zSqmfjOuYrLcZS5qDB)@E+c!xK>sk>?rcVO}6&8>7E581Dc-{HNG-z|gCa-~bpKitS zJ=$OK=lQN=y6KB{zBkz;Xsx$kkYV!9JRYhv8ng*8?wygQ69x52?Q^p+)Eg%Ye&JVPO#R20c@3-Q-spSG+KYD>&3@>)^+(GHveT zH*B3NHE5Wzhr2@-N2uw8i5L$6T18x^%>RNb@b1xRr~wtu%D;D07U~d_i%(>kwr(Ng za@*p|8nqDPbVt^Djy#ss#9|09R|iCDA3*G6Tu3m&hn4vCycsT{6uhup$tmpwo& zuFIM-Tn_nH$M9uYG|FkDyKdTd=7nYKcyKEhyUVTHP`!D+MUnTRp4jH(`vz58)Tq#( zFxjYXlEY3(y2xF~)Kyc}oSv(v6_0|)N^Xu868eCibB4*3bn0j=nbK(x4P-#u>luKr ziiM3MKV#83tLfx#-To}=H@x`aE-`zj9b<~s&5lAI(-k`=>b}nDj>yfLF-7c{QN%l^ zTQY5uVY4yY_;klCh2mC-HL88$!Y%OfDOP35rIrASSW7h?aHhgi#*zW{<%RotOx0Yv6ontAC_9KnD^<%qTE8 zVnaj_QB}yh>Bis-=FE3YClFPqZ#OQmxy`j(S>63lle37O1-a;nb@vsS8_t@u5jms{hakax;U zEsRSdj^P-05KgGnR#?%BrZv{76F@lmZ6->g;w6l`<in`)kJ%k6{N;851cfffQ;2Si3N;6g1Gu6 zjGSELl2ulGM{Z+<(Hknb%V|evtm+mwFGP=gf;R77W#Fn<&5F+KkH{c|8lVxZtnx_SR1(~Zd>iN&vm!~8|m(KraGnghC!=2HW zwS;ajP#tw0D+FDlo8;f+h??_Uxiw+^3UEUZ@66*j$#) z&#^%Ds=csTTz+R?ADjxxx`bePib1A^sXGqpch&f34xC0$3uZqt66$F@J#tN?4nJ(T zb*QcjV5Q>RxE~b z?lHR0nRA8?PgyU3RaHlCvmu-=YX1T@hB6AI)M~GP#7Dy-1GL)9if!1U?WCWYkx=7r z^&GZ6qJ{dl*_X|?N1aiBpNu+|%4KEQO1sub6ujaUzX?63%Yi)=&lK^I@mBx2hCI6K z9ee0n@cK&GRr)Ust%;m||Nk`+iiN!Qg2q#%T%LoJa_PEfvVVv+A&tko_8os#EgPfa zIkcu+51;24ZJ&h7sp~zUVtI_|IM%6FeyBrF`Y6S6=?9O6Ekl_}GPYZ@NBd(<-?XVK z7TBL&7fs5|q8VYye~gfqi5m@qsR8meMhVpnSt+mkDbnLMj9R6zb-GG5*G;TJJ(bEN*P0k|a2_q9Z{W*fJM_aV~&*)FS-NCZN|LCa2gwCtAw zM3FE4NJgEGrDWol3pVPH0zKMPTlzBZFx#}*yp~sYIny^*q zz1lk=un~MMT2b`3pOTfm8HHEBlHV`c8;cmPd--}CdkMDRz^uB+)D4!s1(R zC1ctjIFdO}HwQ!p*ce`BHi*B*BorGd6g1I9NGbpwDH=SaKWfzfzFn)zQ;tYjw!k{&S~DW5puQ~v@H@6#2aA@r2~&{U zU;EwYwIMGd_4{+JonVvHvdkCrMOq#6>|nRT_Dn+ZD~ztPGWPvv$Dc^`$?7Gi8okl6 zD*SajRf}Si`5Ws-OjW*>tUOz@ysyA|{+|luqVWL2M%Uh#^a;S+m%iT}F;e-UC0DXY31jVgd_Miyr8Sn5M<=nV%{sYDpAoa4tkAPaT&aO@r=Dj8zVh=3gdR15> zM!%aP{Fmq{NU43?3|;H+x6_YdqB|b;nPL2NAH~k(D6p9M9EmRk4k#&eeA?MVsba(_w4# z=yBkFx-}@IWr=@g{)S|qZ^1u`Q|<1(;hd>BAR2blQj;mAWWlzttLR+|IcB@O{xbw) z4SiuBxL2~s-%bgB>31V)sDVbb=&P}veh;Wr!TH;a)AcFZvJKV!8a35l3#4vyId{qmRZ{G5_5OZR&FnI!=^mAE595 zdSs$=!;HI6@dZco5(K=zCG1Pix}xIP*J8GP@br>hkXFhG)>dfvGbu_hcXY&<} zK>f)9ZFeY+h6gLt$d2)&8RQWtRiuk#xk?623a2*=KP;n0cxKQ1cFu>8>Ep}A|2Y74 zPr(zE_02##P10C!D8hVpSX2@F%<}fBTea-fk`IN@@T)EU{bYagw?U-8xuz5m3Nfc)^h{5l4U zpM#0#k(tne2Fsca&m!fC5Ux{u&FjR>l2=}N9AC`>Iy0v+Yi4R;o1Z^_p8FQf{$!Yq zsRmPpAGLvM893fAFL+1V4wR|i1pIpi$q=@x3QR6SzVuEwX?kO5J}svIL_-HVvlJIp z*v~P+*3(DIQ@mrrxb?Z{EtSuD5d{QCu7iT123yV6tv8av(0w|75o{pGpIoOj)7P?q zaBY67K%(Dy`V($E`PSndJolZ!-Bd7sc}^aFqNvSjWx*uGTe0 zW*^H;DTM3=6*CQ~Q#BmnTV*rJbcwc%*C&bCBx+@d?eouN!1YZy*G~ zzf1iw}hW zR9Y7v8gOMt2Blq(Dw4($PtGZ;X=lN76}8&=6f=>b(-@)@BWl>05VrEom&0Hk4`3U% z8L7by2=mY@Vo#{s2=j+elYT(_vije;Cm+hcar-|wSx96uhQ0jy_=oW2+;^>>7{rdI zxYf?H(YLMK^hG|Nmo9>!OD}QnE$&r%=h_iat_3J+l;K56ZIB7ro%o7`^mJ^SqRP3Y zBekT|pt3LZ9FQm{;JAT^O9Yt7??gj59Y^!MX$dftrG^*u*2&~0^=-AAd z!E_7=COR9a?`>U_cDGO9ymCzvo95Xc)H?V&!(`I@X7OB+Il7t;x^7W&#(S$<=-oZg zs-k^e*h&Z~I{4wi=2qG+jdNDQ1hJldT4bk!1)HgvZud%6%OY!WJY>4e+;e)I&3Jg$ z26#FSP3W#!VFx?}H-u&R8;yJ1e&QYQh`#t6cLLC6dfaWxxB0y-xX$Zi!qXmnK zLDT1~$dio(fyM zpy_tJZ`0U7n#`!rb5%0?6>QdVENXl#ywPN_8$T6F0Fayh>#LItK^n}O0w93OY+BZ1 z>l|U*IxUF}*kS2m&pCNZUua9r9Gg1LK^Q~#O_BOW(KrhmM$akJC~9!Z2Phu3S)(^Y zng`eyzK1tnZ=}pWMXSQ^ozNVRI-`p1wTJ&umC(vhl8{Hc1geXIV%Z6u&fD}?!;4x` z$cz2YnzOXdkrxMEhmhMN%h5Y1tJgOPCVDpsTAgQ}aHCeQ}G_^B#K4g^4eywQJK%WGFPx>>M)C%mw-$X zDA_9itDiM*6g?WN&!-GVX{NeqC@<{;-{qH7Ms5N?n}VsMZkGX7zw7_`6kiCC){nlO z@dDyJ5#?_{pLs=RH+nyg+m*L+0w7IICNo8ywJeBt`0ZZpQ<4S}DZjd2y1fbSqqk6V zE^Ax%bkXk4rh$b=7++1m1*V5u^%#$}BZHY%kyJ4&C@$Jv9UA1JMGyQYoOT1WQzJ_9 z(UqP>b;RTyX;G1i2G$aiv%U1(u5D>0!$NsHMFDZx+XE0-2V@cmqBdiT)^YFK^cr5Q zb`XbPt`Bt(5pwfs{uDPvZPSnOA35>1DxHV(2Cw$)GcQ=WN1|7!&~M36`(#ceBVy|Oq38HDrIMW-|Kj027R7V-E& zT&0B~J)+I*w>MOcCb{LZTDYy_5_5K%7 z({#gC$e(5mXFhw|FMqd|^ss)b{y}+0nrR$7V{8|<7M_yCNZCG(P3JTaCcM^Q9wA)AimjO{6&gsQOzY?D9bll;LKC&irKS)~Xthcc6krEK)8B_t?%pT=|Gx=(1 zZ1e>ps)of{1!|aDib=s#1~uAaqy3XJI}z~wK6cTgfU*Q%ODjn_8PXGKzb5?+5^7}% z_lDFad)J)Pw<$|;F)4N?+4u+Y#D8S{j{a@>lbU*KriLoQsZTh9w9S9M)4S#|C@cP# z>Wv|*hNm&#;8N$c8Zil*<>q7`rnE9V`7#q9rmM-ug^~2ejwIdbqcWzXf#OAn$&T7Fm;PpbKi!Ou6`R)HN(t)Vcz?6n-U*l=4@ z$_$R(PZ6Q?^B27m!u4m7vEF++n!aDSpIXY%ZZh@>+nfkO9#XOYv(@bwb14!Ils5F}QKy@?&+Ns^HhH+lA zfA7LTdrD!`+cbeuR&-6)wxFI3vBB=Aew8mHw2Blk>!}6wxksrTli$v>L=1@&!0Gb#Mgj8^^$OeiSW8AtoSXz$ufIRiPSS?JM(|}vywquK zuHGa)(=X|28mf)(%)xkVKW%MZ(S*1kOlwk7sP*{Zg#nJioGG9gG}d4o;;yCJY5Ec| zl(YZN@6v}!R(0W9_%L$}gJJEr4&>37s+{n&4WL18ClklgoX8Mp^`F1U_r;ASRo z#R}b`cAO_TYuKBAXK@NMy+9aE4tI@`N0ieUXvl8L(uoh=XFT3N(&|rtSbU(zI*CGE z%5mX>YqFsBtrs_Tp8;_F$ow*A_F5%`WWuTNi%JttbukAcK_QPfXJbejwO7HR1C zC9TOd{$rA^@Fd%9ak64y6}JTmqGM>qE9dJ@|5e|L){^J~IB5$k`SpX&+e znFv2;H7Vtycnc{+w<(;K!J5P-xSFpy7NZIeRR(N^MP;0pSaiM&7>d?8;=7q=VO%t2 zSy=ENRsQ%VfCDg0f5DY2zZJ#z^QG)tOC^*yKHZLs8 z1`=LQ=Rdj-(?B$7zwi;J@u*+D>8C`kFqGvS3T}S!favRd`Hm7bSopd1QOBw&6?UmL zC_R%u;+bn(r#+PITwE@ql}V>Dppvsg`hws!?(9@a_AGo;WwJ7i&9ZqoR=a~cW`67N z7l49u*#6QFoy#S%d}UZ}SXXwjyP(=pcwk4Uq2oFb0c*6QFbe!Y?BjP%%z_g&iph_? zv_zIv>Y<#sjfkk|RyC{_gGnnrrG+sa>`s=Kd^RHe_9y<)QKcVuHU^K^-rXUqS8ky< z;B9vek5=Atf*=>s7yDh#4&DXV*&3L|VCh{v8gyHI@#c|MYU?7=Z`OtRweac2 zmw1+uPAb24<%KNke%8LUTSMF9z9Xvp;ZJH9;4GYS33xO*3K&;=<4U|CArP>ziGXp$;WY83M@EHF!Ai| zFnj(KHZ>~f{C~Rukn5<{SF0{Xdsdy8TTut<6=fMM4ddpk6~{v zNN9F>HmTcVv7I#7!GcG;D@^_qs$!#I-GLfbC;{quGsb-S%_m-T&~9 zeeFd19H5yRuhPdtjKu#`8vYhdin$|$bE042TFF%!lWg=cnCYP5&|sqTtA$e3gcrKX zws3-KX-t=C+AEZ~>C2^IY6||6_`YAUW866?7)s~Ho5_P${$bN|W{1%i@m@{?zzw@s zAJg(%OJ-yW$4C@zw;yMQtos=MhzpiUAtM(672qAWlg4)TjaC2%bL6sw)or^SnoOvL* zJR+653Uekz9Gwoe4aYy~G33LCm4a;0(uD79EdsXioubXvFHWQrh)a?2 zK^v+4fx;qL$j6IW4l!iTi%5#rXkn;-#4-av^;pRy0;ldoNbW>uB8hyL|T?OUYu;kiI#=3(5k=x0NXmHN^j>Rr+ie{X9*iV*lZoJ&fhBHtC` z$4J6eU5-fBrMgU4{%jM8#!pq}@QiTbI@`2=?%|@etH`>RmClqW{G#Ov>2VI%(f60@ zy1ewOs=C-*EJoWvOTPW_qpHdk&$s$MdkVmNpsg zp+NLw5xowbGn{!BeIH38t4l8qN|2BcVcRPei?k6?5yaV&jGafE;^gGyn0Mk%ojRI* zyl&mPx!P9&s3ZjzL;*g!UMWE-G5be@NCL{~lcxEwV%199a#kq7Wv4XQI(6#oy7lNS zXCwpVB&5G=lhsigKgjOh0&S_A>$Vhb(d7PsZ6QT-uSw&ECfKs=?8LDXvO)T_ne#pNFF?Ob$R#r{=baersQ;u8Mvb;v;O`A8m zHWI?oP`%-X>)c>z+#y^bP+I~@hr@pxNx@Uq(zG}H3D0$Y1@AjK zFd8`mKy|p;Gwa;Bvz3+hC~D_qQTY%fY!y8x2NF(ml=Lo5lqz{#9^Nfq0ln7JDQRMF z+rHEN;+?!5v{*OBB8C(qugKtSM{VhZredAmdauLAYS$$jo(UdTH^FWy+;_ft@hFo zLVNGuvK~-luOLcf<8JxV*eP zmy?r__S+eiXNA5oBht+q_a^ytyk7SFK7TCcvGmPsp`{9qN+m{mEr<{7&Q;E6NR z*rR-m$+jpa{s!FSyT(}l*=L{XyvhJ2G*od4)k|BJ69TrH3s5DVVkG&C^uO04!Ctp| z&1yMR+h+Zfe%7&LCoOj6sO&j1SvzBVo$aOo;H3{y7mjeYn+$&fk41xJil6CyG_A{h9p!7v62I5zA;)0nq!s^-nHbrs z1yI^ym=+`-e(+&|f!_ADEe5Dv;19-VXka^Lk`*Lf-ud%IjbZz&t>(>}FAdO{(&j#7 za}E}oSkz^w4##J5V))np{x35Pgtq3Lci!Qie)?&BKib%MxmeoCQ?%e)P*`A#)6ADx zu)0BjItydiT{Vqsm4~hV9F&3bG3Kcw>VI%}>20p_o#7q34`$As={}zDsdnmYvfT`r zr>LuW$vrCLGr#(kEm+j7rGBHZw&h>wBY^6}i4(Q!=p6%s8HdI8#`kErvWS2w8h&-P zc+gaKuK6aSky~G&D?l;}3ycATWzija5gx~&FTVJqnGnFHDrQ~igRr)$WoKO_>Fn)0 zQ*Yjf!=`BACdE1az8X+XL8MYV`f;BsMPvmH@iUDpnckN!*Pln|K)Z^rO<8G8dBQJR zrjQ=za2{0`az_2%+8L=gUyM zpIw&Kfa=e#Nvpm>q0@$(xu>&6J8V3R4;z`GBJGuM_{pr_q6kRB0FOolU>{r6K9LQ_ zaoYNZeMi7bwD;Hs)>A@n4{RaIJM_g1mjn_(KDK&=bkR1wc=5amq<}5URxC4{duTQw z6!hsgP#R4`TuyEm6IKCiC_Og50Fk!KJirlofW|&5TmEr!gQgu5bU>cjvQaln_VEe| z3Qe%V@eTIOM&*q(JAHMfeSu();L4AKNjSK}_qs69!6x|v45USJN={3T9XoD<^y*cs z%^NPj7h9~+8XY`%pgfiLH$eem=bQjJygK{z>l(P_9Xc@C3=_^v?dIv?1^06jZdR;X zE}DGj7A#m`PJBiQP(_Oc+IE!&B1dR%yP-LgO&S2zABC}i#s3sbqxh@KdI$ero`W{{ z!JQUp384BP|Ng(tv_&(4e(l<|l}+ATOe3jNqN8a$Q^p$D3r*5Lw4>Nv7?jr-IxAi{ zF7Mt4C4jG&#?Wdx{=i-xz)GF~q+tTe@p9iqfIs!dfy$(>Cz}>DPDpAC{D11Hr_D|( zHunPHxpT)3g_&da>kwiQXt6^%aNs~~*K8r7x2f^n)dHT-n4_)DX_|y(cogj@`U)lk ztYeD#(MCeU=(rXowg@o7R`Lq<%>nZCjIbX7RqBlpkFd>{fHo7r5}+(~05HS+1`bQ8 zE8ec_OM~3Bn?%QcG8@3c02*GryKwfrIdU`80qVz?EZ_jp3X@C94KYw;(MvoB?C0a7_+Stf=F8YhtX%o^$BMxv9UVx9-a*W31Qi15pWkYq{ zy7jW5c#V5TTiI`vxeRy&5Ql}X#fujkfCDgz9ZKvIj~zQk8rEHm#|sKJ%Q0WRw6T}V z{^S93`ZH$CSZVb2)jj(Vy5fUzvtvB<{u zYv=|)QV!@7+5-B}Cje9dvH6x^vlu}2`RAXvt^aJ%1^^EH7S7r5K*%Q=HdFje@1tp5 z3D?vydK9}Cy2dy*XYOpxQ9d@aC|7Hz$_VW)co0Cf9l)oLPckeBu`s~=1irByCVEbq z@D4x5M0lA60?vT6@IoWmJjD#mEw|ik06eA}CVu&)d-c^<_5IjxWAYt$+#&w|l}jXY zEbS#rme}~dMhjs(#S1KkVKA3GjHOX`S8OJyVhE;FhEs#Ujm95 zOZ?{tI@1=^5iQnmsMm((3Xh7NfApTQDj6d1bvPG`Scx~Gz2aF^bvfesXM3Nn{H2MX zORE_WeZDd%kg7dHyT#8}Mu~sgrKko}f7BP=fw}3dL|#m z-xUw(r=*tziZDY(I}Sno{rBH8w9?qB`fhTJ0`k`fqX38PiM?+H=2MqmXqqVOztk{Zr?C3G` z;=WII|Jd$^=U)VgYg)B5Fv$<9zKoPJ46@LNYXtYR5(XD6oNqrIyKLC7!C^yn%&0Na z0G3B#0S>eWzz9OSZSSTz^&?H%o%HxHXF5XunZi}|`@rL6%{RMx(H|gO^zdyE0M&p0 z_x~e1qVKuZ0$bX*Zzs^_I(g$B=sM*jZ0jTfEQR%wkJ>ioYp-oBK5uC}d`yDqwEXFA?l*H4XRD?2?6bdi_uPHA0im|V zTHqBLplD04M!QfyY?IO#(G*AXvyIGAz~2byXc3`Vgw`8;0Vssx(8fY@39uIJzm+Ri2{c+E zuhiSEZrJXFe{)1Tz^bNNj0xVKDF+}F;240YIAOOvtE}kZ6lcH_UwtK+Ajhi-^cW<`7 zvmG5Ld(S=poY}cGO)+^Q7+H)%bMxN& z?zJ%zO+m~;m=^2eCAKIBpxC{859?dE+;WTYm%cpa(Ht$+Wy{zazsk}=n=dCP$F#*+ z#B0{PnRX{M5&voY7kGJ2z&v!V$(DJ_s6_(ZTA2ZVPio6H+qU7`LIJjm7B7^UgRKS( zx6&Amz3tw;db_U5r@7K5E$RvnLQnci0VX@Nu*YK8QfZj70|GM}*m%AB?z^<$)kS=W zeM>+w8wpf)52(VYn?&!K>Q`u%LvQ*qX#=SK@|V9f8>-l%rOn8jBb@Cf!=ET$g`k<@ zXL=vfxl+#5G2Ov;@!a{d+Q~B8G@Q3>+hN~-w&p+l$YZ9V$#)jg!jAy_0C}_(z`VHK z_{Dar=s6%FbDnRPe(Ppx?y+49S%8a;8#T7R0+2pTCV$u-e^~Z{Y1>af{nTt_^KE8F z16rDl%>bn9H*AzSi-qcQtJU{5TUuAws_kwP7!T;lLQ~6@&28}k9-uIk*N<`1L{-79 zKPS)70{&rf48ER{pYJ}_4hQBZ%z5aeyu0JJ+vLFWNt=@pKBOb=lEW=IX;Xh#t3{RL zCyv|v$LJ$ICSMj!7)$s;FKEm`-g~t$$l@7&gMPvSdv0!LDI=E?xP{nqIni zKNWhUD}QA?uPoY^mDZs=;T)}VNHd(H?=IJMs&ba5Eak0B$UmEO2pfK(jKoV7H`TKc zr}}*5P=Ie({D`()^g14{`o44&s0LI^N3ZJ8DJT#I;BXE>f&fN`<=u~u__Q=tm=KGB z{u1D4+dVZ-;QbY$;f*)mkbT8hOiKYl0Zk^Ry*W7vn@odHUO?-E3ABDVl)@ngX#%KT z5D0~^eL&#Nfdl&_2pm(|XI!U5!i3pgeeky2*ENx25P%vEG(Pd#JNfIcWxs5vw)P(v znEHSTD-8tbAgt7q0Kn`U+mn0u?$(w{Y)vjR+jR&A2u27RO$7X~rSn>C!@E{nxzU^g zv;oB7z~KU#9fTzgz}5~4QUFJIQb$lnSn4I=8&I{2grH6WBJkRd_h_`A3JVKNI06Ld z(xr>b&5?IuX}|>GJPf=vl?g~nk8ARtF>8jKIeUiLKqK$bvL%aPh6XSiswOljFalv1 zpb-SU(1pVafTehQTh)sF32}=(yaI0Gyj|KNWmhlg6Ym2aP(3MLL__ue{^h?+%Lzag z&=W0Hyd>vz&J{S{&eK~$V-R*t7`Idra-|8Ubg&1BR`V9MV?zP|Xp+KHoPQzy9W#2g z9Ff#99;{oZwgl|cE`P>!ISP{Vwe{--4xDqp|NV>Z0olM~Q2`;8wmpCDg4yu{7@99| zhpo}{Q$R{Ue6}#-VHwTwmeN>l(zKaum5Rp|*ECZlWFs8YuMP|7Wf5Q_pqlucZpvc9 zZY_Agr)){RQGhD;{z!M51nAwe-3nL?pbEGE00m88;;HJVixw@hdZI1HyVjy#Zm#Gi zVAV9iG%nygh=mL2hX4;KffE$K4AUIbJ2bU0ErK=_V*&R1XkUI|%!5BDXLugYJkNBb z9xGGFBHi*Hfw!aiM$20fc~C~^K|6B}nqz)U5P+lxJ}LkmKoxKwd!7J&XtlvR_uYS= z0iXs*+Wb)cg#UCG{U~DqR54`%pt?skt+#I3W(x-JCT$5g%L3aCH(n>8MO&@)@1*z} z-dQAVzmGruSPN=940OSU>TNQqfell>6KIX1&AE2%dfAd*WIRV*;Da2ErPw?LP=z

3PN^SKPIeg_J$A&lLhlfeyJ6#c1Ad_kTeh#$wrfmqbX2){ zwpV=uK#gw$Hd4t04e~Vto)#@y;%9mv(z#O3(Y_Ne6W>P0 zOm?z-_~D28c5gS6Cc}rLq56=jJPljZ-ZiDAik(}=ZRRIvU9&KXCMkgF|l{=o}^~H@Xa^#Y>vXh89M@o4jn3>{cbZgP)B3)UOg`?C@_;M zYqev6g=G4JnSL2NTHpBY#)}Q5(O+A@I@-02dGJ1SC=dKzaz+Jz^E;%)UL3eBkV%1A zbLZN3gn13XDdYZ_F=Nb*Dj@3#^#yng+t-x)d+jFjbDp!RGmBg2tslXg5QuLM3uPRP z35?J1F5j`GGD);h-=7l#bLl7SVi>J&8XBzRL4R#7fS5%F>cF!As6u<%pcwzzy=7JO zC%&b>=tc4(`kuCg2RK5y;cO49;7=<4tm-n9r<$qCU!L?*#r-MKBUSk;>se*dzO3|) zmM3~0(hKLxqDNWMjh3^t@ylDEkaxD}5I)4Atm)#VdtTc5Rfn%!3S?@}>UOL+`d0(0 z6-UdTeM)r9RGlw$SSF`oASPV&mzp?nFu+ebQ-wvKbYWt7rM}1S|+C~eUskN*@oS(ZyyuL$uqPe0)-bU z0EaxFigr!@^n5pc)^yWO1yCJ6Y`7afbhzu&yN~N3E#N4S8rl!A!lxHBRMP?LK1M3u z3Ta*LbD&RI%Te~a0ZM=Gj!EF$E(-lQD>nL| zP0Lm-TsMLJq(5%lcyngOc)&Y=3bxdeE`7dX!}@m3_R>?QPFNY){!c%|DGOSAXiA+p zams{rfD3+TxI(vZ#K%9L7mZmVP$|wKy>wB^&R3UGjPiEKFO<0=(hoVohXB>&VIYQR zFIF`^Lqql0ac%k6*n;C4oSR|a7Xb5W@yp=raNu{Xfr+L)tTE9R4a9S_KVz*D60e@M z?CQ!hIe=wh!4|(@)mWfsB3D|c*Bju}v15CIpG~bCY_~^~b>95>?(MhVHb+=Er9#UO zZ{Xd!cTJAN=Pdu7;>YFEQpFbU((jhqwto5zTcs%kpbw^JSRjLcnhIcT(5Ru+^Oyji z{Q`*@{~6EeGsjOHlUChcncU#Ju*=E|5QKIrTa)`~%&x7)xx&IiH+}ka)6nKU-zKz3 zSx`V54-L~vUwx(T$yx)66YAG!*a9f^?c2+~3-oIa7BLP8NL#aJoo)BWb}HW{+8cfz zJb19Q_y*YGTuXsZY9>1ZKZJAgafzoWe}#aV;%9mv(z(*kq5s6o1)w@z+NAHk`<^X? z)~!=dZFhs2O=+WDFSG~z3_uA#8xXHWNY+yiYksp^{lLaZ0jt|=2h9fCnL@ksy+;ev zgQ>kWZ)sP?Rzo@T86-S{gbki5F1q}w(xs>Zm3UDS-O7_~S!tfBJY`L{JaxH};|6+_ zhAtsaN%bmCdL^Y({jPE;kf|-J+p*&4Uk#{M94&wLDTR(1?|?k#7bc)w69%D~b3FVd zLivfazb|=)YJe($t^RQcHqzf32JWDh@bUPM-MEiFG~pXT7SH1d2?*K%pn$1pRxm+F zs6d!RgXw~{`jd7&XMGs=yo{o|0qZPr#~#q8&03fCp=U18mo^6%AOB zh{X>r6}G!kC%mmA^a74{?%LTU5($-)vR&ospm{3#FI%?U@>n7*5w@%1Y-Qj8*;kdY zjwTC$8A6QFx2OrcfPtXI!PeAy3+B1`i{=}^#gK$_3=QZpaYZwvBgacpWV}w7+nc z#^MSBIzVeHna*f?Z5!3&qMP{DBm|W!dX{Z9IN<($^>Q!#C~!=D28U{I zY9|J^W$UY-_LZGuoDX437@h`v#>OkQU^i~uY@iWiJb-Nf{(aSd1o(o`L2vQtF7;6s z+|UANw*$}kKC;7M#E9XxSipTfEqaIvtzLm2!r2~X_(Qu#d5hqg;%9oFA(`8|rlgsCMnzP2cW8rcKXS3rHCH2lc0q@Xb7;MV{RP+gV73e+su0YFu4w z;9!UL9ju*t%Z_iap1lnGw;eRHL&|Ozv{KQ|ANTPmwiv)dF+k?cH{Yx+{~ZMUYqyOu zIV%lroSSXgy2TcFF_FTe7UO#(Ee5oZ<~0kiEQYn$;w@$~(2Awcc@5Q?_RZj10jTMD zyin#SHoGI@>(lC|%rO`j*+In478ZAVXhDklz_Vzb;#i7BXq*yu?%YMZ*vmDn-@xc# zV}|HKI6rQ9YKO&KXoE&O4oX=VVXSDTZwz+r=||L^?^|#*=y^=vE&U_SFeU}wBM-Cb zsywM<^oRnc(dXeh`ktOc9vmUO3p5TG{rqba_(ubZPvQ>5{I#75O|J z-O8IsdFWnJnaY!HdFyl~#t*bAD_uhTc-_jHUOb)Z`^u$2y7mjXR<~oIMETFvfNJ?^ z_%D+}=$P>i$a8*P81UDGL0IJ+51)=;#U`Pe#1=cqLz7+vTL47^D+Q7wh%kxAQ!QSc zaWcRp8sYGKQrIScMc0AGU48u;nBdCRNwPsx4S~0Ay*lPt1Yx_S1k_d%gaJnDN;6Kn zv8ECGg9$mlaOAyK+CZyUt}>7aAZOsf0rD0;*gzNR&t#u7dGkX91#Okn0$&jT&{P5x z!+sERm)A7-RBq@7%{LWnvL9swKmeHPlH0|! z8QV+KCA4wi0|YI+YEgI63iKBdesqMmKYDQmJqWyC^+ocUGAB<8gcsf4eB&)QY0}rG zt%sKCp+koya38kq(kEs90-yz6VaqX~3>t6<>VT<|j*X{VN>j&d>1z8u+uac~TMB@p z-*_QjPC-n-_d-4(<>bjEhp7N~l=$$-d1;CR9CHAuqOA?6*{MTE(~trnYa?*9o4_z^vhuEh z7D2EDiEYeT(w?0^e}S2{ICSu^fneBc#fI=cX=!cPw8?;HG)e)9wr$^P8i8n|vdzD_ zvmFn_`wmfdLDmc=R3HE>61aL?Go_{dlfu!$D-=)5sDE_X_Fvi1*rM_e>s z4~akL&YA1}_V>S;O;Q%A@j2=$Yf9HJM~3hwe9PF3X_kHhP0_O3 zw|9@5rT+KNfBw^LSieDw1=k299bhI2&?co%z_(}?VpaxiTfQp*%`6l)RT|`twk)PU z=+ihcL|c~cCvA;pBWd;T-_I7xSWE<*ravY-c+ogt8s31n;{25uK!9v_8!skDt+7Q%j8N!(f_oKVen0Z1~T6x-eK{SIEt!owAZ3% z@cS_T2 zppiKWV=;Whf)hQP{>Au@jrRkZ#~c=@3i#VgU_G|oyLIbs-yObDGiT0lACDWSZ@>k8 zhg#Vj4h?f`WZyjYX5(8lL~YSmyenAJ=2b=(tAPEu#h#e2Wd_U*Zxi??Fs#|rJci#h0^ucb%Hs7c_~OKPjn~Sw>XQi|H|dmfascD|kFnpEJ33gs#J*YX5MolRe7!Es z1c6tCaRLH^vvEPE-4sh8RvFo?vFZoieVSPBm6L^u&T+}bB$Np;xYAbjXHp2>_Yg!D z__89-<in`MGt`CGlx@Wwjxf>ZB%l2+Sv`dFNkW^xeL^5d zg&a-nz4kT^ElsKxPp}gAgwbM;KR(!n<4r>V!r252J$n7asp<|@9CCa2^WiJ)iKa<9 z^l)%}@KSwXNUMR_H!z&(Mod4{oCXXB9aDby_6}Zo~%-3Okia=D+BMo z`)=Ehn7VUBKXCbcRa0p@lh}Mo!?{U&2s@)A=P_%#be|!8+8UvUD|&fQ#mCQH+V!Hn zg8s-O-?!~Wa18Ov|D_+iByE+gLc>#x6FD`;`#FwC;5 z)~_cA-uKm}I8?u_72K@c=b;uhfa3|-8K;lwVZm8WuD#7z) zZ`eC~rCz1ix3AViDqd0EwoMO3G%oLvt^VK#KS+Q5*MF^R#4dXvcKhwO$+3UGoUXUY zaeJjXkJ&@`g%^HpD|}hW%Ju|rz5Qm|FNZPph6nPoKQVoiw;XsY1838%x87>27jL}r z23zq;KRcx{oVKF5EUfHp9Q#1WocYm)-&UT}TIqNxd+=+@kManqIS+RqfBfh6VCvOZ ze`jePz_QZ%9X%Xi%;G@}V;1d#WAeDR+TmcOJRhpuqOqM1xPiy2X2x(F|FqY2JFe9| z!o}7PxR=52h=Y*_vp7`$zrXvRX5-i=E9=?H;=1du(}SZ6ZM!48LNHz*J7KF!`CuX2 zyZoy@;>Q~W$Hk9pKl}WuG3A)l)<=?eleR~Sm8%5ZjT$Wk!S?xN_svav%XC-ux%(Kvc zF54{Vt+Xyzi#~dqR6n_nbNMHwm(%FuE3biQzrMXzUe~=tb>($@#&e*<=4VvcoqLs| zq?k|zOdjSpq2!kiRmyStx#Q2jv<)0L+N@;agr_?z-J!}L;y2>{Ivw!bh+Cka4LDxq zD6u?c+bRh0u?Zxgm4g+35ff=vGT>mu@yG-oCn_tJkcpMEOhTD#$e(0^jR^<)s2*KBYO7dS*}F~qO4^s&B^> z{&FDLiYv8&>o?jS^@>n+oQ?x~T$G{?vk%cv=m`CDcsp1dNVj}E=ht$UFd@g`$4Vi# zonTcS`_mmdd{Fj)qkd!MY}YH9m93jQNbSjrC>)Ax8NlQ^9Dg`YFcNcV5*2qfyR|D0 zEMC>;m0~8%(7gHPo6@z{UgOSFwfD&rZbS4xRugTgCa2gJuiPI#sxMP(Mc&KWQW__igex4lwZO&*PTc=)(e~dBj>!VNX zBl=sdzT<%samE_(H*bxP^sxnrz8)^;30pz1PYO;_Uax0`F%DM7H5@V*oPU8i!atyu zeAirajXnH91`fYA5%UkC?cevlIU;ddvdZmpwJR%A@4ovk`>+`gyg0z)KyE&CMc;T` zdttM3o4tauXPj8PMvsG)4<;VeRu*8hQWZPKafTkQlvC+0(Z|WpHG@^xd@PMsgX~wy zKAZN?K-ZUjx*q&kt4?wFvRwds5VLnSuWz%G_0mf(HX7IitEhjoYnN8fK56>~^N``U zdN@aaqwga-*Gl?7&SGBiXA2m%|3FVT=I9U9l@B>`*w!BOQPJm!&=M^_@Z-#nHvG2o zoK}~3$-fIu4l@ltV-ydSSVjNUuYOIo{i50RQQ0cC%tIl@Nmdi{fD4-9!?2w@cUqgVw=i!cc$?`#lUBbn9ASGr0ORd~uYdg;W}m$6^7+qw z&K`)}sE}UM*^s}G-)V7FV++_7AtDU!R*F#;6 z$*hb%pq2iV;l#!9%l@nE>w2A>wwGUdMf#vtTwiz$ZjMF6QJR%l~K(eT&>tNZw~d=-(#*~R1@zSu8t ztc+$qJ;$d%2}>uupeS*C@~bTCAM5>L#Nk6n%&}t*SkX0ml1-hm8MD6fEN7~%3a5X` z);DQYpV<_4rZoMHgF2YJMxWK)Jk;<98_>o%V2%YjxNL$f9C+XtQ;+j{N-&9QdUHn~ zdR7?%o5uXtCh~M1)eOfVI6Ro(L^k?B*amTz%jFc($OG6eN6;@}yW*F4^Gu_EoJQ1P zgT_oBcid5DW2J;)yOTq5B;kK`^Gdc3A@{H4^25djZ->@HVQ}NbHK^|o7+Vzs{9my-qtmeG-+H1_gNgXh3 z8}E#ctVp(qJ@8P2Hx%C2!vQfJh z$F-&xbi-HFvHy*F&6@EQ3$zCka^ydw6{oz;j02Yk1~{v3yX|&cUCPIW=#$ta@cXp? zIsu)ndH{8e?D``gxjEe=$Nvp-*5gR!AuKk? z!!!2G-mCud(8CXtg3Kb4iV_djsIw!F|*MzX~X!r{IHePZL-D73hS z;M66b4}bDes;~Xc*Q~zu`!9a+_tKwgU;8WM)a9Wx2lrfDBe;h%TeD$UKl-I~I>I5;+K4sT`D)ACB-c1vTkSfGBkNj%orUh} z>eIJJomSRfUQ_$`?bofXn)KHVd%SzCt~7hNmC-=&P+b|lo>f$1z=$v^9|LZLkw12* zyHRfB&0)i>EH}NHFpvjrCdYonZEFldk*|=b94f%`$4WGFG**rq<}E&Vsc0xc8eZY3 z8aQMe&{z&`x-BKAk#6+4!FPw2J7L@@>a>s<*#|30O+@FVZ1Hr54ma}PDaYU~UjR(H znXn*2CWciHM-dYeCIrAS+3*Qg1zgo1QC)BTO24<8wBn1e{Ptfc%mRXk)qTA?LBOXi z*6~Wekf@9CQQeT6)9~!aD(HIjDClS1LHn6P2Q^0-<0!;oX%-GkNx!@viqo9cl{g&P zXAk?M?QJqhYl5UUlABTOhW%kuAPDXY(uPmb>n$yccy5i?J^Nz<@jjGInsxVdpyK}|&F~q5g;~obpA7`?Cbs0~2 z_{AQ;!o#7$!5Bw-GX_C}{V2_8susm5#foBHNoE{IM<-;DZXehUd2UN4n`rTN!4bWd z%nEG!6g0QWLCK2F4RVB6Nz~F2DRT+a821Wq9);9<&VG$;W(F zsG_s5w+M|{%TL)K0B^%@D{o=BthlNFECX!F7#Ba6pE2aP^v(Y0eC%oOUhStV;{gU+ zV3p*C4cLc2Y{1rdrQaSl*=0j^F1dJMz}6!;CfOQ*)t0Pmwg)?Mz(WsScFLxCK*4q} zyb*vyo$W9fi>}nR6F1zr(;SuNf%fV z-X0_pR;Slq?V&&H-Y;ETqtIpbkX-#M4%))|edH_+y*$fP215l$*hPe%yLNt??0mK5 zZIY|2=2lz&u5zwLxM_9YRHwd8nl!Vv@|)VfZ@+Hs)TF;|*u!f^SNI-o^)%2sR98>; zX9VYbu!wj*(B|=JD$J@gZlt;Cg=S0~ph=n={cxK}&|AA@4z&FTET%iuxj-IZ8G0;uUQr?I583II{S#15RCd^ZFh*z_~NQ5uAtDi#SI`3T}pD z6NV}ikdx?7D^v%EqR7RB#q!w${iDtczaq;XOyG`kj@x04zXT=BHNfW4DtX{U!{-}``8@e9`E6&IXB z(1?Cz?Tc;7c5(9HjP+}a_YvYabD;_>dvgm~eC3#uY`muJ*9osT`0(8#XE4{M(3AIV zZJnV#jj>g`4%jtjC=Ynh6eFt_`*z}(#^K}=P-*(OWKtQy+vr5EkEA&jvt_H_-*Aur)!7|(;RL1$Qv+qvSUNM%KyGr4m1AP>PYmA zt*Q)r2-_4XvoGW&pEkojqkUscrF|$gTaZJQG%`Tnov|MBuz(XjYGtj0mcvu4VzDpm zU#9NuZMg7s8psjX6drW&@jmRH`wRAGr#`IAh8ME2(iW#LePoktbCc4X_QrwI$b~H; zGdhZUAXcg#kn$pe}yUZ;;6!M4(q3sW_79kI27GPUr2m zGSy+BXV**d;b8o*>oYn=8PyRwM9vudy-2d#gOq?=9#-9DP!Ql@8xalw)<`65xD;o# z5 zM)r{3ZnAYNZ|Pz}>5d(m6qCjsFs@Ym7EV+zB>gb+FH_MJ$@hgC_IZ<7~?a~Pl4 z;0yrY>**5_cVIy@CSyJ+!l6n%fHiqEVRN3~Gr55N(j)2`h2YwXMA60rW;pu6naUrB zauLx^Us0%f(?H~@$R;ILSD>w`9yyDD-Ig+?peQ@XQ%cxD*ty%7R4@IL=k^Ot=zG5) zPZpum^Dqjt3!6+wA5oq)Ahf`ASsg?8GG*}HhLol+3ZIO;Cg!LW0pMH~FAeHW4<5n` z`+}W;xm;$y(A5bZzW_ip4&y35@B`lPqfklHll4pRB)rX&f%X1IKjCmksgDP)TW}rU z$x#=^7I&Dc#Ii#c$xqJaoLJd3C9hR={+{5BRn)_{^TJ>lOaq2Chf+ zN*nV*K0sF6#d7*D_S%i ztw&zouehF20zI>9jj!%lF=&HF2JkryPIhH9Boq18KV^T)1;R4@Qi615#hwSmpbxvV zl~UWr1~_x{@PGp+8GYTISU9VUhv-{9GKUcLK_&}fTFHqR)_V>+PV6M?msT{3toj+_qv0r%z7wz1<9v;N zz$$SbFkuTw#cTF#cL5LVg|RgHFT<^`yw!?nRfhUQKl-(sn#;+1LVOD^LT|_v9SR1jZZz;`c%iAPZDQ8o9wdHS;>vYJUR`*SH?AxSCGxN(I z)wa<-O)ybDKWR@-PEGpjTAN!oVcJ1K_uJf&+YK%^!q9UE zs`8i=;xkcR++_R8Q(xJDg1K4d4?Irl!Rd7B9jf_!+j2#K@`;fq!_`f`$)&oQv|T!)YkW{J{>3E8(H%@f+#d zHmnMbKYFTW0O$w0I8%|y=v0iTT~))XU9|E>4PiI{3Oslk;#vLU_BK>O)mX!fPBCjA z*@Zcb6f%iL->hWwm23X0f0Ww<;!h67002M$NklF?8QPrIi5vSkL%jq}lkqR%iz`zzvK85IIU>hP}`Je-wg_h{>WA(^{3p!1x zZRJgPP5B{zQ~5OcxvcQX)6lghQ9dgv!%<0_abSzq9?~~(cAry?J<(Qy?{+~O(ROYl zG>7q1XgcOT34CuRi`tlG_Tt+MgnV!`+Jqt)Cw+h|3UI#0ifrz$SOvrdn!khr4!#3f1x_CQnQ_|xDEIp~cz8{`57J-kp@?A7S2$F;&QTWj zie9Kk&JW3`D|mKThRX!3=@9(~p6JCkIOHuqf>K4V1gJ+DK!yGX8EYs~SKoQaTgP`+ zYMF=pvyyc!(pjmt>D#4yYfsY#qx3wAZjM(6mzQ_1$=L^4r@L{q(Cc{|ZLKbB?@(P` z{V(A@s^d-4sd9Rg;4cwQiJV?u)|8*aH1TiB&vA*v!|rXXkelGRKV}j#Nb6>n8*jVm zu5R*6T6sF>uX}sHxvuV$%X;Pa$#E;~a&c4cH}y+shkyXrA(O3qCblqUf(+PjQl(Ut zKcH*y$|gf|4q(BF13hp~dKiBu9|MP~Q}TQ&6rp@ddH!f<@eEC14~*eFX$W( zn=fN7K` z7eevj_z*QJ06HdvcN=)v$0)df$!X^CQMi+qg&ykgX=2(7rwUG-7zcq}qBSYr{)AwT z&N^azz4c$y@%KI~PC=wpM5I+3B%~Xal9rYjporwi5hDgjiL@{f7$q?}M~w!lq15P( z5d*17=RMz__kBM;-^cgyxc`CuaP7R#xz0J)^IX_M!U=&0=8P!{iwoW^+rK%b&-K0H0pSMf-cfP-aUl= z_IX6D=+9TRT+dXx+}j%Ig>OVc@11aXg=W?PN7*}wB9q<>fruQQ@1V;gw2dy5iSoJb zT;Bg+(feS9r;~jfv{JT=EMigUtT-A6Jc(HXs3R(o>9b|gEV8#(Dg$gOPR}MoqkS8Q zxMLjms*E;hK2Vcw)iB+%%DsgR0+_Z}w5|G@ssiXV ze2z>9$Cc)3kjkCdGC#Mbd~c%+Nhs4bT#K2cTw@)1=3O3SCmJYA3Hrqu+=)C+c{7N* zj^g$0eZfDkzc->SYFfr5owVsJ!imkyrzBVw+s3G?H{zXE^pZ&C?j*aV1Mjx5@4``% z3W-6Cnl0fvCz7T7`|(J&s9dJFly~BBwne`JeSFzYRPGSxM<*U_MX;a!-Xh76Hc#FX zTXdUN7C9%%4`H~SnN9g4NWS;6@CeL;IgyP(%z=1ZX@#qBe0GCUG3E0i#&8M! z+X}KBr_t0e%4t8{pz@V?_2rm}v3);YJ{V>-1dQcL$ic`Q6y2tJG)bF5gXH*nV%c0qF5zOxGvPN+q^*1XAa-lEE zGS&>du=GZ?O*L`u=2dHc=k*SjsYj8XkrflT>G6N0bY{Ey;}fPjJy`Hw{Yjp^nh)f( zpeoQ|c_ER-I|R6~zGp4dLj?_iKo_y69;-w~VXwsT{b20z`|==&gC(PMMxEo|MRCw2 zt{-!-ZoIeq10g174H@O`;FsYD3Ucm~MhH_~Nwx~fsfk${nY8F;2u1sO2#NHiuLp~l zDm>c=CBL8KCYA?iEo|azaVCxBn)UD zO`3G47v(X&Wg2hO>wQ4N^2&-K$R%pk<4Fv>R>)8=q^f><2B?k%(jOW{pnVo~m-1%%DdZYok*HzkZ@pqBl+QH`NxZylofF86j zCmKp>k>5TDxnG`Ryf)FEh;&Ek7$(zN`%gMp&eLyv==qyumvO;S8gQ_3hch0cJKtW+ zyUXwA_C1T?{a%{&S+=^lYQpTHjH!0Anq@$h;m>T)a z@w32RK5RL@^H+xI)G>=P`zPXrJs?qqY z_0DFg)?{<3P!3RY#vp=8=C#k~eYJ~q53`?NR#J(_41(63v}w)3l}Ez!Y`!Z1yR*qr zpM_#yE(J+dmeC&%9Nz&xR={${e#&hEiBhR)AS<~|;DRtcQI|VgV3XwJ6_GCW6t((V z{DT&4FU-p+^Z1y1JRYEhXdkLjVmF}Hk9eIE;F%KbcU5ev=s#IdaP2Vs9ZEd})`U~}wn#3KG zo*FiH0rm2rp_ir$l=Z@*@Y-vw;?}Z@w3A!VM8ZFPKWUrR#rmoqCnlwN5v*+rX%h_v zUCf{ns|##K$$lRrg%=evgreL!xFS(X-#eois8a8Hc4a1c89_DuP|IGWgAy*i58YsH z&s70N_dI3bFop2EuDfmRUk&lX&^Y0ut<|XV0v3565hh8$CxpShuFp}54W`Ba9IFo$ zp`#Tm(KZ75Yoc)ZvAK}~4Q!y9+TZdIdp7l(ilu&uI_zg_Jk5N!CHVBq3DNUw8o87P zxsG@>W>@zcM&;fKB+QTm=5~jNP<~S;GgAnYR_25z!1_GV4!p3{n#RACrRG209)$+T zBZkKxSwm8oyBtLO@lu}gR_2HFMrFE3kUnGlHl~?)K7v26tH0;_z7t_qRST5OtA4(6x)2@*y!TTr1Hrn6eTD6dvqEA)|fwci@O;(=6~ zmHN&PY3Jq$9JOTN;;WWV@j}#5hCVPG-VJ zD<_)pRcRH+4k`mYKx1{CSX3!yU1D^4&yHb$qaKsDor-lH8Ee`UEi=n&`unXKJA?40 zfP?;h-|kMX#61@wvH)}F+B2dwX?=iPc!W2mZHiE_R>D94KRrkSqvq)fT9ni;y##~S zQ=y*9&y!ZY^nsfLvV%ApqwqTKof~tI)t>0oX^Ck8G^-+>D>AN$fMqzV+)g~E&(%Ex z0{uCI1~^Su5KzPo+-)6I;C4<4bIu@vs^%0svNP>*|!&vu}A*_Y?tnuJ8-x&5obgOxZHbM)o#(6+gRJSmj?%8~x zdzP%iqPZ}^GZx9;ATd`qRmzDw9f%t#l{3vz(IdX(xGKo9by_##)PWImez%D}+ zXeg-Cxz;Q}?n(4$-K_U>ilD{Zu3d&I-|xv|HK63CtSRN<(k8NU=KP5^laZH`S0&bW zQ3ol)*$ayPs7*U_4`xD^y!t=0q-2dZ`PY!jWfA=H=frTGFz@@Zg0u}z6(#)u1h+gw zT(wE73{JV4;~mS>kNYJx&vDG=7X3q#wApx~0paCof1{DnIt^sf?&*6D!i;Pt$J$%{ z3rzBe91v?1{th7!1vkWp?RcF#(mbHXyJJbb*usS1DgwF(ujt|P*{IPJ+Org+$J@FS zP)(s*l&edE26~%A?=;L|c0o{?M7|rkQ@2FM$-IM}mudkM6%Jv*#t~s{Ss3)R-y$E8 z37s~~nfJQB#MOvL&j8 zx^D-&Z{I(p^d-+Kuu4qOHph~fnKMIHLf99iX6+!O!+-wzP?XdXqg#*<@NiOp8#6+; zFtCC)sNMZP0}yTkfAvECJp+LKKi~9iN(hjoo1;Ge0=|&DU(nBX0$fcMjm@HcTD4w< zbw#^LjI1!TH@of+dY;%F$dk>Li(T7IvrHN3T^Vl#q@HE+)dQ#NDLJM&I|3tIOfGNF zaS(`*rZ+FlV%)d1pVo(Kl_UC(EAgzvQ<2Y38&5l2H+})cu+Jf-wM}_uAUt+Y;td~P z4||I`bS}@H^xT!RXz`8paVncfLgu*9(sD%C!G-W(e&*#tU2C*Vlrq2v%@zzQ;?hvma~k z#dI#RsW9qXH)SN=HUmNz%M>5uOKxpvtZh1EArY=@Qga}yRFpUl2cA#`Hg}IxN<69)yk1cCZJiD0iv5N2f;s4( zwezkMs37^{jzB`XlajzUGE0`0NyyH=1r(QFkFCj%{`~mjUsHvd-bJ1yjGg`Iw0Bs^ z$J=J!88&NEmWUQ}M|ps!(OWKtLggiYP2$QE3k$E<&3c*NQ=99_9en;Y^reE-rUtJt z@a_8ltufkgf2|ckuT3el+y$4RO3W6;mtQl%QJ*mt8U2>HQD@dq7#8f*@1!FA&l~Jky1&|Vjc#V0 z8_LJM+<#-(V0}-h@}TIaSIsEhsIP<~l@TWZypMGLS9Ari+~rmn0W1n-n|!WSfv{byoJ zT59qew==W!!rE?Y(A{L%dnG^xXyFqO*j4VfgRY+Tvf%fEQzu8CA=zfXCsU#}iIaSNKaN;XfR zKW1Sy_oyV*jSWrbb<4ycZYK$|()KK)661X-_F7}Vf(mz}C2p0)^vlbeWJeKH$Uibh zA%8Z84<=&+;4PQi0Z1xMpzpQ^E@{R|n`QVEWqx{%(+)_2UXg)r@BMMdC7C*FULUDn zi_Lkj41lMslhLOr9jjYEJ=)@~zq)?(T^h0I5w!cmEU^H6*2)=Xh_huE@P3|WA}_Y; zLw3S(!NvLKWLR7T`=x_?GC9L)O1)V=peN zNzrA=WOJ{d1e@>O_8>-hF}Y%B5P}8U&chv1OqA3uo=)S`lb6ts&5RR1d?)3-`0{Lt3L1B{&(aFx zZ#ek|E;r1dclrAp_qDi8%el2$T=w{a3`g+HQ1$u4DX&hT^B#npE1n_JZY}BiDfK`&E54| zm&S#qPaxzkI_1?^TC@g6w%7A6NhtG;EPR18L>Geo=h6%6>zM)2v&nQ8O|JzH8`R$c z=@G~}h*p~xtKTJ!6<{h--wew7Vlbx=ltAE*Nlm?+0*hfaX3S)>ToK?9tO6&Ny#5Yh zQq@FdlM~b=`*~T$!=gtXyYXN^Z=9ddISYg4+SeU1uk;{#R}voIN&eLPNC7uRspbx4 zdrC^t=z zX~Vj#;Del~w_WWt@5+~6B;eCI&Gnf2FW#u6CBEl(Z(D;@$B)_~*s#{?;&R+$u`^U(;WmlwU!IH*qtFl+SX%-pAY z6J0&VBy0C$Ym#pf8*$L>P@ZSey0>-E&zbQ?TlTaZ7ZW=le}#>`W&=XIXzVUKUQ6ZD zl z_b{)~))-}Oy%fq>)`o@)gb^VdU+x`EV(|1Kd1U{PK>o|Pj>7d zOs_nJGuE*~z?uiMGFnOI$gPsXf2x8C+3Mg8CzXPjvt%|CIt{y;!&o!Ts_anR79m+* z&2-?ynFDz>24q*sj8!7vlm6$Y(aWOhayej$NTFIrscdQ+ZeGdXuH4~;HGKWLrxS(a zLYMKe3N$iu%7;S=RC%qJ-3$4*OO>1dY1M&V^C>&1b0^;#3%2N^N~=g*^jsq*vK69J ztlye;wV!$Q!v74Dsdl~HdYOrn0#V%HCjlRVp7&MF!y7oU6-vpyw*#nuJ}D-+Y^K^o zQwz)xZkwa=4+0qH+ma5tyLOk1v;pJt8;^x$l&3lis_4EBrHmqL7gBTpixOuA2{k{< zgZqq=_aIXRCtRq65yq}^hbgWG)X+Q4sm9)k&I5W0y?^AdI?0it{w#=FZ~VIscqVtj z?qFI`_-CY>w?-%Dh9-6G{-AZJc5blEV)CiA)Z+Bsk0ytABimoa$?B_O5{BT)S9YiC zCz@`Fr;CY@gi-09zSSqK8p#*WfE}wMjX#66XeXIJxlxGJVC=W#v0p1n-g8@|@GqSo zc+=aC_!{w4JH?7Y)S#d};FeAY%nyyPN$h@ss;{@z>JL36TdN1l$M2Nx8EV}i<5ou-eNY= zsQl{sa^c$l3~ki%ZRgQ!z-9Th3Ti1V{Y-F7448mbq_Hy0ndUwFV9TrDIcz$&m10H1 zy*IuYkecIoUF*r)uYTcb&5etWT<9e9r?%&IGp&ixMA;}FUfeHM*)3Eo?_Vze#44Db zcTgs#Ah^#O{r>MotvAD5TV^73F_ys-RvfX@L{M7g4DO1$~WR=;fEZ z$l0jXYONl!W12IQK`#lDnM=;OE(5()WCNqU2Uu+rtqGvt6dz$XOZ1`$)-pOV?$l(x zMpkKeN*~;a=8)XPtF)8+cI~WUwningYA08$>xaW@Z9|qucbC)6kyaTt_5!20R~UW3_T!~2`u@6U(Gc z1^t_byFO*PW(B(c7RAN3T!a&tqEqF>l{jen`QtL{J?p%Z6GMhNdhI*dV*T42RgX6m z<+FodiuuGBkoMyrv=Uo?G*oqFbFrw;7kN3OJ#%Fp`kxU0HXt)nU3OkCB#z4|1F9Lf zM+=`M3C3rJYs$EP7n%=sTGV(g@6toj6JH#2Bx7B=vao(gFJbyWQSS8LD8DrZNMj?Q13}c@w^R~HZR=8q3YA1SNJf5SGECjIBKM5vR6`9x;Y@!D zNRFdU0a+Ko^AX;M8Mm{>E~8I{f{Gc7N*L!3@%=cXRzD%xqe<N6sIqP14vV!KDB9X;76z}B`Xu@qYsu4A2GpQ9C~YWJH#U_!;5Y8gV`fEKBDU2{uzffx_OUI?Xk61Jlv?)5_R zFlfYtsBEFxs{lfuAxGFXEILjQrjn)4v--2fs5CGh$;P<)Xf2>5s4i)yTx(5thJdYU zK7fCZ71*H!nGoCnhst9X^T#a8V#h|TflT-&sfJBF9(Ax^LtspN?uCYp6kFJ${{Gcp zD;^b)OGBxQ{;H9t0q_}cx@y#m7Or|=h))SoriI&KNyK|pK6$-cmRMy9U@WPB!5bd( z=t~)Gt?lfuGrCj5J~Euw|t$p-$`aV{;a1r#D?? z9m!ZkrhHt8$Vj3->cJ6id}^BxOnsAsEHvZPEzWe$2=Dc{PNKVGoAtKGu5T|8-{9n_ z+8^d!4(7Wn=Jb8@inm-oYG%6hxxl{WNsK&uX^gGxB>hO6$hNkq+J%nTiz3Gdu*4?L z?8<40jocCv9I8pzkhvEt#pkMa!kAPg1nf{jMLV^Pg#sa?OP+nE{Z$_Et>HLP7cpBx z#wdc#5c8tx1dV)#WH1zEs)N--4%FLbi0Z`z41@T*Qn!wX!qqpe6w3N68r%bZLJYq` z@cL1Sn^I`ZKrwGHb(bR3X)I0&(IuYeqJ0Ky$!^N1khDzYbiLje&Mh|dtyN6@;6j@b zBI+eJDQ+Bbbp8cbrh!ECpWYi#xWx1LGpXLWTc>P~UY5zB*(ga97F=``qmq>az6*M8eB=o2_q4ZaALPFC6C%I`xx55O6 zchfy6`z*&EA>gLubW6wX|JZ7btmo>Q;abvMZnDwQx`* z>~MDTCd_?hWe@g_e`ou=rkvm{ZxRB6heL6*?sa(tyN6s^=iPV(4+W{~i@&RH$LtN7 zcLs|_2+N3kO$PDJ*+ld4B%(o?V+|DH{yiv^r`WW-aYw4uY3_rJ>lz*{e-STWSP=FL zyp5%v)kruKUlU(G!foRJS`G;+!)AY9YAOq1K<_NOIMePXMgGsxeuqpEIN{L z9O)v%(HCW40*rmb>&JvWf{Ty+VhOmQ`^MDhM~_wVZ~b+YcJm^>c{yML z=#|IdG+F4cUw%`PX_RM_!UTv~W%SBlCYSa6^G?d`q(agrR)ATXkVE~>x&)x{1Jb)q zV2q~tlw48f$mjAEMCAfTnL*x?paImY2SCDy~y00vqZ zcLJ?ikqxoJYv|jKx+`0aXUzM~!46+IPSs^$xB{C93qn!p_)lC3F)Afj{R>Xm#W}b< z$Q*#EBOc%h-z*?X_{3;1@MMZA5kq&*bxFKxyirehgLZB|BhCFLio~I|_&4n9-zyulEM@N0#2o z`e1E+cIS>qyjqW&4{BOZO?=j-)<;?{cM<2i0Z%SZGp~CNh6!zP8ER9lxvJH!0+rbO zjuyF#Q}&nPi8%OSn7>0iVCvkQM`d#P#Q$#^!2zRbKP=+14RDMQ2sn);kTU5S+Aa3( zyqp%4G^+{Lj?~ek^pf;?2^X8DtiPRl; z$-gHiNs`H+*%K4Ybv<62%%avcg&#MlZt-_nZ@gTTk5h~B=MXI?9&h6s%DD8lhF~oA zqL8rCNw~sgUUB;$7F%cvTp!V#nor~ru9uME1g`M{HM)n&{`#9Y-7_*C{-!noqVHk| z+g!UycuCU{m8N0t&Qvw0|8e7jy2bUG_y{5CeqceJo%HMun->Md?bNTt5ca*4?!O2b zG)B)fn};rb&~SuDB)rI0JI7&TRfVDS-=kKRUBdVlFgY)AB8yeQ^J8=;mOSWTNho2@R_IgEJaU*ZYns9U(lc_t z%kpO7d|tjk`|R*wv$%Ykq`0gV8eU6Lk2%t9CQRG50Y-ZsbhANd`-wO*;|accc#>qh zww(ZId)!bi=#DJft|Vv#+Fhy^A&+iRhDG<&o@^K;EPfVtfONxhG`&{PMkB=uHfE_q z8?VK^H3=QTdBw!b@*rWoe-j=1iQ4u=A|#6<=kBeII@KQFp$W-^Vs#>t*DON3AKd%a zi0VXSHQD+V=SN-)dpaR}gBJdq7kns&DD(K!rt`U5Gu(Wg`sxU6E*dNy?sMlPv1#+^ z`K+DD(5ShC=5Zd}T55u*Dwb#Py%CRG=~aH0MWN5{NT>gxZ1w-4ETP+(f>va)4fvgD zw8iaaQxsb`8kAs=7fG1fv>YGh)p@qUn0^0L9{igvbcV;~M+!8H_+PACbNH_bXQ~o> z!y*_9$<{RHDqLTAbCNr!A6Wtkw|XcIHcIQ{ybd;A44=B5SzK?i9L5(Xj}QbRzk<+L zg~xMV7H7>1=bz2bTkB26e4eFOl#-0k91)dhi1y)HJDv57=j-M3nvwsiTK$FZ3C0wV zcNt}x_8{P2U1LZV*xTbjja9H2$47NaTPj>}7^mU^@>Icw8Oc38*|3$GVTwsr90`== z=s(%Q{vUet;2fPD66-e>?rGhwSM#|z8s*Bif`VQrp5+2AIHh2b6u z5W3x=EqdbgvOQTdaf-xk-TX!!9I-*|`xJgiGS0~?NueEqtzj%0Ofdv#Zr6;1EzrjK zh_TL+eZ<%T@}#+px4|+ zQ2{qAvS-QnO$xb4?i4+sL0A`{4UHG1Y@|Y4g6jK;QIhLhbWA)4s+HV#4JwmcRp& z>u-MKZUatwVJ@p0UD@`odA{MB_(wbLYlxuz^99{0LYJ`8T~L*$--{%5LbVs?H3v4WTG?~JV? zI{HZQRSmQU=9Z_xP9W#=Vu#--_95RJ5l7edbo6NN%Vrt0+>wl!be z+?Q`VARyoj+nlKpaM5F-WfX9-iM*V3S5mn|7KwNGGRYv(SuftiN))&=wh6T~npc0m z`{Y12gylg`O4?Rg2n8I&E|aiXMK3jYST}NE19JGA=+BIztCRCMV^qqaY;kDb+|W`e z=Ured(;`N%^QrLb5y~K8T?Yi%z!YkLOb!emHiJC9TkUB0IB!9=9Y}T=TkBgv3DIc* z<|Ne&pP=9sikN0pe%eBU$&_Vzwdx(s%59EJ+2;C&bq-+NDlCD9ThvwJsZXkm;QJ-k zyK<{Zfk!A4(Wm#>TZHMno06 z!4TCufpl!$heri!hCd8Z1=z26f-m(q?mgRa691!DM;xp1_ z6~>b+o%k0fM6@14S1>URVJ_0kw{P8_JM2ElCn~??pq6})MxZJI@2=lVLlO*pbZTLW zx_6nD$@2hVVtKMd4Hl*pm|bgZLC8JAF$(QcYPicUt`$yy&z~z zO?PHN|A-#{_p7{?0V@beC!G^eq8?7gq;`J1n{0KsjXeJ?J^~$<^TWCjW};_G$M6blYd0!CZ_wUL9O9m7D;|b^xnv3DH z`AmFg)DO|3lIR|sS;iKkjM-x~yZFx5-dWRswpZE&uH$hCmVdO4A`-g;X10vndQ$gW zQ-$yMgC>+s7%@IHik;uCacWHS?_!{#$9pl7ET@c>PjppccGynhwn?YC?2IR*&YR9ygHLWGvYIkk@A0a{94u>Z4gAT=cvuIpZ#IJcIJhMA z(_8VP?_YHV~2WvCY`{05bk&DEZZ3g8MPm(~c1--}bjh8K$uN`h8~TgoDb`bIWy zV8HOVbey1O%H5{vp6M?VsG71MK0zXz51UhQzxqZTJp+?e@N0J->%1~|#wex1h2JX? zkF{Mzd3G_lb*JIKW@t_>S-a5((vaTUTYmZO-013M8xf0!kczOZ{=;0Rp2EF&{*Nz- zHop4k|44&pjnw_dWmR^Z*@cG8>i2If7Id1N*{x2u=or^ZuSQ-<3k?f7~9dgOUR zKYjV~b16u5lTNf1Nxi;T0M3rGwL*8ug}cms&>0Nm$0ZWRF6>}4VWFT#S$=-zx+84y zA~J1);@rq=<^GEF?{>6gw`Gx5Xhr(5$_;78KZkgy*t>nz+tNvj`2w}-z$rMuBD6`MO>H<^sM zi?)QqJC~enr4`J2y0z@&eG4MfQTI*eBUT~}gIvtfvbL*jH}XFrJ6*^JnTmQsRahqL z?GmCfYqk$%o)G6JMdUy3b|Iuiq1xxzM@z zM>aoJp9zyu6#4DG3a8crpIffeY<(X~luPU@6+s^%y0PKzPs7Vsp8-rLg|RmB3@Lnt zz)t+@*iK)WBi}jOlYMH?(wQQ{XY!Y9w$?_7g6LVK1;b5W&-cAj(qH?zQwDwv7cYyo zfL8k(4kT@gh}F*Rs-tW18@`%nJpE}KqO0P=vU+&+%fv%_9x8vOcgu$ats`L_Z1nGg zx_c>3;rFVF@nm`t;5=J_#e9)(TKA0mbJcL4Q^2+@)<@|bS|N4Dqj8w3=Zh2Scf5Uq z!Q8Ec?xnh@%r3WEB}H-XX|fGo zul5e!`f0Ryn(SVx)wY$ZC1>o?T>qR#ikhY~*-RrJJRLOuebE-bfJT_S@W&6=Ec<0C)X8UW^PIBSl+)zM~0VD zvrKv-(>1@O|N6F8DnmZL63925#O4<_L8U}2__fXFE%DE9A>fxLh)W~upS%oW{t;g! z7vE{FW<4@7coV595j%uLX-cuDe^oSz%b~XsC2@26Y?Hl8`c5%?d;LbdIca#&W6Br~ zUxEz_ij@|U%%TYnJIpfgkL8N}_D$=bu=#cOcWTZsN`2d&O{wH=hR?MtcW=nBbhelM zlZ-vWf(>{LK??mormK_&*j{UWs<$&f3?oD8hqTDH2>Q77qZ{UnuRr_MDOfJDP(EvA zwp1jax~ljIaV=e`KpBEvN2Gzg5BMy3BtAUjqZ|;xlT- z>ZJGH&5^)8rxfXJ%>JhJD^;q)h*C?8eSX=h2520p8LfPvSPH%#QZ}PC=d*Jm=uCMQ zyHx4--lQqe0J4a{-$4$uOD-)a%FK}&5uWbu940$j#?TFVUsq$tk75$lh^NM{h;V!> zRDL&Yz6;!7dT+x|bs-Vo<=B4Pb!b!kEN5<}K*lmiJmx`+TyK{BvB(b_72;w_%gbcH z1ntF*-pN8{*LSkf1rsm_>WPj;oRk9R*kxov*sKmQ5KYQ0ELq(2MnzI0h*szqj~O?r z!SB5d#GGN_KVuL1YSFjT+G!vpOu>0nCrNzR0nMUxuEE87s5f3%D04vbK4O9(z$PsB zf&cVHq=yS48>?7+P&t`jjI@@_y6^*mR?4&Hqc?ljp%f=N;vG`Py}~_I;!U1^8F>#y z8se%G#;BU;d+gcfo}MFvNbPR-|2%680^lwIy9foJ%RdA&XuE1dWyj$yhn^TFbrnjM zM`eZ{8;3e;QBXj6nxm%qmvcpaGA-eyC zdL!y=N=^GjC|;B#pKI!4L|>3Lf13j5#Z>X+2oXzyM45qU8gkl-x>)ZQ5lW7aS+51H zIApv0_QTvActv`M=@@Kv8Lo!HINW~}<%#`kCwjN_}W6wR#%+v+KXT0{ct3f*bp zN4ge09e1*r86UD}tywD3pTUB+-g)#;_ z&z;B=KUim%5V3sNyF8vL5^KeOd%Oph3BGn(Ne@m}8I#uEddWADPlek#J{<}EVx1@^ zolCuk!~{+XRx3n#nwcdA>z|B6>Af_>)r23tdZdz|GeNa7R%vCjgg9CIZDQPWqCB%l zB6C~Ht~?w54!PB9AI8*sAHc$^!{HbARI9(hg;9@`X-H(YL>f4`%kqa6D8Im08DSxzOV{+hb^Vl&WvhfqkvY7`p{K;U zjlTzwe1yOS;yv%%D!y(u++q7={`eH+hd(F9OEe%XJvhO}(WILAlJrCp=YTBJ8b;-t z?&sktJ-k8i_lIPB6|)={2HqR4&XyjZYuoRbHf-aNiir%LYN-hbI2 z6G!Dz9L|<4fMYSIxVYwqd)AajrDe^%43>E`h_N)NYD znS+}UxG-T+@|Gevpz=0fO>g0Xll=vOkTDpJ;6tKS-g@OT&9R;x&^&IGy<|I8uUei9 z>fT3nXhh-+V!F=7drtOccMKN2Ce`W({PZdk9@vktEwvpm7?7>rPz)l4kj>iPKlpxB2l z171|-%<)tX*OnyFV1uVt85>&Kq*+cTRePc8ddPR1F5gf#Dbnc8Jdddp;zr$J!vncL zoryfAuHi-6MU?=HY(F6;c@CSA;jVvT6!5{`i|Sxale}=gm8g!GKOVLrSwXhqw7nnk zo~tyfQ=v5i{=c^M#^G9gBUGJFbHndd>1{A;w-F4uUfxhue5Eh6RO2}TX9=hDR1>#` z_T!G0p1j0(etmAh&Inp9vfky~aCITD1QV&zyDm_FDmvo-1yrG*6r^ z_%kDxQP%!Y;@Iqv=1{XU==&u`CEaVX+9yqt)Uscvc0p>}^_{B2DoDOIG;pG0Z#L!0 z+E^u$5q&`s`|Y~or`1M4pAK7cqIE>}97RL+Ba8Ry3;@QT&l3G^;JyeRd`i)~66{`l ziys1!_1w`?$4H_v@}4~aw#D(vAMXUo9!$d3V3`px^wry#BfKZM$w3aEPM%VS`ZKu`H&P?7as1wu!;JbAMQ%*v`)PFoo8e$rya4bKPwkQh^oL z#}o0LuV)O{(#mU{_gjif?~S$+ZmB-*5ba@!VIsJ8eFu$rw~O|IZ62I_XZHAdkC}0J zC*z0H8(A4N{(T?re&m^=?2qP7=3hpIMYqfd-Qf7AoB95>8jiFSI$Cs8zu;n2k)q>! zK^%*s<3$Fy*N^387;jH7-@>)0SR_EQ&2)|81-yZ!Fz4}}!9mHL-dX355xY$qHn5gw3pdsdsE;bY{V4(R zG!tk3CJ>S1y;9i@NQ@-*whB8xsD)BSj zSIw8-pTEpRxtPd`zW=b#8)fkl*5z}zH2ESG12ll z0`_4CoI~-0>5hV&5=@Bbxibs z-qn9nuUQXVd@fi)^qj5Dwb{~0!JFg7MX*{~!onZXw5e2=+($HEQJJ$Fv?l5dIZsVTw zoko56&WnAk`TWVIsb|WXmBb z(Yg!%E|;Cx`4Q=N1UcAZUTy2lB%GL6MCw{&ST-8v9!Y1!E?fzQfbMUwFshVVl;{Nv z8InrqE8X(Z!dMdxY%1kSO;5L$$+&2T3vMzTe%YbJZJZHiO0lNyGqMT-0PP!@+jaT)cA>3cm3zQJ?T|6qG~ID(OuoTr2A&3%u{akuy!BBOC{y+y+(NElPNZ1%j>+QZVjPs&c6_D zR#GE0ub}^{d9BI0qQj#9Q_2lL3_1LmO3dt6kil->WjR?KTe{U8$UrmA+eE{}f${7t z1^+*6y;WG7Z5OSJyK8|!DB9xgMT$EVcPLQY-D%N6ad&qu?(V^*xO;F9zVoeh{rhC^ zqny82o~dKp6S`U5|3TWe##W!cJ4&CUAoBh=HCLVD4%MglO=JcUA5}CGgF$t~`kwv* zm5Z;-Sb?&i6UO;^4u6oB(BLcCL(ZnOBZL{12ZzDA)92l8*wNHPkNE&nJ_{b3Uufi5 zmKiUVvnnfl3x$-1f>K&M$J3Q|D#VJZo<$iPo^0$(rI)GTaJ9RmtAZxoq=k~hv9gF8 z6pKYzzrQI@?6{`aAwlzi0Tim%<$L3LFeDl*RGOX7ZWPO(T9>XWNduNmwT=2qO)#iVJn$Z9n4eC<`nG4t^B@CiP8ur@aq zhGaw-&nG)5gnw31@lBp$1Iyw0EFs5!a1=c*+O4N(X-g~phMx&vu68$+iJjuD?kZ0r zQJZQk2Yv^0nmpgToS`r5SaX#zuIjEi4U->J10TaRO^vdIFMrBo0JF~n1!%(>=ysiz z_}1l?m_9TCou3M`cTBqAgB%_Ye(P);Y^yM96$|k6BL?K3m{F~YQOYl9gvFby90=)9aAj z$G9nAv#Y@~qN_*zGoboUh;7>T8MLV$vIB&Rmsnql()T!j=S2~F4Q%uew&Ohb7(b7c zy=udK@Hyr$@p9{svGOhQ-G^pQ18Tn9zhd{HLX!#l8%RsWSOG)EF4<{ngg)r}0}1zy z@U22;9BnFe-PP>+F*r&718#vf+fd7EVHjG8n7!B)~uMU$4)b&0#u45uA@O8?7Z$!i2Aep~BaURZkhJej{gCs#x~9wcKTUi8`wefCB}g;RW{ z|7*EZnzR|JnH=&L6OCpC=VqZb{L}xphF?*A$8g>k)hd?%R!;#*hYq4T6f*QS7v5H5uGqhpWo#T zr^{C#JE4iNor=qn0EEh#JBBJVcW>KB%oV>eASWu4egX-xqX z{+0Z|7!68LZxFH@1r8tq(;zsTvjRz`Ma>0N(soST{>HvHNA7GjmBGU8D8)G7dPI6h~YMgIvDfN zi-=gUYxj> zG`CH&V|DD{EYqmZIJ-paxJjl)3u{09LuA6yDqF$rMAx>hg{4vTeaOqEBF{{IJf?r6 zAYkj(BA#)(a{Vu&o|8G&f^{Uy9V(#wAhRyQds8fVT5>6&#@%h0=^1VprSJYY<#OP6 z#2R1amW^jM_Z*oOfZ#B@ec=ho%_5VJri2XthRmVkb^QZ zRDhv|%Cp*r?#7Nm)Rs|nEego+FIQ9}5*cN)fz&XH(w&Re}A~eHQFz8 z(p37kx{E7o+aawqbD(?=bncr2)bq zypG^jvA%?5pRUlX{M*yWfmu^Ns(xi#LtcTH>Rg`LC*k<;bO zAe#VV6yZWhdyqoH7%;!~xr6Elyi2R*CA#^NUi+EW)Cqi=64vpD7 zupHJ@xTxkG%Pf&Z0KNY5L9<%r-SFh>gzQ8fH*R)TGe_R1zWGVA8%ov>1z zWav~sSE$Q}r972|qV*SLCnO~sWTPy(fWsb=&Jl6*FOCh(Xb7R0a~3T;>_bLwcN)h6 z%MfUQt32s(KdGT&ao+Iw#rl*#6?e~J`Zr&s*M~MOmEw46d1eSoZ=ha~o^t$OA7HoZ zq_ZJW^Z6aa0gH@Sdg1T9QBPm8`%nq{WcTIQLJFs$kGJ@2a-<)y+ zBFO9_sNrR1X1d9*oUrMR2uXH(X+9OB!L(60&a*|TgdRCjPmP*Xd?EPCdz}??f-RK8 zR9jM}tUaDqiTYES#*u2yg`>AoJjn0{?%P0v> zH4A7Ah&7k`=QDsHOp+9ZZGDJe4S_bM%YscR6g*9GYykR71#^+pl5B5*FE5u5bMma6 zdk!>5cEOC*FDh+ERGYJitJprqObrVU->~{?mT@ae1|y55t(Lg30ggku(XOMA6Rrj- z6(vQ2{=1!2O(%F8#?~s4E0qZmQp;zRbOZH8cJ_uaK%L3aUx&{QI5;JfO;1X9L+74+ zeYmcp;_ha-Mlj0D+x;toI+I+P#kc57X$6{)j>cLqkdzPp_TyoWvd=>oW7~g*to5X~ zm#KHtw*6#133-%q^hMPMOgq=W*O}0nXxz|siSR!FRSFZGbCvaglEI5fD}EX`%W~<@ zT0NL;^^MKy5gh#dh2LNGo|oWZX~#pEw2ZBJPh*-cdBsJ|eDe&Hil8<+y4OqOm=$;~ z3Q}WETZ3QgwI27vRU;ei9yQDG*N`QXqaYw;Sgcik4^ER>n-){@*EE_=g}4T)F=XlE zVv1s0$rjBdpOQ#pGu7#KdbJ>!7|I5uGGlPe%Gc92_6Sbuf(ZsLqC9hkph@lD$C4TL zfCn_aa&28JYan`(N6EsMGckTle&D&BI-4EywyTMjtPQAkXlj1K)bYQI@sO?M^M%CJ{mM|9J*oXU(5Nu;*8T4IwDHhYf_i|f7xGvn0{Qk-AoAqgro!l) z+Tz?l6-=m4mpL7kVYTZp9!aJ;YSp~3W+E8t7_QE{A%m*m_U*^r?0}G&yLOJ~vRPTW z3}3){$7+t+;o@cH?;FkRlc~oZ<+UW6Ul^W2@)V2}+JC8-KzUu68j4h_0N0}!RMO~h z2fq_NXE~uv3eT;dx64!hJnQbOcK6m?MubD``{9#wV9VPq=~DWyrfcu1Ncvhy2I>O8 z-*EEa!HcJ11owl%x}g$2APVi@!!{F@>fZOQ#IrH}x4XA%F5OBVn>Saki&-8e8TM_m z_#-EK65Dq&PhoVeY2tGJI*R`!tLwT42Tk~uhNJqn`z`1Yx_8R5EfUNt#Lb=UPn5#$ zi?LhRdf@kx4=P|_*}n6rR=bpz&qt@q*a)9SGjEHHlJ_paqX$Xw_E2^k4}33`9@9t4 z#Lo^GyC?8BWc-lhbN+bSkm*o4*>%^XH(S;0KX8xP^#rc4`_tnj{~k2d)=QC*6sUpI z!BwRdt=xr-GXq;WxtBi$rksi%QInDwWgVNTIN|Kadle-K^!fW5YMVXnFp|(KF@Hx; zX7b_Dk@T{Ui0b1st#4>45$C#wuXOLerMZD4+xEyq(yRRAqxClcAH6L%curZQ02y5b zDn259-lBA%)^FZjaebX6*ZuhjOVnQnO zX031PyUs}7Ut$RU@BucRdmUzZOq5aB?d_J?^tV-e$|49VRD%77Ntd76(0;;xH7{8T zYO%HfX~ANtpPJU6hVarm*6ye@`Y=Lcu${wXDfMzrf@^Us`9Ns@I;8_8K#M#H8x8QA zux_4snBJ87>0^}8+qCD$&i6N1A0N|0Ox{8tvsK%(uP@(^Pu9+KubB03zt|dqKX_lA zFu6$)_)N!+3RYMyfhvy{X7aFtnkrycAWcb&SI0M#3jZrBikOpi8UN3=Jmq$>!LcLljT9Ot?_Jp22;xPXv z*pYVs?2)0%Cp|OtmjH{fy+ZT%=75U_kbY}^LMs)jk+0Nj_(&a1GC3V&|7HjL!XA1+ zY2=y*K)y3{4y?fd$f$;@jce8Ob>|{d_})W-WH*mn)Ew755eAF%)w(6R|LO8?yP_>H7ZqP=ak;M2mlh znOE%y+^KBY-&dHo-)7id4fkv8jLQne9qoCd_+vJid~u@|Ik?21a6c53~7qUuS7IJ zTy!O4Xm)vNvOQ?Rc3Q+>4#7wG(J7 zCOQ1(x3S9_hdCBfF|#WH<@OGI-)cD>4tG~Xa1TfS8zM;qUse0c+B`0Nnk>%knxQ+I zF(tjcv99G?`HJupc6FE@Qt7kdvF`R(`S9lM z8^3t{H>$>tO)QWmUS-ltO6Sudz_UB&;yk_Nj;$b03JyW&%bc=@)>@;DmfK>p`OP2T4}kT% zxqts$Wf|$Cisq+ZM(lA4!0v!||C&9~`x2#$^dItM?Rj}(j7gytohAbG-Te%%=6L%W z5OFp11?)Sd^zO4Djvrn79V?*bFHltL+0*W!XyZ!*J=xi#7U(-Sa>eVaTn+OB_}1Tc zYT>1f#Ypch&FE2=yyjkiS2PNKlNH%I5bSr^-BwWH&q9A^;O_m9uEpKSZ)kJAU@v6R z3}8)Zerg@;g!CQs8TSWa`!xy*0j~#P;GSbG%>jb$p^ zP*91?0YkpCA%k~?0E6qX@8O{`;N|YLaul2X7tB}5F6RVzFXT?#926l7QSR)=D6#IG zBxOh>G6S-2&ktRgJltrp!1W~C!Wu@JxIio;!WgnXc2Q&i=$5S|nj@sUpY77{(#FC zGQ#Dq@8@lQ^<9~=R-&by>q?#E&12z+KG1c)Mg-IX29g94VXe!t{Kg9qt3eu!=iO16 z!8*Q_3+iU7k3i;=2Zhh0Pit#1Wi`!v_pkVi zD(j`p0UUzk9`zW$axpoDL|JZS(F>Nz_g1yseB<6CeXwKY0NN&+*5&VRDnj}U(`PXe zk3hm@b|X{;NkVBcDgPI`cX?PqMX6SbqQ98U=h$z7T7XvJYeL?eznStO1RdQ@iE5g^ z;4EnZz=CB)vtP0Jw9_1A$!-Ar&g!Cb|8BR#fMiTS176*&t>9b8I7wMv-p4y&uA)^# z!WZ2|b<+`CD~&o$9`H#Xa1vDTiPOZ<1(V=S)ql^xs-^R?TIIvTZge@pyf7uc$5`&% z@ljg;@i7Hno9pPyu7N57rN0=Z)>_D1gw*VBwwZ20{t|;L^!F`7s{~boWMP;dO#lCU zEgUz_5*I^xv(wquh5IsT1ps7ex7OesahR;kqS*e zD;?=){MCb+&U;SQ2aj|)_{jw25wTR?+>Q~_q`7abLVE?SHuMbfmtFCOqUDp~n9VG`ojhOEBK6hZ9Tll>}*)#^} z*6^{$ohzJ+k%v{`E#>6SOf8?sro)`MGJ}8@KxuN$y;;GRcWa%>1LMX3z2nAx!>o;% zvsNheYu^SWa>Hm;vAd9M11NRcdfpesR5_!3Uj^TejcR!KKH!xA-VHA*Zmbv$^&V5! zSLGtvFevH*y5hCE4t144u8@KZSZ)w-Iq@^n91;!TTZC{X>&QL*$`8vm+l@L&`CJdr zp4n!j?gk0aLJEsPdMFkJRbZh9`v(|H0-0a`(uD6@J-RRe5C|8}Xs*vG@O>r(UyBh-yFQ$xo&bxRo|*X0RDVpW=J@Fk}F3}Ky6UqH8#q-aYKj|9ZU?US^sQt)RE)#jH= z^28ay_ztSWy$Gt7(j@i~+EETuukTP+eq}7Zo~G?del4{rZGoVDkw=n?bEa|pOOj)V4s^W-RQIx(#W>Wz;eC@8l1Cv>4 z)U7K2D$h}385j4|wm0#cDy;2MI*#Ughr z?8m>p#%gA+sh#EPUBs@@?@B)-*cB-h!UXW?ORbbDW%f<}-HYD3*5W`*=-=lGN?tqg z!sgTB@NzY56_gxX@7rORVEzt?c~AW@nVIJO@UPfC-E)r; zEA81jr5sqt2#NJOO$7QQEb6;Qc7t|T-2IXwQ~J$$vki>OmF2_tgPyP+C0Ur{ziT&; zbUCp#&n~AY5wq%FBJ^f|;W(@F%b=UNwWID9P_&-R;N|`6Y<4vLaZIVKqRZv&GN)m8 zRq_$mn&=MO&U@#ZK)%p2tS*Yi=NdDGD^46hgXRt&H-!try57rm5)2js`5vAVHCn?k zr*Jj_XO%ztsIqf)H_;Oz0p^knHORwuX_SU_AIb5@*Uqvso%_L*qKAzDqXQ@vW!CtI zZi}UuZi{1F%A2Vc-wG8!ao8~k+ew4PLqMpoMBfPebE{@TSv4bEV~T^%Th$MBmlsv% zTwVW_gsA%$kXz9@CL7v3HXr;WI`chpHhXWXUa-2x$c<=1f3s)vSsdor#D0RgT+%XZ8P0`g&d8lHC52raso{hAK+hu66<@HJt8jQs07f5) ztE86`ZzD}Ebr=-r%Yhlp`aG=mPo)w=$@W4SB*7lkGpn5Joezu3_SboT?6ypSzS5vw zlT2{D$#2r4;WVJPFQlxS3-WY-w)>Jv|ClDll1G9bo^(9gU?$*iy*Q3sos{5>f~mS_ zqgrxVj7Qg#nxR!wB2TLCun-JO%6qa{Gq>ek!IRJy=Z*FPS%5-sbe~o#td1_Xb-_k3 zKaFgrm2?GuBFIvd{k26=M?;N(!O$M%>>~(r2yy)Iitq(Z{!F)tYCl%G55!Jy8PG=* zhBtBL;@O8MG)uK07?Y_U$!Mh#ahiukc~eTuW5oan*h$YS0L7tGCcM~>O8q!R@)IOq zs5^XrI}3pRHC{82H;wgKJ6j|u2kSTPGWVxuL@Z-j3`wVH-R63@HwCTacJbS;XPn6l z`}BXGA~?sEmk=G!Vlqb{Y91IO_iJiEAd zQQ&pFKMjr)+AidpkcUyFm2Vxp3pbr7Q9lfNR!BI{>qj80j#jx!QAdE$Wy2J%&C|HO z$nW%Qrqnx7jn>siP1#KH8ugpjnTE2@5PMYfS|hPvncV|i_!Ud~;{Vz0D|@+k!<1Xz zRnJ*RE6-0sV}Ns-3rXzTV@?1w=2^%}aP8!4dt{ZTUFYG*V%>K*uZRND+^KVs0h@-9 zTp_cd=h8~1-B$hm+LrypWJgKz-Qi}Kb75v>Pb$HWLG;Sma?g!EHO$1M;pK2ck3 zh5caN_WaQ}5l3z0)pz>P{T{S3R>kGKC-ZOto%lzXcf1Gszh#dHXLRM`B*u(;axE7h zY`--a0I^wftl#3D|I735m!%a&x1}!sd-Spw_R{}1&-*tw1Yr38SNf~{|CRm^p!eso z&d%tj&VlmX!$V(M|JHhzO?*0Rym}wKMQ88AhWgdmz-z{N9EUkWW zPO_EFZumD_KP8f5=yRuG^@)4T@L%{mp`E($2Fbt?2Bxa;3iT^QyT*4-JVW_eFcnQ} z8n1xp`384NN702vPbp`_R~jdp^Ds=r`Q2ql7+w0at5`Yd@Ve?Iw(w zL1_4NJnvsla*WDRcrsH1bG1KF8r3#oN8({vAUYGZr>XassoUqxcj2fUK2;t%pfamG zK3`sjab)D%syv}4)8O(F2a77MBlVuDkf5=Jhxc%-eC+gv0g;~F5L{;6Un#E^OWB~Ig ze zi-J7vq0JQoP_Ea|>_h91^gjNM(Z$b=g@ne0>i$)jr<2xHKQwf!{Ne33;b-p=D^q#w z(QZkK-1>?G{_I?Y3I8 zVF86V6Vz&|XV@{9AnE(&3Zd(pthXegv1LhvJ_pMk%6&nQ2C8@(x+siN9P9rZ3e`zI(HAIr)mdq4eB$3i9P9tLHSPF|r8yw-aDB`oOtH;~8Ic^Z*uF!I%QKr9+XN z>3@oqxRA3D|4QIKSMgJfu54~+h1+Q6p_F(ysA}DE0(j@Aa`23s^>~I;&=p?$f$ybn zJDT46NhzIpuxEx`O~;#g=&SXu3au0}!}inU1Bd~apT^Qx#iAn@ADo0LUn0Mkq4eJB zcj*^{eXm`xhU+hmb7cop^5I?qZwiTaDLf4L=_kClu}Jqy%fp>?vF$kuZR5%{;8i3Y zAf+0SLa@|p@IOXIuRj0s4aUkIr&j{EeSN*h&!j)(A6@YuK034lSejP~^n^_k3H<+Z z1cu275;ImqwR?qO!Gg(qf8&ese}_xr-PX`haN$rtk%Cx%udv#0SzHqHWAIA?bnM_^ zUYol+PaIPF(?xfmk;rzTjdSto4`rDGu#~qs*7k4ELz2CMyiy8%`|92H+gfi>Sca>- zbt`}wtIdja6Pnen7S03K{@=phvcm4Ba;3ZKcE#C2a32_^%pFiJzmObopw*>0T=8X* zPi42~0rZnJ_v!WeJ=?{hB7yd&&#|#yWX}4v#^&+M+%$7hh&NU(_Vj8jCD4NXg%XA1+#%fq;nS1zmf);^sLwv7C-LSoeTdsuvC;B z{L`HW;G3FlN=n0{!eLMrBXIn&fd3j>Wb8YorjrYaGk<0_Y{f4Xfa~71v<_9ad_@C{ z>jz_eB+?zypi-IcOeuE@HZjQ9)<_$pC*Q{72 zjFk@vs>19GkDOaE7BC2b!N6THFGjZwZ&dLg1L#3yD83jtW#meWut6hC370Al->c}c zCIKRGSe9z>?@u-F3JxFw_>~2}r(4;`Y{Nt%OVr&;sC+A-L<#eZ?rKAjnUR(2Zx#J@dDZtY3(A zq&t!u#Lx3EMKzSK5C2f_d|d2uAuT*`mu#WJvJ&*GmU!27zppk>94?$`@p-7eee=1H z)pLkiQzI3ymGRz<|R^cjlYcsFbZb!qiyU zXZ2r&vPQ$eJ2<}I#ZTN;<(uj`5p-I@b@~?mL{tpdiQ!n97`5!*VzN6igfh;loNHzW-lw`otHBewYUL$!@U{WtM6%bAI`h7CdS+3Ci%6|rUp3e z(*1#)#4`uKeps@tKjL~baq@{$!`?bP7KBZ2v#qHhc&~gNLL-LTR$@EnuY+jZ44fw# zU5Jzz4n&RMrfgEBeG?FKoK|`pNqVy!nbtGYZonIs_Q}9R>4x}fc)tfdH0GOT@UCUD z`F}N$causNaC0Uty+}2zOTh%*JfnKc;0vo%3wC45yS7bVWm>Vl(mu!?Ic#X$9A@2)Dn094#o$nJO1vmzR;ORgQyshM)bf*QJl}8VYYgie}H5Q$(s@f%Nvx_4q!KnfbqcgN|ER?ttqW9eXqkv9EG;e&*iKQVKgA5 z2DIhh0v2W8C56Mqqg0)2>zuS#5)^0Yp0|RqI}#pSZw^l%Zj4@pI`g9v>6Ee)hpcNS z#4_Lrb`dV?Fe3AQIq#8i-~=+b;!}e|^-_@OujzcqlLdE(r&>PBJ4w7A9*$#6?id|E zFFOBJ&=yAL-631s8_NOxv2pr@4sR=WY||(n?nV+G^Jf%jh{qbp7otX?bApZ5IiTa) z>BhMxh_5BP-sU!ekIaiepLOG*}kUx>)_?RA*>?1>@) zUW`m$UK~o9_~rXK#EK~e+rpRf-^L|;TYpu8{gNw^PckwVMQzj?diwZfaM(Eh2TOGV z9%eArR-Mm7_CHFCenNNG9AV6i*4??>pF!UOtfC%L){hK3eHeYUf{ynP7fX?!P{xZz zD|+Ft5_!guB!{Dc%Jj-v3Wp0GhHbvfCV45cID4}%XEdCjlj*N?xSTe{jmbon*N+5P z8<7VnDSX33)^5bFTGK5e(3t_XXk8rqNHH)L%c4wShiIKfo6}{C91~b~IlfP29zut2 z<7u37tVK?{L#QYL{TX+n_!*PhlaZrTW1OO+0!Wa1@td5NP~#Z`7ReF zqgw={PR|)dBv%GGkKs#okurUiGJ^ST*WgOQPy)-e90jhF#uoJwirTMg&l*0|Idfe_ zz2J{{TmqTrS79;D2pzB+s*6nY0m}Gj?e1sswg&S3p_uaZW-Jy5yD{jF>FG$=B&Dee zUA@hgVTC6h5-0#SLDuVDWtRIAzi)6Wo&8(OKl?k>I>20YK3&NPlO{Kfr;9VsnaX!b zLf5h@kV7_q-U%@5)I-Aq6L($}>I4Zweqh^V=@9duV_jK+Q{FPQ4_VJLn#(csb{vPLH(dIA&_Uj2t z5brx>0{VI z1I_5LxoL%7BGc}<$jC4U@Xy#wwta1GCv)D49Y!6#Gk(XFI?6J7cUGN(^bt7)opnJ3 zxoLGy*z0S3^4C-Qu_f5eVLQUl84eBj(5p^`S_mCeprC=ysY>f!8vq0eId+?A*t3Z~ z=Ik+mI;bx%Q<`5v?|k7=T_k0$bUnZa@M%%`v8xJDaq?N@u;sC}3c~$BitJwrD1|M@ z{`;dsF!ALDr{1$v)-Rz8f*t%R4ArTUsWPOCW`CHmduzHGX~`iDrPu z-f5oxST`1E-6GBlJn~gQPBj*|b5dG+!6i2@R1ov=*Nlk1;M$W;f295bGYyfMVvSb7 z2JWpvF?96%_ar)!L~YojpM`I?DX}(EX4pb*=#1dBU_xu#hhaidMiamp^85D*3 zz1~AA?F!-uZDE-cRS{jVbnw9w)7&4C61zX(bnc*fqCDi^@fE`qro>)>A`Ovn9VqOx zleci{F%$xnb3_xzk*u0U+&2G;gLKb*#oVCWR@S0JVpb)!66FM)&?^&SZH~#ke&$B( z3+rn4A3dQ6=*1io_jW&=dwu0Qk0q(*%2C_TXf&)J+kXQ^e8CNXMZ8Lugqbe6H>3#$6WtsK!gk7hNtDezNW zcO#O64bcRB>J(eRk*t{0GdXN3Mh%vEunV#Ybm&ZgGkm z8t!UwFv5zCnh}~)0nW5~)rl?pWa0l^yY;8nLd)Fgx@A?}A0mog(G8~&u7miw@*)V+ zd9H9vg>6A1*R_HsdB?>K&T+8g(QB}!c%ohb%(+K4GgIH(diJoB{XRwQ+#&s9MJG6= z#a#Z4PhYfX^sbZ6eIlDd4f~-407!)Ol0qtj4N@ec4pbkFRb&Vkz8c`LqD;^oxsxS3 z;Ezsz`e(Y_>AQ*d&zyN|HD1CAo?nt;T1%LTIz$}Aa_Bv@?%yULBE9<&Ia;*Wqi^7O zsB2(h52h-|W2VuDx8pQmFoH1tU6H3ibjPh+E&0_HF1O3SGJnI>DCfCMUujQTc8nE5 zaGn^<1Cu32e3sfBO_8?AznQ7zUekrglEZH+UBiC{hO}68|GOT0JBag)g4BKsYI0O# zlxUIah;6-@{qP2^*o9swnK-VW>XPws=e0^2DVv{WWBKwtPIaav(oZ@7&*k*%i)R2R z{34qGhxtv8qg}%t93;}Q9g7{zQsgI&Oi7D;7R-Pi*Z`xg(eL}N;^R9!tz;$5 zZ*cCld3k!heQ_!I!h}5e6ZowhkQPRmlAC66M7$6U3*0s1Rz5N#{q!zuD+xA#lAA7uQ6WA5mkeFyi ziN07cmULXy@z@!pcRzJrtbYz@`7&~qdj+Jq&|S;nL5@9Rx^c|^qGLPN&1|21^C`>< z)G3eyK6EB+e0GR|Z}l&);U5Njm-*8H?D_p|-uSCl8i#%YIsaP+i&w6Lv1mm^2AC*5 zl{rf<3fOu0b0v3wd^H&DY4PL_it!Y!u@L@u#tO#BWrLaDG$oy{10=)3z{A0#Kb0am z!i8Vq-Mb31YkPw4Jau(<~6AF8^STX6i#I8l@dXjf` zb6~?J`5-9Cf;n>x2-O&DLMYohSeL(gB>}yXZK%`nX)e*Mkg2dJ>bkpRp+p8X(moD1yG1Dl3~EB30U5U(;iA zJSpLf**(r*xE$Wp;7TkCM^{h^JT^DVq%X2}N>HY|k*!Gg*m_`XzsE|Fn^Wo#+Tc)I zY_2p$^bt)q65Xr1fZVj#r5vF&isJrr){gI9JRM(?_ z`T|a;Enu@E(FIn_(rf{@F{^;@?2&lhi{v} z!}&C3JXD5Huco|A^RmDB%3;Fjxi`{=pn{r<=!&qV*aj=Z;k1pH&aBINrdwH_vxv)a{@`6 zPB}Z?|Lq34Pk8#g{0=Zt!;Et%EZG}}M?e?lev+_G!q68Ana=Y8$2>Xl>pnfogi-2j z05YE>Ke?vbZ|(yZTby%iqSzoG92ZRof{2_WZK6rEN;PdECGFYI^W}2!l0WDUS6AeK`#F(`R6SC!^y0Sw}eS!C;s?x0fyC(#0Q{ z2|QlXdt+|Sa+Puy5SILKqp3SZAgzx%w|;U=ugK}X&Hr)1MA zQS*6o=Ziflc}jNy-Nn}|cRsCT2e?62T%zDbc(CSS7Q(a(TE+8CM<1}+ACZ;H+xpuR zh9FzxX#|_=k2Ao5x1Rk1HC|t1@3eH`_TO<7`@H$(Y*FPIiY$O+HXs zwb@vq8^Lmk?pRsEBXMmOl)urGH4#Z_TO&(mwy_g#8$f}J;;Fe3GXIP&Pvny(Q2Zej zL?EtZ^&QT0|K}%X9FAYwFn=tkQ7=_v(W`m`{KcDK>FQrvsN#|Vz2@pmUnk)(sAKXk zmyN8P)bsZ-T8SRoBG*81c^tsOPCWKniKamy`!(g_i$|;T%B+IMYO&ui4aRH87>&*s zr|Z(>f9G-hkukI0X=ts!$JUnyul=cQGqbL~2I=yV2xE6{IZk?Z86u*xW~7*=V4SVq zn6n<+|FHnVEZgg=7+s;wa?IPSHeVZgJNbsvaFNOTb{NPEbj@k?@uL29CyrXGm>rIh zUNPNFqU?O=Xtj0{+cj8Nz72}h!1xCXrw2{a9MZ*NTN6s=QUsF;J z&r(Opsk_o5w-w^?I^y}aczInO z`2c?VwGYhF_LmGW$*;o*Xn{y>IQVcgSOl#_rz9;Vb)t4(&ykL{;+{;i#jCeImz6rO z%(j*Nu47E!;{WUq1M_wjz4S83S@%8)t^6$Z0EP)P`%`w`Cd=`%5&6Dx zid9<0woQ@<&w1adn4O3btiC;a%Yh`Z8Nc z>a*ClqvZD`uX3I3+}qijOLvRMC(=){fG=u3^aRB)YF0NeJA>bfd1wpmEkMZ(qH_($ zYK8m?IUiSOZq5Z0v4q@K)!IhzyafMLi}4K%tG0qz9y})Qi0mryc-ys%3jFqYbWk_r z+P6%(Za?`1ChZGx8Z0v(x`g?tCpW6GSHM%skrl+4e@i7qUQ#ls|6!opbh_)hcd2Ec z)8B|^c0C|vWhnCTxvAd#mr3oDhp63^-i1b>3zuOYv!?K#^9fqnn3hKxjZxm5P`u!* zBndx9r)t2JZ5_HvQFI0N!)S+5tjG$P6%|0h+1uXKxz5IOStP7~lwdYyrR27t;k$E_ zjPlB!Dm{2nvKe&dVbvjB5bgN@TkK!;_LUKLUgWz)K+)byI9)yzA#@hyYu`O^jdQZ+o7DUb~-EI zwxVt&K9N+c&U!a5CoOKrn)I(HbN%=MWccqK%Jn;}3xn*ZR3s@|us#IbFPF^i5HF3G zq>A-v$3JPeePl*FeP9nf{ndm*AQsyNCK0s|{p}NqR*d5y9Pf0ist;>`vZdmr@hN0N zm-0R_Y^>xH^GxK2XuXyUH<&oRmf^B$yM=y(LWff5+DkZ^j%P`QK7 z9dYykc9aNPT_bZYZZ}!@1bU~MK zUq=M)+;s5aQnb@cWnsB~Nk^5-_ahooZ&!=%gF?k%$}H6=7G_WV;_qTo0+=XmBa;t> zt(bcym09O=pHX3r;M&^Vcyf=PW}WSh3hiSNgRx1OuwiJuhnRu>>fsr)BZZhM0Z&?~ zC}ILis#tj^W-%=i}!t<^)o{R{vYJ`WC%lz0tG&019Edkxx$*J%y`FJ zvT23cYd3`?CjFLAikWOPc3E{Wmfzz8Cp0HBznHF8WboSkvwr{xRF0k~(BIOzhjG@m) zi&{{MrydJ(Cnh7jOPcui2LEJbngUzaY}@?JeQaNruqP#7MCI6kq!;}Tn?dCCG8oo3 z$*~t`HWyfag8SBcli21JkK{E!5cMRS{B|Rp+x@f?IWik?PLH= zzzXt_BVJaQa{i6C?`(EW-U2U@)(!1)f1euq(drAkEJYj2wijh;ILF>hSl#HmgKmHD zJ_XFep%m`KG176zo{(|KJD-8w6rpq$1dwy%*^gEAPXDurjT>1;3Fzs$j^DG|XY^-o z3Z}V!sNHFwoXL+Utm>_>+ZprC#{9aR$A$6?_sIRbB)%YJC9RCz>Xj1w6p5A#mm?dx zQtCTwzO}F{=2KN%5uK>-u!D`>m0#I`mUOBd&7t-_aD$vOOxrM%Fpx zs5E1l@)mJ;Wc$|5!)$?rm#%1z&P`&>M&E!IfM_WH%}lmX+<4&MEOdUL zrPS>H?)d-k^p*ipHD0v$3`0w(NC-%XfRr>ygLESuQo_It9nv*OgLHRyhjgQ)bPwI# zJ=C4&|GxKrKObk#-e>K#ev2>apcoYb?PK}QNob$B}GLgJ~o z+39n&g$hhEYTUE5WUsQzy;OPD@F)b6mXTF!6QP7dLQpn2r|Qe;#7W0dp;&ah!$id8 z!3IB_PlCG%h|^DEiuULiKei4Q)xYxuCb1`*hCjT3kHA{UB>In`$Su6$Umkrs^-bBT8eGd^yF&3(uSJPjbyL9PzXsMGSjnGHcj2h*JdQCK3#)O1ra1;Wvb_Kbk zfnQH!d3HQ?(TAIs&{=@JMP=Bw340a*36MKrokkk3@cDj6q%cwhq2f&7;*of=H&K?! zLYBbVcA1la7~%Ms)TIFO-6zxOwYV<~y(koEi=VO8uFOC2LUp(vr_%tT=0zmp{?kG& z8*zB-VI4%l1-kYInloSc+#faIjwrptlzrAVG(6G&Bc94FI)!~w{AM~Nh1bY3=`kv` zl+%?$K63nZ?h|(9Hy?MN&(bKN8&iM!st8D71hL<&5(3h-F)7NzQeJ>yJ4Bt^QWgtlK6WS&XUxoI6J{v_nnI zv#_YCrh87c7ij)rOdb9M7;amxN~M3b1Sc~iJwqgNzDdrf)%Otk21nU4&3~5eARc_$ zjG7#uek39dF2NMqV|?4luzEb`w6ObNx;r6TJf=K3=#~ko9A}ol>=EaoVJxZJA`Hjan+L9EtUG65frTv|)Mo z;9#)0a}iNBrsA(=lv)8vCW$Hg+Y-=tdUY^0HN|}2NtWl<&U9qCTpadyI47qV zcpPyrU{=^d#!#hLXPLaPNaMeXP-V$#oS49~pL|=x%s3DyUSn7wbb-rTGBFw| ztxFEBSeI!07j(GOcuN@lzQp^TuX-qrG^~QCP;Ti?FW?FIR&#N|a0urOtOU|4%rzy! z{)3i0rP!h#GF`g|M_e6D3@U35@*GKdi62Mt#T!85+I6(8IlsiBy&(RiFe>t#rYU^o z*mYa5=@o{9p%V8}~~i6=ywNySxyaaFJrd?E+XX6ESO{qVDoTeqH3u zL99P=|(hVXDapS^N8NYOf4kMo zhlTRQ^EGi+JzD5VTBfA1VLy_OIq5ENbHHM~}@CHW*y z^F)fpei>YDgorZF@D?6Uy^rJN`5xu<)mc_KQVz6@UC_J_a#k+%tW1({eM!vh3O9dZk=-68PG`1kkmF0rbm=2P9Ido)e zV4d2w!SPdnk4MNPhETy`5D0f@25w>jd#Z;d87$@_vBPUG>J#8DCQNlvleEAP9O{C4 z?=T*y?{6!~);!mmX*ZRN`fB zES+!{DhnQi*znyynv7s{cy0^UP{xa~SDknt!8OkEVsA-P8-REd1>>r1SvSmHqsL1g zZDP|S=?iFO$K!HQ!ZVtE2JE_XGkE#VFbiX;5 zBoAUQU%7Nyf5Tjo+s`^E8B+lCdFOHp1onS3RC{)KrBO{_6uW_(X|f=>H9 z(*NuzxJ+*($C@Bg_-^}3Z?BPVDCx(8>)*b;wwvYH0t-j}LYGYfPILF^VgC?ar(AEF zf4k$luQ*hl#+Se@UK3CHiA_alU`Er5(SIwR`OMSaVBW+l55&L^FXefc&kM|v@07BL z&V2doqy;oBjs{f!)Bn_Mq^$$?f<2`7_GAo1wmwf^C1$RPn0r@je=YYe;X^)C?2v_C zv@AW`FrB?9{W?;8j7Ok*-6S;hB}Lq=U7 z%b%P0N9%+1E)T^Dt%9LIBFdX7K0gDP4!(>YI(DmRTAbsLhxhmWVOle3W9NE9^^^M4RNJb%Qx#2a5&0;%G+h)+g zchg4hp^5G}$Zl5Mm>GTzyh5%{P@}7K=V%Rhs4Q8R*ZGG9q)Zv9twYnCe^PLo{Yt>O znK3hJYg2DbJ><@j43m0uEhu{sY3_r8GkvCXK427e4G|VUUt@J*LN#R&BeWPk?{<;w{@RXJW8#g=b@V z$*(xPomJ&eCIHSpWpZUo&qii1#C)NybH}7^G6Z`hg`26Z`BDQ^62}|L>WwV_F@&mG z&qYdwS@%U)ql1wSx$oXpe1x!l6kpahkDK@=fG=x+6mdN`TKslqYAWt&L*%Kab3fI# zrg##C)dy_C{J>H1I1+^6}g-0P#$}A>nVxU(mD;}lrruS2&kC)y}P&qiH zU`u^k$IGjYTLX&0D<}gsLOQeD2AsD4=JrU)6v(5{IA7*X|Nd#z2X7A`V zTq#ZaZ@5`o^$A?|zxo{jl16RkEgqfQ`av(p={8fAT*E6o>+C-6k8zpHl2yK>tUKxz z<;6og`9W&tMCMPp+_K)4m>`|;llYt0o3+NEz=UA`$;>u=fZLXHz8)f($h*Zlio&5Bi`Hv0O4Gng ztdXfE$m{F@3@pN!7+Gp5^9?7uCbZ6*ZIk9zR{!K?9Ku!ACl~8OmHc+|cs#d-`=Od_ zc<*%-;X~Z7hN@nruSwrlrkyINw(S%Auno`djw2QNTrwT|9GNc;gJgXA6Aeq+y(J=+Sw+~f^b(XWoGw@&4PG=5deZqUYB7?cU zLoE1NBdBei|9shUC?#J-qdG$Dtybs#YKh zZv;+!MkA5DKbku;F~DneF^uIl2bcgk_z&uEzkKCUyC$uk4?Y@=rjd5b;t+LS#!0eN zq5RR8#q#+~{2n)?LPZhxO}uGes85CEZ0S}W$>Mretna6+Ye{RAK-|&vn5%SBHeO!d z`FDVnt)Rzy+|!7o+su73w?-Dt0S)ucpbV>Od?cA2b(BKC<) z;V&LUT-?v)d*8OfiSQ$8nS8_#T~`KQR5BG<4wbP@Dru)GsT{^C#O+Tw1l>8*{e#L^ zx}|8*y_`B!j_kMKvXGA-c0Vs3_?jCc3sL!iOY;OG0^*T$Z}`!I#TGc(6xi-218pEi z!<_<_Yz7*cU8>$Z-}oJNgX^YUFh$`9vZy>u#+>=%DKAMrrRItU;V3`e<4t`K#h;&T zSq<@XI+(%ipQDaC(cLcypCzSNn3^wgy@E4a{8|rxDZ^X!(HlT;if?_Eh2OogikId8 zUW%;na80=dUFA`RM@1wyB<3h4XmTG!MoL;HdsUm+_DOM?FNH;wPZlJhd`@sh1j9Re zZsnmDaNUK$?nZy>Ocwl5H$!zVQtV*H)j~8I!>h2~_8X;Z6MDwt7&{_(JMO}{37@wN zpCm~~Mj<(}q~j$X2(iuTT9}wZ*pHJ>idu>h0725-s2T26shx`P$~CDaCvS!8BHWk7 z$DuW9>HR5NirK3evD$CvgetG?M4f%d83jIE5GxQ_Ei|(%HF|Roj|U3YW~OazjVLU3 znjqeAJ?xr{4#oAfdkrHE%JmHY@=(3tIP(CohPb#%?tQ+ng%)H@uOPkyNc-x0icvY* zU>=$O>*T+_%44D8kag-zekcDX6xysBnn;7MS<;7xx(jjL2r9uFS0bC{UJsZYHQFNs z1#}_Z)h2h7*q-y{_RUMTb9&Zpfmiy~++pQQ7sMmFmdOLY$l0>Ln22{M03*rgzhWWy zurOJmTsv%}AjS5|et$~V5|cAzMlz*sqj#p5@~w%raGj2A$y4?lyLcKdk1do{@F^|; zTNu!Mkgi?gBHcKe`H^@Jqr>qMsaJ9vzNzQ;jJToF8r*bX@Y)O%?9b%f6xkHqQH(`< z5XiqdG`^A)m?E~GZ0_$gbP=Fx_6;$5C^ zt_m^pbUsI;KL7pd{?QdC{YhXgE_CwuH-62E#I(@-S*VoY?H-Ipq$zz}%$>+!$$mBH z!8mBq>q(2gEnBNrlYx6k@YQu?OQ{GM7bJ^5bpN0)KM;XFbmf$+$oF3FUl7-Ppe7I1 zfyx;{R!i8RRg361K-=TDg^kf%C7*lR zhqb^go58C|1O2+46(agUw>rWCn&Wr*g*0x{>x&+STBV68byJ`1=>L27rav?uOg3C~ zH(D0p57ctQO9lQ23V#^7m9PFwW&BXokK7c6LpaGfWob%j%ZQm1-$lep#NvxaMXlH@P{8C5^Ls z3_^)+Vy{FCmmA%}fhLpK3(^Oj^fh>tXL?&#c1 zg;b%N7~q$BZgR(b49VCW&Kbi2N5ukl@3BrlD51Y5!7=jAVloPt!1xIG19-ztRUFM4 z^}29_=@CZ(P_p!Lw+le5(%>;lfxe`T?IFH(!l07fBDzL~GG8GpzR}99rd(LAR}c3` z$)Xn&O$}Fcouf|kaCJT`-I&P(#=(7z4Ea4Tt1x_U_}MD$WcQ91R?_eW=xAGpYTx3? zPazvD5(^Y!SbEP0aM%Jg!QiOrdUe4;VVvc`T){jZba^qSTP^rN`V$U9@N|-}iQoA$ z$(IH;b~Mp_On0`?2en@IN-nKn1aE%flUwwb2y7j%vssp;zU7M4t4HpW@C}& z{f<~uPV~eW*-lR=mE-SBIPN+00?z^?y$%|!Y0h8Sm$zlc@)7(^2gwJk1xyQ)Io=# zG~jJ;!e!cTWXYzK^w}Vl0hj2+0|M|?aB}!2Isx^qvbqf@IAw?WK)*=(on=hO{WY^o zM^MA^&uTd3^s-N~yh-ZR0u?gv(GugkId--9ewYE!dsoF9V{;t;(lgaXXLNR2>q+j& zLOmk6Np82vYzz2M)CC6A{d{Gw=uc@Hd|WX_BSYdQ3ze~>mQw1i=9z~da3`jkt?c3y zANI-m3aNDwc(`V*o&2`}JRi@`MV>E2W`f1VoeC2EeD8Q;{nbi!62pm5G}QThsxmIg zoFPnvv~p=WsG1=HK|8>T%r$HRvqIAVaIk?&!`CN(InJ#N=M~~Ab?-cWXxTIrd@ta9 zIQC&g_G~MUdvE-hg09NMQ@o95JGrts)r>3{WU56tmKYD>wOvxtww{=t$|J;0t@}Dn zj6RvXXw2Cbf>N@W17Uc?Y!}sS zx#44ExqlNBG+RZAQm=Gvgbm2@hffPMgt(dp(4kA0-qgwnz<#pFe!9CD-YP4`BZ||? zxL+Ng!<_>^(j#NRpA|6Z(T`Mrk%UP>^Q?G>3H)H)S%z($5oirS;J03bO#_QE9jC{j z&Bf+Gtg4Antbqy6cK9Trioi|0LRz}8(--TFEZ{V9W81&0gKrZmzcjKb{A%s-YxxDl zsKqdDxEgww4rE7PKOO#mg+3tN_v)yhqN8cY6TD)cNK6af#n%mKM zwiqSUPle#;8s^QP#Z_O!yITvTvEK{}yfY$CTG=X7ccU*64bo}8J$|ENFSj??sA z;Wb9NvQC8;JKp!r+*4iA!0tT_*<^hi|p@|V$f@X*9`3Ma%u&VApi(o)<(VOuihQPhEvIw!?m>m`K!{MsIG}cd>?| zb$_3my!DKOcXMc6bIrK2Fp9)|>XwAdkTBo8!F(@->*HxSi@`lagf9omZffEy*Y8-C z7q66Y42pE*dYW5G1u_Fvczq+6P^~yH2UyXfjg8itx$>K_PT%9D=pIrH1IO&eLGB4} zIiuE4f1r_ov#@Rh6s5S#fQH&RK}*_x*(efkFO3GN63jroy&ReVTu>>_7Q;6z!*lRR2Ogh3_*OJXMzk2h6_0HwsA5P6K5)}843^u`HU&_=@ z90I%A%PlRWhbcjuEGfT-ovq|`6p`%RwXJUK{QXdTq#PIMLX-g?@jm`rJ2NK^3J{eu zcPJwQLLZfzNYSMVC>r+dM9b~7T!r8)AZKv|&7lcJl2)ila zp>?}XHea&I`g83~OF5?uW^4L>=a7o`GA_F{S_rO9-w-C;cCUMX*1Av3pN(H`YFgQ1 zirusmnm|t|MFQha7|vb$Ga$NhMBEg6PYC^)V?E@%s5Xo91^8YztX^Dr$;0W!A1H{) zYo1MsJ~OT;-d})g=V!jdnxU9jsb9l@wR^xgYze0vIsrOCc3}FjZ~oG?Vc_3} zA(1J5b2!^_&9~#PvC9DQ44nwQ7~gw$kwI)NB*$*8v)|(jIVk$H4 zr}IWGXG&@XqsZ`TZ<6#^jIRyIei&}pUG?U6)aEbnAIK?9ETpnW9??*d-je5=;@Rah z7$=M3Q5Y0%aFq;W0#+3*mVDb!jCDuTE}g18R$sX+e*@xQosw5G`CT)_}uS z@cXwu`(`hpLC95`Y>Fd*0%o#mSAU5U=LAC>U$Fvct6yP9El<_)dLj1cKh2^6bt19; znLvMR+@9^7CD*3)W&uI@xN|*5pUh+d;eWe{RwL{Ga@N)CX_}`Cf<>oE6B)yXWZgjQ z;WZOlAXA1=`hMN+WQI`C#}8Rw?Q?{>I2RjV(*XwZa8Z+^V>i4@BKs`E>76&I9MV z!es@>aW8k12kHn?Fc;cfTr7x0Qf_$l2$He>dOso-q&Q1o6)Ep;c0TzTJay=_n#Xbh z1WcEpp(7Rg{KbmDW_lwWS#CB+Nx7h$i>s8e9851qx*gB>7-@GK4LQq4+P59gz=nHTY3 zlVC1t3^}6YskBm;voLuBwnn&GL0A+!S9;|D7uL}9o)4gh*W$~aBeb^Gjk@!id3qy; zR=3;0u(Ez(MR#Enx501AzLLI0%<)oaar_xwbX2W^rlRTBw+k=4*aZQ}k>7(t)+v{V z=(x@Ho&cXk#h1r?L<~~oE6G8d>CliWCF!-@gCwKuM-|cxpaeVa&+9AifwMbD;=i@2 z88YM|_CLF(0?)!TtO|#b37x5xpPtg^ZB2$wegkjnmc~`nsjaQs`3g&Yr2QIG5%-nQ zo|oF>aUu0md=5GsnXbL89iTyDhldkH3iP4kqHXqJ@LV$O{35rr!-J)-fw1CV2k-~@X+&*E}xIa4W8heYJ7ghaom0Ro=v}Czh+Sfvm|>uS7YOu zCXsip%Zdd6cWyo{U$%W~U9DMRQ|*p6!9PF_`ZROELLF3Im*%M5dg568!ld1*r2Y1M z2#L+=O1-^|E1>Iq0rEso#VH%b)HWV#SIS~MbH*-1Vcva@EA(pny`8N`>_p?2QaVr$ z4qG)tUoRT-TUsyHTD3b830E~{sN)vLx!95p6h(%O4eZ7%N(xZ1^;Lp&)-2-SvOUb( zBQx8)#24+z8M09g3fuYyn3`WUhbOuzO@NHU>Vf4)n6j$iiN za2onUI8Ctnv7Sn+a>7iUj5n{g#%E4;?P-njsY^fzpOj684u}x&F-^)(pSlaYBFpn? zl_XsOg!YwBOYp;P>nQ&Td~39my_u^!vJgdmxqQyZYl>EGg)P1S%o8t-?-H`d#Q0!F zWmpsT%Ybkf+Xwh+ZmcYmD}T)Fk3qTww!c`)B*$Os&Kar{jG<(64H)B5VCxk67->*u z|2!XyUc2Yj_kJNRY%1_~Kj)qTRe$!|!qX(YuE29NU(4C4#4)o%rQ{OeIgYg%FFiMA zTnlr`c=z%Lw-vhb>g~3BwDt_U3<$f>#UYBd1h)pQBI61;_3eD&R_r=lO&l=-*vHB3nn&c_??*k|O(t+d+^j2VS70sf)XkRmT=_C+HNe+pA zd?4<4)5zOLfTED)bR;KiW#csI(BW3Qui*)>;&VQ4M3gE`RJ{8@z&@!e?j`=8ot7K5 zQok*mN1F15YtfU&BjdTW$4>Z5hj2j@oW5Ct5pmMoBbnBpDr)76Lf0GEhz&7-5>h(fSMvhv?V}<=<7lmiwBkrZK!`kk+ zpT*>okT08YPMy~Q85qT+6{wRSJ;@5NITQYg)hb<{&5T$DS@R9~Qk)!Q{kkhsqPf>F z#7Z0`Z^)fZ<2hrHFQ98tqi;H4=-8+zrED(38Z7V{hStL8uHOkv9t)+QYG%p`8M9K{ z_hkrbHrGyT8u~nPD{D?ohpRr*O$y2RkrOUnc_|kBnyJupMnh7Hy5oTk1#yqP-$;Y?^<5>lgmB z3{iQw*YN$M60VA-8)xS4nHurZ7q}@%%DWs@7SGvR)YU&QH4vuPCWt?~82&*8l_fHK z!orAZ(1@4-2y4Z`od1PA7Drp|xymVnk!L(MTw|4hdGk{HkE#*vfvZ+s9tG7eUxlYA z&27e9^us}rq8JQ2;R0A>CORlzh4wl(J(SYu6Xg+3Gk^L|DT~s67hdn+G}Q zM9f0o&yV;ML6lw}bbDF>sp^XHM1JXkSo0ozrZxGbujc%9l-glfGcf=o$2QPjWSgI_5A0mN8^esKsPH;Ih{a)rDt=T}BuVM%f zc9miE_W&{U&EVXQy06u_|3c8uc@!na>48N`Q7rRf`!9MU$gFX1de6cu9O-@n5{e7~ z+&o;l!Q^AX0$#}nI#(D`KOSynugDfA7Hpq~wK_d-v0whPxnQ2mk6oh9!p|ZhtNmlx zXqSCd{Jq|IGk7fq#G@ZJd|SnYlhof5a5_i7Jh{l6b(&Te^ZT`E3cSpD7v7W#djrrg zO}hcaido?2#6M9)5x8-=GU{wMem#9Rk0UyL8o93-pr*awbEP+>*f7GL9k68bFtAhb zFCSTsjg56ROa0WW<;92#<8kdY_2q?+-}_^6r7}d7>Ag^gPJVJNWMc>+;@cL3fXFu^ zA2@ycOlec*roN^p+~O0i2F{$I4xm_9x~+Ia&yQmsw^*Q=080cpypIH$%QH2*@M}nC z9{jX^p{%YY{df%2-v4y8+y^FI59eKxQA7QA4LBuK&U%quZ&qWvFv`d)7{H6~u3jjn z!&g%2KeXewE$g!`{1uBG*e&KA0BQLZN5FgTf1?mrllM@?16XJ_@zAa0asGNWRp=s; zTuPGM8$nU-jYxCrFkIo73#Tk@PUhT^O*T#{v`unLVLM=&m$;{lCH?CkUY9Ul^sRJY z6O6QMN!@S&S3r{}zcCbef2nqKnZCK3#Gn>{oO3dWwfQfeDrQe}VfXD5;w|1eXDy-h zbIyx7o!Rt1hTZKo!-C)zk_1i@!marWM374N9QXk(PcY@~9!4p}ZKjTKFZ-rP;I-#f*m(uTyDqj3q z@vZ4f=`eQiQbS)xiwpC(s9t@6R38rfDDsxZd#{scjue*P&rDb`Sy>+A$GBMTdCl51 zJ&0U7c+Ps3ZfR|FKK{>sLesY!ciXrQDK)VedZehm9r?M1$78Pj_)R|oiKu!3ag+4B znJ%4$nD57{_#ce02V`Ly4o%I}u| z8hOPVeu2zk*7`#nbDBvlO%1q3QZ00k=ON$h4C1fJ1O!fADkF0!?$3|lZPu&40;$U9 z!{3+Z@~qgKV|22lokDa|zt)K1fz=)YWCMq?l%EU}W~{Y0!1cM(;R$AE50{$+N!?NU zpYz4_z9b;g3E`0ZaYT!1eP_DHiBWtjVO%(Mna6cjZ;wrYYwiqo?b@lI5FYWlJFUHDFS*0ER7*(J_p?`!$u?v90}{M_zst~&qMH8ttCgE!k_Kx0mCCPjdcWvP@)$8y!(Y-59U<=F8N%`0* z0en<55%>Fj8D$BJO|L0${`N>PYx$@ zj;5!-dfj8HkjQ0{mz}boU|GCi;jKU`6i^Y|y97?W#<$9}=F#6ym-T^*%{LI&v_cT1 zJ#LVN21xTp854of*kWP-D1FDa)>*S-js#vP2W#PmhocWLoCsgxXZoDw)6+%16Ig3L zsPy)ZLu6yc#Gh@Tb2cfmv!4mE%cRd{TR0cM@;n5_rLa;*3OfAoG-DnLe2P&A{@s2&i+xlMY$YzG}i2+ zJH8!GX03 z<(4-N%g#s&Gv;bVEpJxO%tOmbTc-C-%Zj|?b7+?XT^xH)=Y3{7IsE&2yaqRL*Sr=- zr-JPScq-?%D}DO}zyv!)I7Fi#&xo?_6E@(yUl01GdIPJz9Bz6vCVt!WftjErFZ`OD zL+J#c(#>bAflP(Pl#KW0Wc;rH`4OrSk>Y4{`(?L2TUtXMXsqvzte;d-^D zTl`@j+*9$WJ2EQge?`Wlok}P$Uq{x?nd$0TU@es!kH~MQS$P^V6l_|=eW`6-AF269 zsO`4tGUlJ`ba=&uS#;dn<;~c0`}0F#@hAVa{`0jUj9coX2#T@JyCRCMnyq`!05izw zgQxn?sr7`$wxsmA+gLOG|C7~^mKQZ)`cJ7-; zx1O^TH}2OBSyo6fj^jbxqE{4rl7)ZzobQT`v)~>h@AUY>PtGY2gIt^Up2KyU4sn%y zID)5H;*`iH*oPs*l?0w?$~y}=@jzVeTXqdnR)D+JhY5MWd&)hWe|(^#y)xfZZq~m` zZF-{9+vO7_51-4wRZpa934Ia>QMQPBMgrR@UgE{~swLW>yuYN5v>qR-p?3cYijXH} zeT$Q?OX4rXn*(5byL%qJC@C508&^q1iW4S@55iFz{A=VNuJIkYzMN|0AOg{>e%A-J zSH6`2)Uj2aiv9%pD_fh<@+ygH-J-JrMNulph@8F;Hlz-A6)!5%m=N&k^(6T6_z6PV z?Dk5~owZO&0hpLc6QUVNO^CmGp2uO+?#v?!rVc%{nB7s-tJe{?vQ=|L?Dv1QTxQX4 zR_~NcuQLcN%z)5hk;8;VSpX0vo(<}i3lz=KG$EEr+)TODy%)$cCZF5ray@SIW&knQ zOYGE|_Z2_XeKyUP<9`a~Eii|@Q^Y&RCplWp>_#gSy$f_UL#3Ijb?pyi9+$sm@2#eDKqU~(6se2Y^hW?w=54gR`B65Zm z`uU1~NAgP(D`hMC@&XZob&zpR=nR1}sa3AkThl%zMyfq~Yi21=_zRI4s(CNnS5ceP>>x0Y4 z#S;4k;joi%D}XE&K;(k1DpbpOQ0KPFfh4Cv;_|a6==kJle$z#!Tr6Ep*AN7H6~F=p z)28HsnP1|S8#~V1+7Q5tEQ6jH1haSG9u{(UiRm zlpm2jz#UeH`RX?-rF@nxcwv?|j{*g#?@?0uw!bm*&A-m{dD1~>>+U6SxISLZqJ13Y zt%4iU$!H^RwcC^lR2In9 zkDLHzr)jlLf>}#I$-YnWs$HsDZCxs?dMagnl8D6IJ|f-HZJsCo}HA~dKOfT!`*3i+oEJ+^r{nr{BZJ7q0Rn^S^u-nr_qfx-;8D>m3x}1u)Ok8_u>DH^-IMr#yZ>9BV**(Ylpw&dokmv)}K&o@K%(0pCz7;K_=*a-|r(l~;NIDC-x66OcO!qIQSKeb>CPQo!`7oC1Z0VG1X29FTDF?9lxBw1&iTLFK zH8|P|v(>*KI)PGmS2{yF<6UK$7t2&F#~=wbElajoHV2#&SC`vOFF)z6tZ+6yFajFc zyyh=VSIvIX-LRM=sZ7s>K!*9tuo8+s8^!)#7Qokizm~bY{ywIJLXqbyR`kZ?I^!Jc zxhiAmn%av$J3IN={39z8Q*U)k7N$Bl-#V$CC}=VW6%VANjS6i%rOOs@bYyYdk`)lF z5_|s01Wr;+L~pgw3~ad{$t>CmC^zIN89>GN{?RfGRf z?kCY|KjfNBELh|$(>3OgZ0z;qPZsQkF5{pKD?5UYjSp#+p7fx8z@O?f>=ck~$D=di z!0@>wavBSTn<#%Ewjl2;JbXrU-H&oCK+OL)k>F=@t1b*CO00?F7&BZl3pO^-leQbK z)jg|lp@e)TA}Rq%P}=~CxyQ|3xuX4-+&8DapzpZ1;{}6$!sP`Q7{Zj7PX&pTEAOAk z87l5fGC4S$nNciOyBsuen=yBYKl`zKmC-%7d~2Stu~vxsHzqju^1bjeKjHith*HJO zv$gclNHIsz#QcmJofy^lqqV7Gq9q)%g>VAwBL5+Z`GnyP<~@N-iC~kf3AeN3D2ypZ zT||?iD5WUC?;z2@U6!n)wa8b>5Xwm;N;%Nu@<^tjkv*Ow>4A=`b9ni9_xwcLcGKXZ zmTBNMVRS_P_#cJr?94uPB!?;uOQkWj?df zRznX0>)c9tmgj1vTV^QL8$VQ)YUx%PWpn-6&dWyO96OduB|FlxlmhjaMgZjeDyYbn zI8VdGn76)6ve#LZh2(jFI3?#jD}4{GmHaj6!FjlDofS-e#uFfalua5DWvZ;-c|f5S z7Q@(IV>A7czAk{07@*J!j3PY&<#BV(2U)p5RNbTrlkcYzeGN6nMm5X+iZ&Ne@WzaN zE*KM@QwG14fj7ZWJ#G$v({1BHrM{OEgjYyGtwosLO2!f>TGdr4Vu0MHv8*wF9T(6k zR#o@C-t&3BS#iB!tz+210(f5EnYVo<^sEy67|}l=E301lM$P4e0{k@6hbOkDcdI5+ zSSAy@Oyc5dG2mjR%KaN@iGszym;?qR#-VQ^3X4@k$=_c~U-?RX@;cBNSjb3k0h^q? zON?)~4Fpuuqq;{}7U4fJy}EVR1kZoMZB|SLt9~(}`SFaH?WpHr-2=Zwz2h4_H-*9< z&&oyQYF*;b+sw)x50izn2TBtS-TvO8Mge*lx17ZO;vn?v&Z}rz6;}_@lXC!5QXAFT z_CF_*;|*MmLtvZ5B|TMP7K);08A_LVTyE@5FsTEnzhLA;D3867wla=8LVLKTV~FFG zj!cLNmOJ2xo-4ab4c?$c*`HJ-!t=J)H^1OX}pS27Dwo03{@ew!eG&G?%J zwvf0Pokqhu{>30Z>wK`V6vyKBPPZP#CfU;;%cFk#L2v5-76Ro~@>wnhpr$38Y}mDj zn&YW+3s;FtF$+pT&DzS(fUpTxpR1dRr}R9m5QBslqSH$)&P|HevFRFgxQOdLa4l&W zPBNXN!19B97NaP|Bb^&EZ+LxRp6PQu5aNjPDVaQ{gRd|oGf85yHzR?}G+I>(*Y&l6 zwVwty#C>w+LQGGzCB8jIgQM;Rk}b!2o75x=?}ys99YmwS$q68>_euY#(qHTky~q79 z``si(7ogF!EV&LdHvz!~H%4=fS*bG^%O zPtd?GY?{zxT7#wKeACt*bdJGI%6-VwDl3mUzvo$s-fTs5QBw!m-pWE~vh=vsIpyDkr{XeD(v>#47IP=*l!Vuc(0Go-bf$y zoav&FVM0qsQS+TMc0h> za&)huT&W8QM=K5$V!U#AK$h0wOP7W^K6{iE&3WvQhf>X{SZRNB4sW|jkRaG#X==Lh zdj7@R!ON=^OJWid!hIcx_DY@kW2nq4m10Kh33b#*FOe1V;pGG7k+nA}!7ihG9+kff z1TX$^f=4}PIY&SG5u&^odHO$8z4cdAj~gvKLyDvzAsrG*cQ*(~mk2{i4l#7+AOb24 z0@B^m!_eK`-QC@N=ks0bzIVOE z4=cokyPq^p4EtqDECD_Red5PPf4<9#1BAsyuFJGxMQD_v0j7G%i@}W%R9!A)kx~T2 zzxCAcSD?;l`c*zmvHJ$t#AIE%-Vs8-Wkp%>i68L{MfUNlvHj61fgo9ANJjjIVi+%2 z7HDBI3>f{E+uwuaRSN%s@H;7P%PiMS&-PG_T>NeOX47y|5n`)weN7|RU==-dt!De0 z>$npWIb7Hz8gd1#`tzU|&QG+0X<% z&f%A4#rGEw<#G)XsH%p0Xt-$^xhy%UeJ)$}g*`oGxcuhf!;Sfryb#wf)AGqLxge}} z$<0(YkeF-{IY%i5?YIM1oF%!8``?km0tq#>G49pTB3l$8x)iX;?|RP1W~%K35MU2A z>s@jk0MMmXjD@B4%%JiZ(*jxpVk;WlS2RMLu2d6@9A$;J|7K&CtL^aM%usI;VpzMi zwWEpw!k(c|HZb2YmW{5tZ%BNjiw9H13D7zNt!5JTMJgF&Wpy0+4FEF^nzZ8I4)aj~ ziy0v4O_TQl$GfW%Uu`P1%u9T1Pu3|+3^!?G697lF^qdKKDEz}U3-L#^Beh#zhmUpZ z1$+rXZXfGrBjukvxqUmIWv)w_T~wPK>2*6-$>x5;``@z7dw3qsOW5C#$NGKtsTOa) ziwZiDcM4>Fth*ABOV%ESDkbmbBEr|hj?yvTqBlOAc0{tE^H9``-?ov!R9BkEe=iU4 zh1A6QE|y%G04I={7neJW0T7(}Y~{0tvpidMCp=T!#9)b7eBt(nJ}tlo`c`)8m}g~* z=|A?{pfQzNuR3i^*~Ed$?^^2G{gDEN;?=oEVop7-yj3VV5;LWUO zsE}k69#@98ej?owm`nSzf6gSPqx8m6p1_panN`C7-iYRFCrwC7SBqG3L29`ur9<5P zc1;)iTV9J(jc9LM^oa;M`W!H$Qz-*DR&|mce=E|GR>l`?1%_xefwA^QR`@Yf{OApR z3xl{vSz##=85_BtPZs)pgDIH(ULdU}DH zBaO~^NOjW;l8}QYWP(DzQYHJ(fVJSc_j$`~+}0Q&=f6?|*B{IE!!5j&YabGd`;D#y zdu+m0EYRQAnZIjGJ}!lK%+I z>dC8xIN&|1f+?`6%VN``DO@ zb3tPOTKrt2J!TZi`LOVu$+Sec=q==nozntxb)nV6&)5)E`ZX z0XeMY*ct>4x0^0rFn^_UL7(0XUG-NCH)d!gKN5c+>{xk-g`h8E+0gqKGUotL(sYg` z>QkO>FE`);uqcCdUQdEPloX8;Ns!SIVS_8arN^Vr5+hZPEjFAqKbWDP;Vs;uyp@_5 zZq>6gIXu_>db-qXsy-gQyXB^nSO3}jBf8tFm`;#+e_+>0dx88v)XaG0D@#@xK@_a; zdVUnK#>f2wt0HIyA|!=9)u+Eu$ia-v>gp9t;-CP&i)|dj_4PvY{I#HIwtFH}!s;#N z50i$=%e@KTkHSxuza$C?R7Gg8-*LUSHF{l;+p<=<$eoN@Q@=^l?CL4ito=bw#Kvic zVCMKsIChhlo$m4Vhr48nI&tj|YeAGcY)PfJ=w4?uQqV%E9l7oIe~lbp7G;s%&VC-T zIwAk`J!O>A6ga%T0E)|XoXjqiL(;!mpTTr7Br$Hlm(UCKa2g|Ke-93@#kL&aPP&46 z&60NU^tH_s&1>o@y(`Bu?g{UFnl~<@G*I&GirYCcLw6{JC8S5O?y18Q8e|%8jv68n zb)^iHIe{6A&t2$H3@hL>*DHzSMTy1<^ttEIR2@U>K`mj)37=DSxp$XK%EnTs=-~jS zUaBE49u-H>>mNDFrIQ0ByBavJC48WY-E%dU>-+Xzj$GG-iPvfi<_$L^e)m;~#!d&I z2UlFirJY({ZvxbK>mqu86;UuTJ$*JaAp(>HzdNa(S!QFFV1hC&gm5w%PvDSNt&V7D z(Hpx3vNku$L#N*c1VZN#9tCbFtV9Wg+_Ccwn)VpO27t>fXfPggR8kXXNGw6oyx&#E zn>LND8h0d@GK-Hol4w}J&UVcJ+y0F%><{|8*|CU?2+X@%RZlg;zrR+{?XLdyk^wG% zqg~0x-O4IR{t$?Xz^J!B&8gqW_z3e5+{F5?l+fQ;7zXC#hMO`IHncxnE->=)@+%61 z7>F)IJc(e9ri+nom6@L7fAjcS1LSX3+{Msejzxka`8JSSu|L*%~j}vkn)UOFF2p4K$6 zC1dp0vQXY!6P(OK%mkd zLE58Q4~35A$7oYYX%5U~+IY4rAx__MLV~MvT8tKe(HBw_q)qATGK^V(0}!^R7ER$c z%BO0Ta`ue|`UQQy(6)Fw=96HRTEPyGY7&SEpVbf*UvgW3>^(o6aR2lT+rI?NeOc65 zAaWK(JCVKneQwb*Wl$IyAv3a7HvU_v^xo~U4$r3Pkwe9+q!r^*JbAYepNHx61Meqa{PF%l8n#GiWn(p(j8D;5!&xIh?^tH ztxYNbT}QmwHAj7GNU)@&q<=vKS21MdjputmQ9ucOHP$0_1tmSVe!lz~+ZC_Ex&9n* z?~~7U&3>Q0SF%D-U8{Uvm*8~6$aqSLkEDNREtf%jwm>NOVD<~^4b^TC8sVzM`Je>Y$>P6BB`Z3Q^2(*sChLqnoDlJM+XAd`hs zpLv*H%UUoH?Q9_cjl#T(l2$@6sR%rb^cOkn94TZ8CrmhosO#;jlvkR^r-(KC*4dGW zZ72NPS2(J1Z9zZFPMLS#;?~O;Os5~XzrlZE+*$bEtSQ$txkdEeK80IJOi|dVQF0T?bh@h)Q^;|}#TwP5NFq)KrJjBKi{atAMBSu* z4?n#!aC}fuAa?6`9H}@f%1I~Vn`X~I(cK+B0ue=I5YMaux9|J|+PTM@PR#YKO7=mx zSF2VeX8a8`6;jWDnHN*bR2wtSg6HJ#=?(&Su$b+LoH*QXENZ_ zhUc66@d2yhMnS8J!FLqCmt%0wp}!%Gm4n7NprH|xn$a)0;imzrj~ND`Hl>7Lt{tMC z5hFav`^G%6M6?L|v-l5`ep4--bI?S+(ac?q_V)E{|C&w18)8W4NuwY zP2`^)IBU5HhVg7Ik6iW*OZrLQ{$0pZJz56@JnEVOD5r@q6X8T}UI!+qia*IDc~^}~ z4^*#7IWFHH+R5xLsQZPifgg<{lQh8df=vh15)u7=tK{b&W2 zfwwX!7^+F(U@(n6v_d`GaB?89V$XT5nm{a0Whzr#IvA@u?>*>!b}3*r3*V}TMIzc} zd7A91h_5sV@%kELBy|H6z@HH*A7ZIq`-O1Ng~`dyAopYbJoFAkHVaW5UTUL8Rwb4^ zB{_O#jED6f9b!YA0gQg0qP6ds|1jeg-0Ik(anJMr8DZK=SK0Jy1>ldX_#W5oV2L18 z0*|S@b~DlD!3Te^e|L#6>plU6j)IX#%^JktFneTcx(oaqRx zLq(W$kXrOH&JznqTk0t^#gm?rGQBr=KUP&Ny1S95dmCd^XoI5=8CQfFn?i^Od)6K5 zX|m|#pIySlO8Npmm|$ZPCJo$JAx$@Yv)VP=-)06#WlZt-K1g~AYZ?PM29mYDf^mhW+lA@DC8582MdwI!r z=RlDqzB!hog|adToa>8i(^dR(5{>`?KRK6cxTUIcaRfgk1`fW(WNt!DDoc1pH_J?nVB7*utwzrRnSBHJ&XPx zBHo9}z&RP}r8oGPz+FWuOJU8khCw-pG(b@;H5+T+g`OOy*L>4NdnBQ96coLQ zDCW2qf?)1E2OEt+xdi^wBO9aLb{~gXrcp#cBgpkUgUhV#tWfsPPr39E4sG->RN+Yn z^>053m|$PVtZDv89+6LwPMCiT_9Dx#8w}gy9?wr7tog!e@h@LTKRSue~VuPkd(O17Ue z06n8GbYFY{LCnRNe(cDc=C?f3{?z#KkG$h@gE>L<*)@|uAzg3nAbNV-U~)r`cAEMk zP!Y=4!eFO-;BT^z^GJENj6J2FmRUgw?T1-x%5ddT?EVmIIqNV zt-BX+6!yqQq_AYtYf%)Y zL;R3gjzIH>cG|*i5y*`U1-o)wQ|o0@Hh~K5_@9Y4$d=#h;e@48)?D;7+6*Af(j`P} zbq?6`Ecc-x@aL{L6{Amq@kr>Hjy$V1xxYh0qwrjp-W z5E=14zft_h1(E?Fqky291byXd_EJ%5rLo>eKww9Jp~qPlERiP+9#=9!(}B`jSR#jz zFP++Oe%@u-wAumER($!Q)4-!@>x9)ED}uvKx)zs-4k> zHnYu)wTA5#I?gvu+bMF_HWMD<4eUF~nd$Z=wBG_-FhSiq;rNcsxNnJzaGiI7f1n&@ zRUUoom(8s?7~{jTcHFYWFbnEsAdpf+>dZogmF|?+E~WMRA8pu88DmRI zIfH)_5PB!_tdr15Q#tS zspcN}rC4Q91p7n-O@F^mY95E1;Yij$T?;?P1VE}0ZHNjjw_R6OyQ7&1y^cG0s0^qzD zB`*fodL12Ee2EKppBD;z974{ICV79G;=TKWg2YhEtqk||8z&tK* zcedm6bh3TF#Ti+p>tkY1LG0D}Vf}&snjm%tZKM4-b}J7|>x1}E8ka*P_*FK;E%Won zyj1DO29FNMaFA%#64f%rx|)eVQxmPi4=+4+?^Q^ftX3}}75MF(Aj^!S#V?!dKq?;9 zcQ=n9hC9clrlJ(nWfUh=}?DIE1x^C3- z%HSf>NB8~>#i>0yT(KNtOaF;A15mCXI#&4?e!ew9E&S$Hj5)e@EiM}KL`Plp`FM+` zQ}Dp)eSPn>V#S454?FP^$H)e(T}kj%*HnZ=+EBB3J_~eJwmp$1vVd7O{?eRY{HT*& ze5dmt1a9!Bk>P{ojgRCr5G4mv5l7f&snk=s1ycbPqJBkdg1*i0E*U|CqvpRHl~76V zZ44_jM~BzwF@XAaP_lxF;c93EvV{pJp!{2Mky3NqH?*IBbGhWqD*)o)EdZX#&0>|< zFOOg%x>*bJgPl3c)YxnMsP+IURQ7gI!LA2#shmW1l^_A|KyFj^y~? zIaP^7e6q=Y*M6i(@BJ`UBJEPld4A7vs!^eKzxSjumo%`5iX*rF5BWLU+ZHwj+hTgi zXj-bkkFGgCio4$^mg^TI&!B#1(nr&Brl@{(6PS~2Q@oZ{QGc1!mk!?W8vaiALW|dm zJ?B7;**Y(bq~%%H{^c~(#p}s&UMw2Z_RZwIYt@9xgD<(FgVhfjl z>EF>L!8q@XzD;Z80#P#AWUsGEQ&$+L-Ko*aIBB+wUH++vDyWLe^YWYhyJs0UqrLp6 z99fSH+k8sr$uYS9>qypWxNo{MNxkNR@B z0}u4-z;1z27@38#e4skVT$Nu)Y(CrWL{c(3(`An{A~_`|Cy)C--izD1{oslU zW*yT{@ULFf@x%QmYTL@`F;W>QmVS4!14_o#M1eNh_BCzBC_W~e0KQb3F0LCh>Z_!e zT~F%d^*C$!#(PAlw~TLE6RwBc(@6#q*YvZu*Gl~@&rk)gZSKLd4{lVX#^4 zze0t~(@`DUIE^~H-CjsW01V}q&D)LqVA%7iw0AYE{h-s`{*Rlf7*2$o_l!>+mw(*O zXemC@8{2PU(oJMXipJa*ofx`5xxyDPl;yi>C8oN_JIJHrIsmT~8|*jx+EP=vMg}qx zs*^XZtUj9vlclM~3<9;1lajF=$kfagTQ0IFYJZ_P`i9C5NY-R2QM(JOEcVpYe*B&8 z8=X$@7U~}{vu+NXFv}uDdXFdFo9@HSE|{J{r4_C0BOf#HWH+Z% z9dDWl`<$sYitr$K@MOW>$o{6s;^Q_EN9=j{J}IaMYiKZJ9}?ik1|^ix3iB;aKpVKs z+BH0qs=b;9P1t!K#z%;3Gg&oIS}ZJV21+kKJ|h>HX#A-32o?V(3$!`U)0lSX1YM*Et+(mT z!ZwBRero`7iD(B>k}SSeorUsy)r0EMLC;EKGe_WQ8>31hG1T>>X>VBgw}iJiMp&gDGFhECIFc-)coY~TKIT0Kw9;+yr>AaS%c?`FY3Q* zzSG~=bX>oJQWc`KD#N{`XD7L-a5mf0Yr(&OdCeb&=y{qdsu5{7l`22vgn4l`Fh~=d zgJ$N?bnt(0QtoFMm*^3DOuV1RVr<)QUr;bwwc?$doEPWGRQ7txtf&T$nb@2()5j8l ztxfXp_N6olJUjosA&tIH~7Kw1A2|X%g5LgvpLf@*C_!(`Z*frl-^!b|HPhs=t zr5BXg|0K#?kB*PjLsn1<*$L-o+kf||%RWL*>@S|jDb-6<-jqBr8%b-6-?-I}lmNF9 zVzq!ok8RGf+o1^y$HsPYauR0eO^ePWVo+_4@*P`3VbFJ9a-AMt%4&da4v~P6|E;YS z7k=zP5C&${ZmVtRbDaQ-H`f|u-_dVJKrO6Dj;%zdJlQXo`Qm$9Qx}ws(k1Dx6rpd_sx3Gaj@W(gilxEIhpt0aR!qLrQNPoUPk<%TGmfwkmY}(c}`4V z|M(psBoUyLEl!QRpUBJw;IJIbq@r#~H7EG<3=P)Hi^Y|rjbtJo*Pq&QLw%?-C?Qo8 zo7$8mvt_m0oZ&JfoR_^90!Tlex-?AyS1~>m*xf#LoOz>-P?l-eERwy|AjiRN*g5w8 z*T=8M&U`ZiRPcQ`HXYJ}(L|@KI_U^KuYQ=1n=fj&VveVBiF-;=jMtqmL!h(Q`Y%SF zV}DER{lG~#FNCD9WO|{t)YB8ttCK))N@D7-F7Lct#}00@ce@jO&`$rQbD=r6%4^@( zO{J0*4q9jP_8}CEBCeEK&uxrGHs-6Ov7f(g9}y=wy^Dr*7%7?>`YD7=9>ucNZ>~=+ zE>7?`)jbIph4t~*D9*pRTpQAP`q@ebODKx;%Yuu3Hm2cA7M}=3K9s=K78ic`j!6(Q z$ax}Z>TJ3okNpnyz)@8=!0tF!U5_gx^{cd*)Q<1O^=6%svzAp3t6vWJV``k+L z6R=wL_VSnBHcS>gfH)#!$?Bm{No$OyHJHjpA$dZWih=FkQf!!;z*Hg+02mW%O`E2* zQhDNCavDgl#=bNS@=qwOU4PAbT}IFg^Pbm_wIOm#<0B?m`Fqq}Q@1m4v$@t+oz_Q? zP-EUrup$c;-6tr9w}bwKOeQ*rN+!DtLIkCFeR(6=@aW51h{lI#e7`Ab6yt7|Vq&_l)AW2r=?>ton7TDzu-k0q-1M6>PtXP7gY5GT zw3X^mSWgD_od^d3#IqSz$-&JPp?i{u1qJR^q8Y!+qyQiBR$0lwTk5qiG7_VJ}29 z!jNj0TxXViJc#(T#^gti!tXKWDwTa?^(vLn(Nxm@JkzZHJp&#cEjM}PliAhMV3P^` z1DC1uhcOF;s+)X|_=J!;`3AwUGe|c^(WckE5v-)GCP`h!n-O40Z@7Q}z;?#pm*nIa_=O2=3B!iXjO!>o1z7& zkBBjw<*EAIF384zS}utD?t&>~f=gD?n!LPhU>nJ0fLEEO5r39tu9_IwYM8-l%ZJn> zbmp|_1qXmpa7*VE4kmEa4YBQt|Lr?1L;wBNLFpjSgAI7cL8&VibJ1Am3^O&3F)CMz z-Xj}yP4VmAqdcII>n}(qIf&->9ffGR+=efGJ%Udk%hS!7VtSQ=#mk6^ajkt;Z<6oE zIeTyv7}LFe;}S?OH};-kQed<-?)?^7Eqh&1@A0hBO(>_QpQj#cPlSuqT9Pz*Cu_{S zLQ8TbMbg-nANMz&;I3U-KN}~}-2+Qo{F9xLB8Zgi?l9QXtMe7)j%v+-GeO_WYJWm; zew@pGOqqSh3Z3JI;zF!{t#K&WOlcwCZPM`Xh?-`9LUZRppxkBU9;H>`apOa&)##g$ zew9-o7d=NS^kd6He8Vm>37MMfS(YtjM-(w?+m?;Uo$!o%UvU@2dySQrpVH3(WAa|^ z!_KGFxF2W8%kVG6W-WaCbdl0^h)^C|c9Ds@%rfnF+UdnUI_dskKe9XC_opq&NZ1n9 z1iXe6twPZ*B{C&AQAcN**kyVDt)dC(keAN{Q?f{+V@ybDveIz`S-Ou-N4dW^@*OS9 zp})Kh&pBw!Ip2l;Xsq2%?E6P_q-Sg@9IfdF)ZQ6P%3xE6N!&cnFW zEyd0-<{1>V)4&yMWuCE5-R=9DPe~Rx-L!|`K=zLz_0*C`umuG`hOx|c9>k%T!4`&Lg z?i#<6AR-d9@RL zV0HSJEZA;L%Y+7A0l+!j_r<(rkho&6d6u2$R?V#%7UoYakUizA&2lNn+`}iJK^k5kx=Tw|_2h*dUl`kbqa~HJeryr}byx)_s zS>+;TP?wU({7mwc9WTwh2<-f*&)9+4R;vWtifa0KexmvZ7YYoY)ahxCH)Nlut*q4o zwa%SK{%ay(>khcbL^7jDUmc})dYU!5x{9+U8&2Z}feIPtCz048erE$lw;7zDDL?#~ z5C74e!29@G*=%g&h(L@abXV1Rdr;d~%tXQ!0k_LvmKGOnC8Aj8p{cey=p;xI=K6s+ zH?W{YZp+*mGvPQtxx0peM+vGqM-d5hRCDN`F7XHpxduSg`!dFKpK;g)yBtAH?^ zH>cf-cr}`F1M@GWAn|7pyQGXt(-FrU+X*nDSI3H)e0nEpYvdDob_{6Ndwiu>p$Pf=6 zueDz*1non(0C|Py(cPbP%5wU4bC50~vh`e*53yIF-&2^3Lcwpu{rJDslLL~0$NqE@ zINvWJ)$jXQ4FMz)G2+zwp_Qz64=XQ`1(2xHrfX8*vfSrT7aL$^a(!x4_=aLB8ii+Y z#bC-KEBDmEXNp&XFR^!A3mjAPt$-rX0DMzb<>eQ2J|N!P9aud{W#vQ_$_d0_4x+oj z5!#Q!#qp~fIm$U!^?&{SjEw4R7gq%recu_7AYxh>eEoieg!lveSwx1&MPz2wKI1}7 zJgC{^A+kajNO`g1@BiBnzmY|gdZL74#lHo(_h&-oYCvYZ{owVJSgSBKkD#P5vKQLL zZ$D{_-ZY+B{!gJko6aM7J(T{F!Zf4xlw2-gAwy?|`vOlb%J>M9_mNJ?yeFetU*mB- zYY!bYABYm$36Xjun`w*Nvx&+mGwF}&#og~_Sbf&$#8^$cG`DMfg4olXh0Hn>lM=Z? zS_evq&9ljeR@(w2D+_Uz^uBV(!L!8Y`NZFa)%7xVFO!5S>*#8h{XNKR@@YCOhJ9^W zqD%7<620Hew$iaGproxLLVoXUIG&M7>XLONA&y)WFWR0F_O`FGzXeAfSABfCCAIk~ zBlyJYeZZm^$h1&Bq(ous;l`#|Wf~@y0m@sVY`Si5@mGMQN!MX@7KrKGm5SAW zNk}8vDE<$&V*cS6r^?rV$@+rcdwp9NtTU02UhG&r0!;gtOR+S04F~W=PIE z`B|7OFfGJ!XOLp6T~A19MYxAjEI`+bbhdMiFN-OxknJ*lULXeUxj z33vVdl#7(?m)EtzmxY8%M6Q&sE8^J?iiKdNRU3cI>l_R>H9VZMXZ6ar%Shd`tlMJ# z;q;Y34`;*Ab#3diKW}@`{Y*&#s0HMqj6MXG1G&CZo2>=}eP($ph54gP&rqN<>pc;o zud^3R;tH|1azurv^5cx$PL=t^Au5>D^N(s)3@caWF|k5hx(x=%W?3qZ3%2G9XFd(M zOyw7)6s;Nf+x2BGXpH&w5**3G+WDffN8DlGstF8l zU|YxxkCQlGj>%1RW8;U2R9R5Pydu?~!(WmzMB5&waAD;LzOqs&g8ykJ6k1J}{Xi|6-;G-KP`ZDax{ zR2_XZzvY{_>-FH=-cU`qBKLFdWsF$EtI>w9`E$-OLg-BpHtH6>ZIV_THBA%{GwCvg zQ+$A5vcood;%=g?F4^g5fE{?2 zV;G!-kKa{Q0WY?aK~$ts^qI9O$43J+Y6+S~@7LGjcg61DJz8;XHN1og=LI;A zs`=2F@nAxu`>(MTAP}C6di~I+x+G)&jG!USy$=+tt+cPaEYp2Ud2ZMy16V*GCev{X{}lIERk2FYBuprXqS_bF7GH(<4QI0oZaci%61da5wqeayO84}2OIsu! zS!92?XQp6qYG|v?l+M|5dc@!*%g_11t`@D?``WWB1}Zf8m{HxGm4f;ax{UC%otWvI zGuWVOjI`Vh*^h_wFM}aC`&t;%1WepIb?p|vu4r$(-tS{Z%sZREgA>;{uest#Q_@g@ zYaxn(nC1QitfRmXBHw0?p=4hECFd1Uq0X&bm#dL7g&lnd%Ae4hv?3brWJmp7NxF++ zXSCHu>YSH?r5#+-zW{mL^iKnLo-Z^0PYF2k_1#a5u#7C1x@MVj=Pn34{Qgs-i4AmL z51W0{bWV}7PJixS(2ezPOY6Oh5ixe#UlGn909UF4YqvbRQGr)jI)b84ly$U5_m&0+ zoXIE-V!cLOh6Tuf0ag`8!ir8S9@)y{x;tsHXo_T9xP&Z=giWiFXCL>60h#-RXlv2; zU6Ddv$2;63Vx|&Tkbq<=zst-3VyGkRQ_WlX(%}u4cNw`WZFB%3(!K?G?sv|(LqkJW z_Eu@g4iI(-7;IZ_RYMvj?M2>-#QwF{yZaJ%TmZD z^iAPjs&$`;D-{Xvx54luEfyso@z;>X^AI2Tk>P-MR6|h~8?!DWqBnPV|Eh)sxUogu z3UImGx671KZ2=znLQ#MvVmz(2ANC7dVn{EG+}FmH&}TsF_fPD`ACr9GOJRdsPEWUz zMs-h{JLkMRZM;1LGfs`?zQ44+i4Ui5or6{VIJMp6fh%mS zb*x%^O8#o(H&*TRHn;75C(^jf>Dv#oy%Bq>lIV(|E??)(XAG=;G{h|?bHne@eF{EN zpbH5ksH4*Q8!k8UY@6G(G~aC>9M6zf{@M%ny}!CjL0dLZ{e$V*pximzF1kT_jv=`B z3CDl4T1f6OqM^3~J4z-?dB~DjcWXz9-)P7!La*V|b>}ZG^EB?2-cVvDa+w|v5pCzs zu&t2^6+By}3AcD zY?>bb_qlHE!uK=v%vjPistXtEEhN&=du_!sQCO-$KYn_>?Bubg+qt8RCV(pNe|84z zil%^n1UdC@tA*4Mb_U+Yq-IuzqCF3b<=3xz;w2f{W*f;wy?CD(YtUeexe9)bVbH$c`hzWo=PIu94TtHp-&q%GLK-v&L2$`!c%Gq-Vra? zD=N>QWlmz%T+s;^9U+O8l;?-FM1>pt@d1o$B?Nt2ESiJo!!u4_7Za>#5>AI^))f+% z26c?s6#XAJs7iP}^8n!p!@vmjAVNAzrT$C7AW;?G9n>UvvHkB-`(IcBJG$Caw`Ugp z&BDsF|GeS-ieYCt{qcVlyGL}D)K^(l5~}BR15+{vzttirc)z^1-GK87!rxTKF4J_R z6immzW)RFxjD_=~?T*p9Ee{Ir1zK^#v@aY3v^U19XI@xR-N)_>Z7W=+TMO-p%s=Xm z4ND)ZYshp_gi1XE1!~b*BS7;GyI)1+F*7w z0SQ$n$IK*!NH?i)?DK9C-VrHk)#xZxf)nIjn|T7~ihkYwN_AW8W5-5Q>;ShypJg9P z`DJ&z8CzShzS4Gt^W!uGI{-6;UM5ukUG>~{N%@8xxykpWdPtFaaDb)>6<@TN(+_1* zt#QyZeab+Cf>GcAo-9M(rzswl$1F!wc@+a``-yP#0WH@g;1u=SR$^nkYbW*zdB)qtPguNLRqu92-UX=;#2G#3V29qmG4l{No23&42=eAtP0u-T_M@!rZyMeUhN z9@({mN3CKC^XrJ)Id*P)^)FktY)HhXhd#zs6K5Lom{?q^u_)W9K4U#~yH<524W)k8UUe_4|Li^s<`~RfeSn2U8C_jS)T&bIosh9m3lf0p`y|t={9c`Cd(0FC7ki9C1&VxH@95z376&dzBYs70{g^=xc{|$) zf^Su=Ehd2w6lGDfZ7<1bue96aoXgtoD&ngKQQd2Y3Qs>o?nXXcgvjFj;8MMnu(zy= z-AjL^nBNYL+6e!}OT=rFL`m?0ox;t#e-}H~V8QUrK~NOC7co@hjFKVxy|q&XAHOT+ zG4oV~uGBpKxhoQnEjldd(DM?NVOmj-V995qf)}<_FM+!U`;gLh5BbXaBd0ME)sbY`RToCvDyDy()2fEZv@yui3`W zDE)6DQ!l;v&sNa9ovX+FdaDxl$;x-9g*T1N4;$l=VeRd2)X=(=i*d-(PkOSq_|8}s?jCdZc%~}Cfrr#ceuhgR|Iw9 z6$Diuoxe6q3HGe@=aUl&&J0v|sp#Vkfcw^E_i+7h1KUCr+3U2>xByqi2Ae0)3N&tG;F4$_lkK1AA{>J~soeJqw z>J}d|Wc+XNIqkm#wtgK|qb<=}g!;wx^OkUbFgHgpwyiqj&oD~^@w?ktmlfX|Pi7`` zma7D1Wt`!?sj4{>>}a$MymLvi@M}Z#a^<3^L?BMM0o6#y^q#5M9}H^elP2%4%tb5B zNY>vJ&xS%hzf0M1XW6K+AgxZY|NMg%-d2_)_#6$>0HW*+C0nwpNoc|8?2Ed*FR5-Z zp$Q^@X-9z6rQqQQq*Ys1Wp%*&-Gi2CA}KqLcM#fzZ>T&L-aOP#|8%RccZdISN@wiQ z1{R?u%78D1_?3kZYklYCS|KOPz8cQXRe%8lRE=*5UUY%Uqr*;{VjnjfF1yy*$KTE+ znk5S`$O%O4Cl!||Fs4xpM~yQ`HxL?D1?rq=Fzu5H(JXsCnY|l*?!mbI@6^7;t|GLT zQ$P^l3qG9IR~JW&TsEwYsbtmhOe(@>MQyIDJZ47zrQNmhZ@Gz$Fa_vYlU4vFm$`Qr;>tz? z$F}j9YhZz!9-assI?{qOQ-q>!EHo}k*c6GH+p{_Et~<7p3TJ8A*Gpj-U0(4jsx$Qa zD0QyUZi1wh7M5V#67rYF2(%X({~IbWbGjqaRhrNGhb9gW=AlH<3BKqNp@V<0GF#X& z?1JssJ08TcAFb?(kH+=peR4xG1!Pp zo%|dt_BD+^W0z6-BN-M|Z`6wY3*|vBlf*uFNHxEaU)L#}^`S5%cSBW>9^piLhhR3Z zPec#zWg|VZvG%1Q&z)a3lNFQ7w(9%*!Vl(SCF(@ogRD!_he!LY>SK)PhVJQr`;Gc1 zM^iT5YX|ja2(;=RxF7bn5#fI%#lPkJp9n0A=>I8T&AlICgoe4A=6NY>E8KNm!5f*lpYl(A57XPdv?ik>dwg2*K$7;|4~>QY^)NGWW^WI-f3Rtof!CVHjsXp zP}Vt=?v#@8=36J>ub5)-Kg8nTd=s7P?PPnn8y)qvt9Z+>+X=u9pe9?`@mo$BZu#?TQ5Z)WX z>h1Y+dsaIezw%+m8RVm%Db}vv_WGo`bP*Xom)Est&klGaEEHxgcf2}z+{2<1n1Zcr zMD{sDK8`1msDyW$zlYAg%49|N4!v9RuG4Wd&7i)&vcEvxohA!{3fkhI%8rVRt>&J$ePDa&MFA1jk*>!qFR3cW) z64A5yrUwfo0=KC6FGdth)eRR*^2RJ1Tw10nrO5oHy3K#wGFu}Q#=$;&aS67<4>oi9 z%_{8r04+|d@w?L}D(QR*m z(4q-qUrhWfzR7dL|HIT-a7Fol(SB%^7Gda+RAT6c0g-O$5LCLmYZL)#kdhp_o1weA zySsbnzVrLvb=SJDVV-s7eD~RVe@KdFXux%Q#YHI6@iOw%1^!*{Px~mI3&2)=1!5%m zp$(FvAAA^w6^8hlu6B}7P=u@w@8}c0{zV)>!9lZw_?&Sn#b34l%(?tT=Y@^^Sr$9q zv!dv`{tu1ib<)fj9{02s3BH?4YC~uYesh5zg?B_hS8N1eWMyv^Gi;oXY}30v+!xRq zdf?U#kfQ*&CYNy>j1VAkQKm50)yu=t<#!%nE#gg zeN28rwC@%8EHWBeLswQjX}tE*#VfD8J`2MC&`v0M27e+yh=l{%d}%J20fh)_>%e~0 zx$na%6#WR?X3D?xOh+aO+LM|``$=Sk`puy$10^EKEayawh)zd?G@6B`7zP zt%3iT`S{SrWW+xquKId-&ZIujn9UDq_ zmt^Wo>BUkL4x5_=Z9v{WOrr!HMizqAXLwTtwK))mNMG zNYiU5_TNlA)k3AY4OhJ{!Y^062&yR@4Ch^i6c7L=iwW}lfQcRP(IgzS;R!mRZoPiX z+uWCaKJaK?P5urPgh6pjsEihA$_IWIMvz7k)aQLYpEsj| z=6#Dmu_xM&wW~sSKaVchCH4|l((gzH9<-4<;!U;!FJ_2-v|rmKWk_ON51u4QQ8UKf z952pZV=4ph?BJz|0TJq zg6mOO<_ZIb*HO}^9OB|zVvscUn6+W)K{YAv)0rztzZ*Wv@5&p%bWnsCe@V!OUBZYxY>hurrs?dyq4q`dor`CL~wmZ`X9LRilIX@3VeSqCWU+led_?`in?37uCs~ z^U^rn)?;M1K;%&F_Ihk!vr_B?wcbZUcFx%l8majS8VBma3 zLE!F8JlE?2xHaA4m#?s=BL&Q(ffyKaLM~A-McineNQ&B3B&2<+3pVB_xKRc~TR{g= zilAZLN9oWNZQ+-*KUu51pqsQgUi!m2xJLid#KL7V*9ja}&V&KSDBixjN4Q0#Nzd*2 zS^6HGm(IR>-aUp+Df5c(5uPRY{=sW9&IBj@Jp2i&Pbc$X8Wip@B4^5slO(w*WU&0` zH%^ppS11ro`Kc=QAO6gjNp2a*7D=CEru`5z>EO$yKt=0>&&nZckOKV=@~%V5bK#el zleE^B-!a1#$>(^i=h&&@d--3**^7gBWwpa1!%D_EB~wuM$(pfz6oOozudanAo93Oq z$t)Bw=2d~35WWcNrs`e71P3?&Bnz~-RR*pQ$Lc27nn=P=tDSN#q@BM4K0-UV|I-^r}sYn9&PX{Y*khhC`(gI{)pRuTG_nJC$8AgZtR|6=F)K;SQ#0uO{~Z^(FnS{E&4z-EX8u`dZJIHhn<2HRFKCU5J``8SjwzWO!UKQ?=3;RK z4i&2Ctk2q8u5AU*F7qBTER113 zbA2sMd?C`>l|E`v8@QJMo;p&}?TT)ZY=2B(?cGe;+~(dkQ!Q}#JK5>!^4%YOMA$~B zWiw)N5)lVn3z!F?S_1UTAr~D9-2vN?9_U2jNC2cHezF57Sd-2%#WHfZyS6t{nN})n zOmhr~&5b?L&W^Zqh5-{;1nn!zb4?drP4-Jk?XD~a)vL${e3xqfn_CA}#Bb6z$PD}` zU1W%_=#-HP4dtrrE-^v5T819e)VMYH%0d^67JcUuC@LDLH0H&@z|T zu~yCARKZy#KzNkGl4!R=1*~={qyH8U)+%3Bt$48meilzMD$BdL>Ofz!mA3IR6%rOB zKW@JM3-~@50EXk-Z9j`CP2poSARala%lEr_C1`$ON((#R7+`BRu{#qCWQXh`7SY(9C&uSsZk8yujtKa?4)$1KCpym>gqYY|wWM zf7r7z-MY)ajF`+rK+?kj6^UHjYBQXN^3b1`8HX(SY-A3?B4Hr;99w11-gRf)ZnmH5 z#+Y3p7#ng9y?wa`z5G?;PXn^)493A6^6@NqKLEB_sDRSX!kd2vuTO%JhuLX6F$E9o z5t$<7HuM}sRsm(NzPQ6kZksol$WXulb9AHq?MJu`Ym-Rpr+4_Qb9N%K0>!a^IBQYW zUx%&YY~i_(1(O7oGrsN%vo1%#7jZraJ)~6eXdZ1kbZv@=@!O(W$CFrIMSzu~gxUOiCxHv8w0iVj!n$hklU-19u+#bo|?)4te_MX#K4ssm5VqZG z2x_#gg!4Uz;z%D935Ejg$4@`Y!t-X)v7c6SVXAqhF_};`wD^PxJ=f~8e5=3R+J$5n zojEI~uiOlSvG59L|6({rxZ{9ZI>$BZ2kF_Ge1a8zO_Gla2&zwn^dU+j@taJrAIGzL z-AAOYk7RJCY>{ws79Hh}wx!8lCnns!^&d-LZ438v+m>b0>RFE#*K7l!w`N}ls1tH{ zC}-SO^fv3)XQSF8#s4!&U{-5W3>A(}BbD4Q1+eHT{=FE!yl{%p_Z_+5hjD4MtpA%s z7gL#_`}p28smp)F@n@PjI3qR0r_*9G*W)|lzr4oGG#qmxlW(p2&&1y}N$Qw2?K;93 zYvttXtCPcR$4*X@kv;sNoLRfhj|!3=pO2J5u}PR@#b z=R=>&@iUBYV}B}-FdI5qc2o|YS5i3)-U!%40N1l|NX=kwMxb2?vdOTa{I`=V&}0TyVu z#N~zyD%4n^@%go%R_fDpteP)fMpHDF^A6o~d^O(K+-YsrBT7bd-Dvai!3BR!c z4@o`oiZTp-`|_G96lx0J+x5x{H?h}CZ^3dH#|qG0m*YZsgsEM|jJ96fsHeam;=u(NwG|1kJk{z=GB2*kY0=*!z7Veify4#g$eOmEES#W{CH7@n7^$_aJQO@N zO+WIEYdEk?I_|8CYd6IOE3;^cd5nXj(K73*Wvvt=n$2Q5i4QHh{b zVb9^AsTiAmsev7@OOrR(8wfm4&ICTXu&wqtuSZQsMRFdET3XjIt ze{xlZ@`~8dDmEJ#>#i3TmBKM8v@%G6O{ii_mU5ASyjsSZaUX=8hg97cDBq7I*rvzr zi$ifi*5K)Ohb(p19pWm}VL3g!`f8X0u6iS}EyU-1gMOw3oqI)nhs`~B(C*#lb?!26 zoJIOct|ZiKq`ccy@0IqlZrfoEZ)b+WmGXnZe&_T~-w8Y51O@Rw;E+~<9zL^^hL&FF z`+=AppdnA}ah6O>S_rQsm}bhlT@&M9xF%2*{T}W5AwFq66OH5MYC4uTZiw?nBQc5VUD^Sx1|GZBn5hRlWn4Hc430TCQAQFWBb=<25R;S}cH4qVyr zyRSFP3oUDSf85ebX-SpbJ%`FVPzd86*nEt|mCW|t;G@4sI$ul0{Z12<@1n-EhZnhe z|A(=K8^`ospv>E)P4GUm*rxvKXX{71qRm>;+Unh_3WbvMyU~+bU6B${rCoS!rD5FY z!3EfBnfumGCY|Qzsn-7{9Gn%mgAQR>#n?D-0#;h{$c_N-?^?(!jpv>-U|(s5d#W5h*PpCkh6hmd_-x7r_7{;nQi*ddDra^3i5K*F850a z9Fo1Rr!wE!q+ms$ChCtI@Hj!A4tZjI8hnR2t;0JGIu6b?91{#hm-^0At3B#=J3CS& z+$ft~sP^y7ptYLvkvluib88Zl&lnVICKPxY5oL5G4FFr(KMmPsECx+|u4+0mU!W-? zBiE)if|)rX%YDVH|AKdTJDjGhaCG=)3yX_JH7GB*j@d=8d_TYDy!~)atgsEqBjGa5 zUVVCY+sFQC^RI?q+BFGDsa*a|`e!fRDD}%^PTX~6s#kYW;9R+#q13pQGm(-I>=O?Uk9@IsNp6_&cC z?A+-yvDH9uk3M&TM9k7CfLSiDEZvCmk0cb^H|qONw3mAr``v(l=btFt8jXv87A>@H zZ-ZznM58H5&zRZ8T5(0`n*rK;4;LnuTlDiozWZ?;==F{AgNVBC?bYlI&tDUSn~G5c z{eV{zl!<2H-#;=^+dP+KfXkPuSVdtRNa zS)Y7!<#%NBrH@KApW@Kq2Gl|sYNiUxWqWAduM(|q-ADB8{HR#MZ!y{US8IL;hZ;R* z<-nm91VkH0g-uh)Xa~_~#{mu0&?II~#L4?Jg(sv7=f{D8qa74}kQASN^T+@HSi*2Pv}>99m@a;NzDUV+ zsOPb;c?0EWDiPE*G&bBWyVu{Yx_=ziX2kwq(0eT?=D(JUUkC-&;i_H`PYc=@wQU)r zeo-bhvTh#eQIXSMoZHsj^|v2p7O2$YbC(DMcw$x$M|7e9)DbN+G|-4pbo`(%Up@D8 zMDc4=>=gL@ZAYN>+%XEG#=&3!AjylI$SLnA7ikLl@~dNiH@bQSM}0R@a&n$R9)fVA z2_5vdU)5}|Y?>C4pq->K&`>g=9B^<(oMzo?0h6B}pBw$a{j9F!DZ-KL(G4CE!%EZaMv`0ezEO?(QKB)R(ZuN2@K z>hkHn*=?2f?EB{hX+8Kuzw3H?5M|0eRr=(btlF5)*Si5oVdkJuo=v)8-Dw!{d6-g> z!CDR2{p=e`q4krUrDfrCqFU@isBAHYp@smWu(NTvc*l&eJHkpJJgIb0;o)lriX{Ir z$>LD$s;{1>+eYL5{b8wm9@MvCQ2_8A=Jb=UAy1JrR}6Gh2EY9jxHYP|@nMo7htC*# z*Y5X7>qFhx4m`B~7lUeF4%sz{;!&7>lkPw0T!$Nej;yWvpkk}5fKvF+<2|CKWkBA~ z4I1X)KlR%o$qf<(z=jhxYiAev3>0DI|E>MY--`9Q4H&_JWN_Gsd}O0TZ3N%wZ2pih zPNcE+M$B(Oif3zaWxc}^PUID&1C><KEO<{LtHa+ZNojy1mfOLH(vKjpl&G~ z706%eIn$ekSf1h8{PeorQQPG@2w4^7E|`DKDGvgAB+iu?f)m>WdO$#@q?8Ee<9^4# zQSLiN;hp+^_shPA%We~7$fe`2q(bfZj+q41+tv#hq1M!c$Ela`m2@RA@8H-yJ$S>$ zEMZ;?HEFc4xi9nNNB&wfd~|EQCg)&W2CnqNRANNKV-)|A_1Rk1#CC!KLkvcx2!i?! z0h^CM@y22+EKKo9`a#SLkbeil;9sb+)x!u$j`Ci78QT(9$Im(W#C?y*+u}JfI4GUh z#1{yw8gV^qrBZ>ZtQy{ zzOcTlp&RFDJt#f(AYq7g!N0FxN3>zb^+fop`=7W^9YwIf?uh%QXb(@18qV7%&%1Gd_qx!}a>~4rbB0LO9Y)me9@MB802IeW@B+TR zq&F%()kXNxCPdA3fjwdCtURML@Qw3Hf&Yao=N#Eo2pq-ufZ#5FB0AWRv%h~7Ix>r7D%2Qu_WKBK_u4~T=t7$KxH|%4 zdk4N3&p#AIQfpykE}s0a!_nt$kTH+1{}*u_PyC%^mrJzBBB!$!(fVtIA8hujMELmp zVU&19lLE1DmBF=qO5r*_&t}Cj=%fF|w$D0c|8uj7&rJWUyVs}qQ#AUu&#_vz@J@?% z*WRvr`g2GyG}k%lj4*Wiz4#87VWLyTtMBJRT6!a9<{4*Q1j;hWn+|rP#z;f!l4hwc z@xV3#L%0zqb}Af(JBUS>po2s){;iSF|aS8UhL`UU4$9fE8bXo_uS(umD$k3d76H-GAnBc3tavfdvQ@&aDGE6Gyrgyyt^n#jh zGhszFODC{FrCba<7TDM;-Bf0i=A;E}ih!EVO7De`abNdXaGu-46w3Z5y^jZO0mjW| z7XgAz+hzOvj8G+%YI?sl8kEUr6kHjfn`LRvShZ%_;AuGt{MV!2!M_E1D;?&4Dr ziYD({ZTrXbUNW&vP{pu7V+tFQU(xUf#yj!S#Ih8fD}mZw@-(QCc!cnKx;&$GIllKP zXy9Ddxr4`Y?gVxRhhv`E{8Z?Bod9<!PRSeo0DjPoS`v|7cnPd6+6{@&hXRYekz=!W4mLv)$r#~#*5o+6}J~Wu918T5WZ!X`Ww-7_bn?{ zk}tvmSWdZMSTs8Bm%yfDI$T}m?2gR~#K&Z;beoJ<$LVdqrh>c&#Q?-&1>x^(^hZXM zOalzr>}(Uyvit;ch*~{4BVi1KGOREY5&pkV08=Jf3v0{I7&GC1yEZ>5k`ZV!8*%X6 z6s+|~kM>~?tQt8Mu=A$5xLsBGHaP4Fi8dYkWe%@pJ6_Ki{2B1>Wh?{^SH#mQ=&Dsa2>K z-}Lv>wC0I+!PsM&dHfZtQIQEL+tof*&hhRh0iV7sLVRg4>6Yo|!O9txJy!`zThN=Y z8N3y!Vpp5W6Y}QymZIz)h*+qfh}1XusNXf0#W~eC0zNW_D7@{-x%OKm_fb2#^kUtb z2V3)GX^$}ry3*ene!6e30O{cV)*{3KA+RTvPhM-cm2t_2 z<^u)yhq|`H$q1#^GlKJ7Ti=R#e<5YPAOr2y_Dg;ua?X*Gz?;+te&e@fOy`Cx)LaV2=$u2=5RXVVdN$AiN{N0qv4QpY_CN z_>GH|KZw$>6+K$KT>A8g0W`RyAI$lNWRnKZ$m$SPr#h5fSvH@&f(*`o^=`@=<0{Sy zWUBf?3tPRpH#;x8vLf?>Ud~k(6t)lPqv9nB02*#{78sMf3Gp`>DS1;5aI;PP(#`mqF+AnZM)!{lz;>iQ+4tTb}kxS{l}sB(s~ zb^3OC7cuWNo_(=KQwy?ORH-zc3r9853P-_#f|juv{}p?;fM0V1rrG*x{@cs`x1eF_ zl=8~*yv)#Aea!DhZTjqO>T#7w`D`M}qvayZ@Q>wfxx-Bj(Nqfj5m668{Mi0XyC@nd z^vq8|S8ig{@!H2+$0@xB>yF52Xk)A zx8upB43c<<{9&k#MG{nv_w+c^Nsed|(TNzctSlU0-A(jhHM|gUaBN_b?<0Nj6ov3W z80RD(7n0f`^DMt=mR+rW;`HWaNCbIwPkbt2ZYZjkCDpnJ%y07jG$Z%`or<8GDaMCBNFXKN?L4*;CsSK zMqHvXs1L7UQbMgBa}e+~K*|~kja*v(Z#P{iH)taSwp6BE`f>Nb$#yi+EbYUa%J6PW z*i%Y`{gaS&qO}O;NYl;A=b!jS#&6fFoDsS7#uBrJ_eMayg=obvvlT%o%690IBk5ik z>BTQ=t`=%Vn3izQ<7E;wchWCY@)}3*NDd%PS+qs~G-U%|AZf?RtKyo=RoI*UjKo}X z=`25F0t4RMv-)7N3gg5%Qux+R=&6{ErY4vUr_jgXQf2E<4bRN+t?JhIp@&zb=0rzo ziUz@sN^u#KT^Bs0ue^|AGSU3{aFPVgPpPTW{qzuL4zZ z;}e@ZppPqlewGb~wiKmm5GC?=e_V|so0IkJ&Wuh802+^6YgUQ)WouMx7~v1e zwhjG|kE4K~m;17pg9NP0aQ&8VL0{~FY_m33Nu5p)1EYtXglUcs8=@CDcK@0B(T$ht z_^_Bb8E6S`4oUdW@LqOyTov!(y*x^WAI%+56nh@DR^3IGuWN-SQ7ydfSV|fwy^c%n zg}5o`l82wJ_mf>?k5xS1HX(eVM92zUJahium|7mz_%#O-F#1RT-MUW*TZBWUB*kJ@ zlS+3FH{{^A+lpdnPKEE#cyG30|7EW@GK3GsIFBlyDn#){{+=o5i>l}S3i?OdwvRp` za4t=|A9`yXn)%tD9F?DfGMe{2Fxo^xjsPJ<`{et1_z}q7fvgql>|f61r<8q$9dONk zXvmR3RVTWD(;8X&$F-A1f|Yown<~y>E~O`L-Gw|i!{#Od#hP!pa*B!~)-9+i_z8=* z=yF9LT_~toD}R?BsyHBpMH9BZJi4OLm8!3p?@T1@n>GE@sWh(O@mfv3HAv$02u5+)q%V(PoadE%aTln^0H<7c;ULAZbcAy7__u zY>W_rE-y=6;bGo8cb6Sbw9}<-3K&PN&?6|WvILLxvZkx^@=AznTCeK?>yV1%Lt6_Q z)eTQ;UuZ?p^LIrZr+>X#TYq;gZwZ|Y&n;fpb6#ou>4kr}_vR^ZIg2|iD=D7k@zZEo zKqzUg_f0Kvc>}AF>mDvH>NrI+l2>;Rej86QGp8f``AY&Etg}x1R@w%D3C$9ey)rMp%)~sy`Xz0yxb-KEm z^jR9ydP9uj=wMy=O8vdLNmS;D;Rs(xB9qg^#Zo<0US68#z(N@d``on)Ldr5PI#u>& zirOc_GNJ4bR(?t(vEs0zZ`XB=r2z#xrRiVfRUaK}M~ppUQ7{~OR~jlQ6Z?wubyOhr z&&>Jlt%WVaZujS_bo9g`Yn~J*DzuRSzYL~nc=rMrs7VWT-e-He|K=uR za+vK;HSG-@1&C}M&R8mm1o)Nt>IS;3; zhR?EXx2`iCJJY0x(0#lko39l_l9MJNPxlXNEMn6o zH7gV8ukK9KIWNor3Y9w~((_0Lf2`8BG3-t9FsZR3;}dO(sg}|ZtcX*7K;{jDqJrQ3 zAS*gcAU0V%j?g8$h3}P|^;0j6qm|#M*|sPEP8>-BQWjR_TJP!WymBKUgfq7X?Z5$sb9M2&H5nlt3{@bTk#c=1FJEs46z0qE^uqVzmc*onEMyCclBGT_r|FzPn&?`R z&^^~1)Xe`&e1z;w+ic@msKoLqAnwjt)Z1ka5lsfxD`i@4+z{B?*8@}sF#ia<9u@6Z zNK?h+p8US-?f^}wR+f1@xqxrh)%EQ2qwZs+2rh;~al`~6Y$-_7bYdDdemMr_0R4$l zzU9xYk?+1l96t}X-sw707OhVC@k0YDxx8-IgYM99ARqNM<|j%Ff8;NaV^}0ke%3=T zCY1TWMIR5m?8bcBzH%tbk*4xf-<(^V;S`k-J3tinC8J+bsVq(y#8eHu1zCMg056~m zNYxCm!d5-RB}6@%GEyD`Q8FiX``y}q1=xaPR+6_IY9wUXi=%JUGxo_nqpzsfLO+eKACI;qI__}8;dx$oLGBvekbZz{}m4}vziYc94L|W zDqX*2(k1xdjtglwODbHBHao}jj0R(I3J>&m?_Ev!cyx0?gm!Y4zC*?UC*mrJA1HU{`8jF<-9OaX<)y z0fEcxOg>y(8#UM!Md)6X&B!8#T$FrVA>+=Z=|5+0bT1=)MvO+*tz&$_Tg4oP3oYajI2 zYmG+$D{w_^0f*FphL#z@93MJfd^5jnX=XbwJl@sofj3ff1E1MSo zmhjcrV<9`4$Z(-_FtdF@?5~f3wo7)(s#ePNLG`gc5r4%WaZy|7xj9M2{krptGGfCK zy;TvrfG$&xChP}KR(~xoFjHGFQnu;W`k_k^deI~{Y6Ib%g(sw3h-d+(Bf)72zAq1} ziw0-cx(ICAIGtX5g0@6l03DV7rO-XI56^uO%8Y-Re(sRmRXq#l#+d?Hn54bDSe$3N z4}sJ}R}TwmwmF;cN4$3)DGog(mD3*C=ATW*T?<4O-b435?TRBPX?uF!ub_XBt(q3~+wOS<6UiU^ zg0TMf2m6~iZnQm38hBxj0Y%(t3>|1nddgByO*!$!RscLZp+J@p4DD_3GVr}K{*o)b z9Bg#jvg8U#t>5?8;a9v;qI=@?L-I4D&)GP}{*u$EqBNC@^McFn*PQvHWt6_p9E22{ zrP8)Cj8gg-wghju&glJmxD2wtk6z5^*))oCDV z=XpV(Nyl^&aD2bU|B4(^X2WP=o%!xwWj5+cB_4JUO~co*I8b;MpZCz_y!hEyMeOnZvTyj07EJ%fXjyn8M;LE`_s9 z!y|kURR-C)Fm-T+(PXPr3tc?}#imt%O4Is8ODbDp<(o^OH8S(bFd6jP3W+r6n$u7F;9sAD7>D?nRWgSLcoXt(1H_L95R%I=wPJm?| zbl26&Mgw*mtK^l=AgWyt;)TM0fT*ny^{!f!t=R|9|BE5O{V=50q#MAP5 z&bZT=gmX{)uUY$^{wI3+Lco1KPS^CV3lF>2U7w^s^xs~EdxWfk0t+qrdg88jEITa= zLsf&(cfRXttx2v?kz^_PqP1bZ)yq$(8=;`<_|G*VHig|71WKwC>fA(6GdoO*260tf zLzr&vNqnb9C|KmK0X8!$2wodr2!H-AqPgd7en<}Za_$~{XBG}UuIE!E zRQ;E>NZdzkt7Z`yA=B$2x0&McHcYWi57s-dPQ2XlLNymvA>Xoh6?)0l9hac~{QN6!!(}SKopSaaiD%@cN02a0L;1T*#kulzE5*4cv-nUUWAVVoNaoVI z4hSz*@u#e%z;jXV3~9kMbTR4qAIUXFcUprJQ5pW&DqkzzgLNhmv{+>Ivc?sLw`W?G zcYS;Oqe_tv*H|XwWvJAqZ8^KNPB|HM`g$J0iW5DII~`~jlUALEN(8-;G2IKKjkEcx zF;nXCKYK0t9?+3hD@GP`bIP}HMNsvB=&%X>GUAwN9F;6cjxXc2S?FR&i~ecFf43bQi^-O@>ctH7n;)MeTb1SG**Ffb zL=!G$dnuh#`tc#MzZIpLJ|()_ld3+SA)f^(0LtP)h@f!S&9D|n{2%Q+4%whp>tfE$ z=BVa;oj(uVM%Q(h2Kv3@dtKei&Ma^-$~vG&yPz*ZmqE{uaQFG${}O_v^-AT)#OA^Q z8QdI(=P3I=J~W#g9{c z__|54;x-_-%fhTaxnFEAFR3pP(XPD2(hK+YYTho0VL^dG;)HTK^>POL0Ag3z^?HeX zZlxtrdxfX^es@Wwg@W`vc*Olu>MCZLqit;a@1(7`BvXWW60V##dlXBQ$}8d;>!@w+ zEN8Z6r0z5*`A$S0a(`ftPCUu8)oVizK(N=^2z3esM!tn4&d9eYD8 zEy<)|d{rc=2&c$ZZQg{Ld?h~P(Yk|v z8#;+hWs4f|V~oS-No_T<7~ML#4cvNUO^Q%a30o}Ff4CY9b;@6KE|vwwsqZT$C?-S; z9SD40-1fa-AAC432rg(s37H*ND_ugXMd}jkZZ|?pMAJS{!}qc(zG;3U0lEHYl0%+} zkbXW6Hpyobi)$LY(T3T7zd!55Eh#z8@$}2me9!(}?2ldE+wIn%rLcs4%z0zn4;Y#g z3S$Ew?xU(iQ%!6&M*b#;4L;l`=iTtry6i2D8=KbSA(^|U4{c5A9)ni*hLh&r^Y#5Z z{j(nja37$eZu*Ym{L2hhl1W=_JGRDTg&Ao**0<4ydxsiU4Hr(iU?FRF`e4-F+b+_v zy7H;d)z0DL=*PEx^66};8!gj8zea#A#qOzjPev)gJ7xpmB*@@}SXkX$olYgX4P1)) z5pri{^+|HqFHXoLw_SCVXeMgH?^(;Tkpx+KEOgQ2lg?j zc~Y~?BT+9xEdCX8PNFi4CRE>Nz>~n-APP_&UL@WH= z4On7+Oq@5dAYMBxeOhds=fuUn&+|ZwKo+_n3x8PfgbRR@7x7A$JDl3cCQ2+Oxy@W< zgOVryjp$0pYkFF6UsQ7kiG64zy zax{Ie|1GSqWOAqNQJ{Yocc+L>UfFp6ts0CdfvZT}srZ~*TG1r}QeL3S0!d{pg-VYS z-i^#2)amVHdGD^J(!@l`XNNo!z;7x{5#-_)q(VE(YIGYRHfhh-DmGczUJ)WYbMDQ~ zVRk?IsOo8uw*VJ*lv@9<3&5s0{e1WC<>|&RXB4fW+IC(}eh;L;X8;~!5lvM1#tCaV zppp}B+)gT`OGmk6(^YMidwq)7ofC8KLQB6BVWt7H2c9DLQ0lPqMw?X9P#a1hYt&?-z*0;S+S2`z++t4a))zwNZG_+`cUrgF!dAPc)hzB zt@@Lw2&9@5go#FuiK67SD2ux+E=9U6x$hjlW8#=_byT-6X7#prl|2%K&A4BCS>W;2 z`H%XN6 zK2B>*VCm$sjiuYIwf)@xi9so&p`igs+aGz(u(+%gujy}}aKJ-bfB?s~NoM8aS*CLt zFRZgDi!tx7kCV&2kH<2a-IOZu@UhXIJ#*fLxw^)IL6T##$Buoyb1zQj+xwD94!^%V zdn*r!u5mMyV!xtLxoQ$maJ!Qfq@hKhXVxlJP!>L~V|{4-Y>S89XNlWJB2Nl=w-JI$ zu3ah4IEpfQhwtCs>&zPZAT#*1GLeh7xk_f{pA>2w*Ma-3vzVQGCBPMHfeY?Y8Pw&0 zIItPz^WFtE(TX@W(&!xJ1- znsDw={qL+cq(o^A`+63WJFO?#An#ZbqFgjI;&h6jt_v1_B^7l-WB0kZ?~wZ5X93LT ztpi}SmKSAcp+wochVE?P9(FLKw=70JXF%LSN^GV$vnJ^W)Z0(rZfze!BXVMXQo0(B zuF=3doqkONtNoUGUp9+%pt+ILrY)c|`}qla_@P!@;bQIV+Y`3eZ5ZI}I`X;Fb|FUy zRtq+mKn7U_KEGQvMA%V~bhh`=uh1-Ie7KlU$2L;qt%5xhCT)XEoPi!|p@E-QK zhZd9jmUcDU!WVUV2KnP6_A*Lc2O$#Vz>w09yW}tK+Qar+)byO-;uli#`7tIF`Yb0X zJEw1#1dJ@cWltPv)pezNGOD!4a%bX*1nq|G6f1oD^)ooL54eq#ZdrMbit?X-~CRwFV8pGgm_Z~+UHg{(Uj;NJht*sHYtD@i@ znWO#JEs{(APgFh&**VKbJrS~gw}VuZOCCiv8O%{B$)vT+R=Gp8}Qxqdy2IRk6o!5&!CDJjW^_Pud7_8m5pH9fxXF>|< zeJvxp{@WC}%lId&51PVu&=n)(V;j)J#Ge6Dsuov<_#hT;@cp`O-Db6h;20n>J{#CI zvDj5kLg2ik2TL}nVeiM`Y|8u9g*R7mO?Gk`8q+oSG&ZO-1I$Z~DyAp3gkpq$H1>58Ei0w(j3$D-k+0#) z7)M!tXX8O?)l)t&#g6j+ufQwJdyDr6&Wa6{8+jEF^CF4++;WBGmah|J2hT)ECzwPm z<*t^wESd;5oUawnI#Q(1G_Y}dM(<1YV-c_I(wH;0+iO&JdK~aQ=LCPFou5LGb1v=A zy2n0{#ScXx#Te6zonbBjQ0z*#-c>+p=6(yb2QQt*MNxO5aZG#TxgP_LMIq?AE%!yd zAZ@NN*s@!uU7(@~mV_NHrrWr9a(Zu(eA9>Dq}N~UXzO8?3u|ePx18@*N?um~ZXE8%8Wm+5`rQ^i* z8&&(LZ|+og;olJ)z&db`M|A7PRr}6vZ=YI#lq53YYjVN2`GCUJES3;#hx2x@W5~gW%9IMEP{Emd z>jjAa<1RQgo5~rx{99Bpf408{sxO*!7;y&uOxBQ~3!(?JCW}K1MNAfp^x_etl{^$a z0%nd^e4hWAGAot$&sLv+Z)DJ7tbhq+5bKjQb!Hvv#>M9H;{&8Xo~N7~QEf7?rh)zT zo;ZD>{-U5Vd;c3CrIm4?zu?OPqJ`=6rCRoJWf%F~NFVn>s@t~2F$!z+12=Zvft*g3 zz71I?F(d~GxZNKyfMw6Jg*kmn&go~hQ*B!VhhrxakYRq{9duWgA6bpj!+b;?ofV5w zY_M%Su9+(K;Ht8wV>_k6k8nYMIpRkE}o z(t%_`S^E)Vml1NiF9MmNei=%(R zt{$p>#!m#vbYlxh-XU{)G?q9^#$Y?YFE(giDBee0q>cJNqq`t!F%+xh7Zz5!{ZTgf ztUrsxjutLc<{q}2?ZFfv3_cr*^r8WcAjQw;Tft-dO(`OCs70+tg>Ho7Tn^u+%jx@$ ztvwLKHj+oUkcn;}7X*xo3d-OYzN)EG%Ocq~IwxP9rg;joAU>1E;{y8WgwC(7U8Oot z_C;4e68;uhMXXR;+lo!z`Y+D}y?57kROuPhsbQj!15z7JZifvzq*PEMbl8Z;!9J5g z3&3!m_ndlAlWn?>bVAb#pmIoTuPte4@K@BVwwhg8uwFv#L!IJ(-+X0QHW_FiYMgOz z@B`VbcF*|`VL-q4LG4JTlb4XKiKQJ(bcZGVTsnqc>fHdYh3g~*uePga4Y|Y!Ybo+l zXLzoTC$$LBv~)rcR0!m9aN=t`yN;gP%x1_X)Gzdt?z|bu@ zAk9d3clVG(3}@b-@AI4=&Ofl%nzd)$`;P0nUXJds@IIQHdrP7tM}^x|hKH1$%P|5~ zhaEMNbgV&&*xO{jw~IBBz&d;PAN*vC?GG(RJT-D%AbW?}Uow#u?FCP$4QrK6S)Mig zk(|ytu7-7XTWOPSOU=TXYVbx7UO5=7$dtW2xvXghua=szrzVXY5=o9)gWDlwKhC83 zpX<+E4kJ6a@2^UgK=y5>)76_^i8t|X#@mIy#@qS6PZsCu`JWRdWKf^~{9kc%j71i= z*7=vD)eyNP@qOaGYLWd=Y7EmyShnt~{N$l{LC=(0)hFaBa5A>X1o=<8Y;N!$u_q5$ zD+*l=48vIXIemBZ4IhY)L5`-RnQeMhd&EV;I-zfUjvttoWlnfr7GM%bB`T{#$+X1C zi>&UsU6ETeU()M?IUEYNM)PR5jZ$nb3=$o}eXILNAU!hYlKyTcs*HE>g-`+CWWm<~ z1OpeDKEwpf}h` zw0c2jc^&s-?fzg?UhWPneggVos0s|lzm*_?D)HUa!NLhJEM6bw_|Zm4(}h#q_TgRJ zC8poG;pN@OVWi!``X(c+1|6&ve4e>Z)tZN;QbSn)5cCeHE0<@-EN^A2p8+lzDf=%M zVDm;#)DqxL`rCBl*|mxbaCtc;mR1Lk8T2Y!NUP+yJ*_$zTwm}zc20(J$dpK+I$p#h zwm!S*h#!EG)e9)SNqC5%S`@*oY3T@|w(RD@xWK1MEe4#*Vo9X>>Jf4n*YyU3;0L+6 z-n$-RmVv>;X+5jHJ#kG}89wV_C_LSmOQ-?I-+Ak$)G59hFNhkGtC{rohT)$j4~%5- zgBZYV`;$^Am!P4)4TTkbs-0*K#5}U#!oD z%o9905)?#xguD(W84k8GcqX&X+O5_HMBPK(61&Fe;%)QVU);?Jpt+5!zC@+j&TLR= z`VPn=t1D9JK@DgRpfWEThFS4b1GUfxqes$zT z|GrFO&%z7fk+|g5=gW27#6G~UoE3b2#6g4orY|>h3674hk_bDaqh*=HoRh0!5oZ@; z3V#2wLonbMii$GhZ>Fxwwc7XsNy2d$ygCA3kNA2uy^1o;P#fLX_#Z+aa z%rnM9i5eeU9p;>q)?!c_wZyN!$q>hG$1<$u247^Tc()^QllF7uN+7P3vsI7At;dDk z;6_Wy^1JPSjP#1`q(z|nO|8UqC`E$P3^*10xmiu@x`<;4vi|LIvX9ib;NCwbD$e!J<*4*_`ZJ?gC((V(L-^Pym*MT(>+Ji<$H3gh9W9TR$ugo79UP#R z&kLj1()~zEuOpicKE>~slar2LLpB(PzCL$f z?oX<;Hzt;qDwcVl=oC3v#3WXZbbU!Vqyv_mfPdGaSDxi4W#rncxQa_=DWQ zX*sZwzhh7T$!^CTmrlJ?Ja2jSl$<+U_A*kJ)hpRzt*AIH95#5R3%>xsF~CK?5|K-!@6?;KO

x?vc@)#dU;luBh#_bxLTiC>|aEqR%)6QXmpL$H3^$l-Rsn2^1Rv)eHE; zeffxDL}*B%?r6~2ZUjtMMwu%A=! zw7JB$N5?QizBemnxi~H~Fo+fx?~RFGR&YS95f()S00jMol|< zm=6_$HW#g>4}A_Um;rx79$h;$h2U}gF&PlE9Q`+6iU&%VNe8b#k)BNdAQtKS6qv^$ zu?9U!hhiI?n}>jHQAHfnaxA=fy%wi=?T<@&T{esi4SvoX6{p)OI5tl~-9hc2fQ!}) zvfo}5KkBcz*Yy0VjUwqoyyWuye&667=e;s(Iq?R5ZCI$l%s(fzS5e=f-eZ4_qS+X2w?IsIUviJ{Hzn<}(N8UuO4B9#5VN<^&8pGMy z7Wr#~_9W z;S4W%twBzP%Z6fI*xDdlL>@3q&B=2ClbJ~2tSNm||54$+WzWzG6GQut?I?#ywFjLD zKzpiX#4(2q7mjUkZkNwmFfx>cs|{na{}teA!>6TdwANx^xcN4rK@TCi@aKeLX3n9y zgR!w3oTkltZ5kjC5zGwgt{*MqQdU+UICte321^e-6c*TT?IB-pb{+I+fYBF_{5 z^7j+`gY!Fwezpc|O|DQo(7}rJ;nW~l^P1@No5Qy1bJR`f8O!y^@Mpqtr$N&VzTe~_r{}G*jGdYfAXg$?U|EFKJhmG zmC{tL5eAXNGZEpXKlL#Ajlkv&kK}kE8glQ{CXi<%*_)e_Ei7{U1FR=t)ugOP5m}1xBUm=)m2fR!gIk%XlpIg4qOM2OtxJds-Vbc-HE-<5BpA zIh9&79)EYSbNwfkQL^}vnN~A)?;6*Ed$C>|aS^|}89_OxMihNLNEbh7{=lv8h3#q` z!+mx@`uKKS*D;v;KG7TT=B%&7Jfr_9ED*PI^G(2)#K$tDYBQ^-RMuH=wvd-1f#_9& zJ`{y}N;OJ(Gmy(=cmi@_R46CxUDL9F0cSQf4>@#jiI*2HHYC06ln=45p5D6RnT)AR zX=M4rIr(W;hSWIawB^AW4mzfd)x3S1gYSW3ytY0h$p#Q;Zht)vip$y$qS4K3#z1{e zzzf8GB!dXUD3dRLyrqWX$)yH>OhCo34h_s9iuHP`a@#A$nV!yw^D>-CiQF=WlDSqj z`pG^r-;2!%*o+8c2V(aq)6qHS{uZLF)IFw{EtCU}`Bu`~^gXJ*@OTJ<0=23&Y}E<& zWt1RRQe+qm_Ha0lW2XZRa*QmwbYzim7})A$IIa%}6jz4a(WL~N)A`($1m^1iCJx8yKZ%y1!3LmrHK8cF%w zVnoi-gG(4Q{jWso+fjQ>p?4%MmWza++Xk8ij09g2i`SW!#otdtxyrLCev_5q9nhMV zq?gCFYpf-1ZS!xwKZ>5m>W+6kPKd8(YyZkr4Tgc5Z(_ ztC`I7T92@nPGt^loj%aU)%bcwm(B9+*GfjvSsE23TM)4VEW%1E#gF3YwEOw!y7kmE z*dB4XTLIfvPn9qh@+#fe_+L>Q+q}+ay?tRyjIBlQYAc_IS{fAZ&ivo8_P?twf=U5_ zRb8qH!vBC0G)!V5hQ&ni_*?fZ`Yd7RO_+U}Eq-dmbV9zg#*shg2%St<-ztZ{d>VDQW!bW@a@q(8OmLNE`$ay9hsd6Ht+cu`0U1 zci@fJZ$7u#qhTBC=|kMr3kdOAEw}AjKoG@Bt ze_wPsGEbL-x?o>mvpQ#M6-6RKW#B&vC3FO83rx_@d8B^6pgw>>xyGF8bU85zUmI`t zeMr_FuiSd$PZEE7+Y$YIE0{@@ue1=)%gp5qEd9&g|c{+;@z)&vmCXb=#GaE@p!{H8)OV{deIRqrXrG~)PYy|UCrtL`o@iB zrZ|m-Kv^#B-G^Ea$fSL@JO%UA*k*>+&9-F}Ee5vyuP9<3pCYfU_SrZL8fZGWT%DJD z-3*4Nq&WTWhcWG%Jr$3dHlLW;m})oHbUti*nRqJIVZS}Xx@-;p1(OZr?b6$6)ps{& zv(7#kik>r3i`^z5Ir0vO6uVmwL)9vmmlS^*879&guMx}WJV6Q9=#({t(R1F*o8U&z zeoQ83KZ&9^bEobcyj=y%$j|+%5wA-ABV(4@U!VEM(u*kB&?YA^4W(QT8>^C!<~#0H zqx5XQO*5`~pVI_Z%aNaca{d6nL)vHp^w%otCRcl@kI+4{(=Y>^=EWViol?m@!Ollb zPL=b6?^c4zqK<$5leCl@JF$GU)Be6`R%{?E(=kdbaQK#u@Z4);dxf_$@-E{Z2jaVq zqJO6WxuU|hiE|oc_}RF7OS63CZ|ox_&KK z!&22rjMvDG4sl-NOqy1G&m%*+kvUXPy6W(!f3zwerB+0O1BIx@N^qFT%7>c^dL;q# z?mnam2X6lUn={FKIdHBW@>XC7MYs!;(Z5jV@%_oZb=&7#wop0njS%ebTEF2Fm1v`mMwizeLeOCL)=u(_G zFB&C4tMRd$0Ql_{YO+F?b_~5pimGRZXsn#~Kk@2|^?|ncLAO$zIdrB$DcE739`iY# z?fvedh4Cd{420&55IAaO_6}*E=Lp(Y+1(3%(~PwkR`{Av=VFm?ancL(D`k8L1fzg) z7w4l-(jfuz0vYOq60sP#MzMbid z)+Kp5(e%Y?SX#-{3yJ(m9pK_60Uym)@w_Zm%N1*q#Ooq-!;99UEh0Y9r;;Iuzl^{6 zbz{B&vpPuCje<7sekvT%*gc<`GtOILaZ+LwQggEb&Nf_7KMdmC7R%JjWw}Ar~3CgG|`T`ioKWJcu8KOJ7v(3$>bOk zZi_j%9TEj2{&b*bSFy;qy|DF<>c9u`V0>7PEwsvjgKrc=JuLe}h>y-LSR@YSbx~28 z{O~z?r!jDtND(2zd^kF$NwkP1Xe=D4~oie03$yVK-Mlz&GDnYzf(hROV zX3fpHTk2y1)0?f)!_(Xm+4I^qy9j#z^boi z)>>s<3>IVTY1>&)@zN~pEDv<(Q$lpb1OO;(Vd?*lJawgBOu@Jvq*X48u6H+gQ_A;ItwDr;dec}fpAjZGD?{AZ}@#s8EcL(O-d z)YYt*!-mhc^~Y21{(54@#Fl^CIRtH1-{UpvGBw*XkfNRv-2OPVv3(mvSFavH#rEO2mk;qb~c$E#A6YJ7%3 za2%x7@+42!~god{r~zsDhYiGd5ZvmWw7h?lfzx*l5@7z*>;&UNHP=!>{&1q z$|s=>#6EftE^L*~KJ+_e4k{>)KEq0RBWm4{_RgDR-Oo+vx&3l-0k%LK0`90DrE7m9 z?&-}(8%hE+C#NYD9tMPVn z#1kvg#Asz)rD8a(wCYiAF9X(~;cN-|qLRT5;@DIz}mYKpk-x23{{*PO9SNX|ok7=D=bA?7t! zO|M2nooA-eGjG10cX!MVd583YBFdB7s#3E%Q^_?MF}YrzuO3H`kVDDIBVzbm7rkn< zzPNnOXCGF?REf*;`1 zN_mEDHzzzdiSfT=c)Zq^uP0HklL;hBC#4!uDO`h6N;{+_1Jj#unDb78?dIPD{}?ve zbC~UGg?=T?C=btsvFr^dMTN0D4J&M;Ntd3MbzrJzfAlDUt7pRyuj>=Ujk6q|q|&_f z%4MED;Uhe{Fjl2yUH9SJ6*ua;gSl;p?M-Xg=*q&DXZ3-C&ah5){yb;W?`H~ioR9kO z=#O2j?8}Iw=SS-Lw57^>$+yo)rie39dWa#zin=iVy2?ACZ+;kqiM*WA1qCFD{R@Wg z*UL(QkvjOE{oWYS{B*m%hm*UPmfa@f-3Nx!M7C0vdU57Y3#orz$L}+?!;~Mv7*4D0 zG6>;0EImY5$lqe6v`qBi>iV(7nf2?%gv!Eu>`cG}ePm!xg|mjZod9$vA6$<3MtF;e zn-^c+JWj!htK@gP>?fzgl{dVYQC#XexLG<=c7)9~9Mqy#FSz2hngmyvBm^6i(=aU- z?cE<-c-!fV>GV0;hokdXi7hs}*mMdC`zRx{JcUhF98)XO)ROT%V+492(AS_9ko31#m|e-|OgJiE-x#<&#sa{MAa9 zd|?CxyVD14ujL+y7UO}ChVv-u&2wnfpy}XGNA<3A{9mzWbLqTa;6=-LjU?v_YHZn~ zDLX+np*sL@L5}x#@eqs7*nI))SYb*d1hb%LnMF~v{R}H`ZD9D8vz%~ILCwEBeo;HE zI|ZeRn`Vn7Bz)c}LvrCf@#1dpk+@EKQU;*WB;46i+^Y3S#sPXhg%kweLtVK(W z7Ty02w*NN*EB>z}wj7^q1p_RDu1X)KE?ieBE54k|4&1rIr?-vk~yzt6UM zE(7sg8SQLl?NGzc{bri0D_&S?PggqV!b6Jc6$f-0>QyaR!t`P!)`a4Mis+8By@l$R zh}w=jFZ#*(X}WBT1oUIq3mX#yKp)Id0?>br0KtiCdD#%oVq@qK0S9C=?>GL_s&r@l zzmP7>_*a@NGz39nf^?mm@9(C%ut~Al;|mKBed!)kx@@{dm{h*w732$q>7l4fw-xO_ zns~xR2HC{B7?a~i7iw=D;Hj2{Ng%|%78$F!7jn=T$Ix}MN_F$#R#IkH<=uQAt2I&E zjpq4>>HK@bn07YzOE$P~zdj=Jv(Q~|0=8e>qow;Ir$awOomM7Ctduu)kg*cx0y_|J z;C)gzg1=ppW3%R|=0k5q?zxaCSTF?nqlSM$4o5sBBSi`MX|4FzE~?00{JEVF$N~*YN~$lWU3OidiH(Kwa# z5rAQ4HK2Opu{X*i9{PuU|DBS?q|N)YIu`1Dn_f@Xr-@>2BAv{m*=i-~fIH+@l1?t} z^&Lc(Q}^Cbtj64N%_M}?|JRV{m8<|e!TzRmd8rU|QBw^wgcg9xCB08G`p(71<&JYV<LGBWuE_Wjf$48g{H}1F1l;Ddca@4dAolXlf0k#vFi>$>et>Vz+>sGn z8zPBF5&p&3qMyQ(Sn!x-^h0$&+MPC(hehhVHN-@b6n)ZZrD-AU5rXJ1Z@QR^tcED; z*(O&BJ44vKj_38wSUJ&`S$R4MKkMv=3}tdduf#ob+q<~{{G9$szbiP{GmHNeP2CEH z5nLUeL6$}!TbNfpERjagM|@@_4njRgTW$@uzJ@ZYHVGIuI%oTv&Km|rKR5t+;QcEb zULOG3)0pQ3fW#XTNa4P`ULGw^bI6LFghL>(3WfJ5%gghLE`EADYEiLX{`xEx+FU_r zBt2lYxg$xInC^6spTwEWtGvtI9S)g1JyhCkBN0$*$2lL4Z;(%ajQR&?s9)f72+NE2 zVuhX#yio5OZfN5-X}e!^DCVMcN?Nts7d1&0bbB^CX&O!t<0S8@Wnt9;Pl3k9`%UKT zC+|@nP7`wU3&5Rriam=AFoo9hLCfP;aF!flo2ueC$mvlv^{*iKIM>w00HsSi&rsyC zLhfU}^dpwhb3KL&=}pcVHm^&5pU-47_uL?#b{pZ?1N$E#;0&ZkaqdHA>_X?^W z{7n9_YU^;}nh4s^oS6L-@Bn*0Vqiy3|gbvtAMzb!gX zTfI&SMkpGNs)Uwvs`u|~C_fpu%9MC)5w+&(b1xFejfNe@w&T`-{7I&yoa!-r{i}4X5*5xecpo?t zj5e33&n9QQeP;1lP?aQ-+P3TNjIE<;L{g%JNNqRlBbd)%hrCHuYY?lFdog^8vSR;3|sx5ynvcWr<|2O zlTvPvUXmjDI_xiuP`$^BUP57bzZGY`D6|RyMy4FtC)a{TTuw$^e2UXx!apJe$W3a) zGn;Vy2dT0J5Ov5Dm>go@*rV8vvR&=Ap7?|r4=H;IKnq3mJTNAL16m>Mb!;Xg&6T!{ z+AC4`>w7~tLNYM>@K_7J+hdG&!VtpY*G1~+6Pk!rqo)y!#U9~0_JB~lg@SzkKoz&S zhjR)HFrg*@eu*AjM6*JWX%Jk7jD6kX=J=z#u50V$VPXx5<5JV2ZTb!%=11qK6#ueU z3wSw&Gs|n^=p|%4tkLhE&3#FO^OP(y3*bPOqyFR_4O*ukB*0UwP$W;Gw9@D?=2^xc zp5WmA#4oj`MAH$#T+)o$5n!3Uw-K^Z3N1@)5UF#`lA_1JZ9h{ zare!1hL>oHu*+c3y1qrsnM34C^|0iTD&>v-N+ zcm86b#>+BPEy^Et#6*V#DV{3FbbIbCND+Me<5HW)-c5ralu;iwUWu#yy`D~l$90gX zzU=L6??QH6$O(IfS@xk$7RJ2wN$8&Vq(?_nE5mBxsTpB>JCg(Kt@>~Gw%gUOcIYnUnEgH=*--r=PG&M^c!Rqt){-!`&;Y zI%CHDNfFa%=L9&Pw-uLqVPDe;0?}N6Cri~LQ)1k9u@LKkZ0@p%HgrraQRiCKPo3=I z`Ylpio0W4V#_aLIJ3AXm&o~%@1h3Uco14I`2F@}+zl9$FpTvBtLD*crxGkT-CDpGm zCH7QRB9n3#>TvtTKdzlB-5X_|rY$2icaBoAc>S(^sMPIhkfbX59k~@C8mRp}RoCQe zdE=w|MJs9UDFRlp(nCxA4|U2q%~dcRdCffKsgY+#^_ zhNZ^%(u6x6O9e|&Z{Aa1zqzM|FBx{ma)0+>9r$lU_@6!{^g9|pvhD$9fXp%GOzQxX z#!~UCr&b{nua&V&(hydW_vd*)7a->K(LQ+U3kNFL^Ua00;A5&Tnkst}gC8lh6(^z0 zBI?FKYU!`_F^WJ}M0=sUk8YTlS5YO=!B$AcmR^&i8C|B!^xMF#xVaJ9mq#G6Lo8k0 z`rcbhul*y#Jpq9Bep_SG9xKd9=_17#$Y9Q(z^y%OZ!h2kNb(7`d@N!n^lzdc8wDI`V6VFkeTmpSD>cD0> z5f6iId?=!0SfXHbfPZMuWqbeeHE5e>yKmigaR8MH?aD0syVpb?YC62OhnJK2O?bE1 z**Ve_M@b+=LI<_b)7GTQ;&TnW9GOF}QyJ%+!E=J|6{p{%?kuzv46aW@A`SP!$_Q8Xo3A zLRyZ3SiUrd#8Fm1J+x$G4a<`}H3zX|dQEA>dj8q#aubPrhG-|pQl5}2J)lzBZXcy2 zl6d8~;Ts=4lp1eR(d=O&XJMn`%P~le%6?6Q0MwvSVAdqz-2*|cD)f$?AfH#Hj#or= z8r#IO&bDv3`X6nw=Q&(q@hW3foAN($!$@|RwYms0cu0hqYpHW3t#jcn?t))Bi|Y%V z>V(iQ0{?_i&KFhQs#x~n=EPEEah0DYf+W<}3w@O#r_t&A03V8yM#vapHBv=BL>;Ua zsJmcRpQR((I1LMy2)g&3_1P93PPp>T9+hPzZOh-TwZ>d7qRe1u)?&XM*n8XoViaKp z!J*RzS@@fieZGVQHTe+Ql1E8Z_@^^-ViGgfS%~ssZlMBIKwh23p&@)_rO7I*SWc9F z#HCoNLS(}*fbVQ4kO{X!}zB|0$u*EntXqZA{>M&X-HzYB!;u$R~v z{IV5hJogm*+D{AiKb`V_o!>dc5S3Ws8ZgZDydfSkr}#N||G~?P^{~}cab9)$b4k5p zhem5^NY-IHOpQ|L8H5iK^2ODvQSSp~oDAMCd(^cWsJN&LyAae!>Mv;;K%Yy-x`@(4 z^Wpr3b6|DyJVnR^HI8KxS4ZPw4LacHdT#B#!*67ohAN3&Wrf8HQ9V8yjIOlNn#r+2 zL$bs(!Nrl~+)P->ROZ>Azo1KlAco-Vc*CC;Ph)jgUSUK(7q54Y-$OwL=5U<5d^FzeX8xO zJ*%n{W`80PRLSAuvQ{hl6wD^fg0dfL+ZHqrNaG$t$6)Zn5M7eagp+%9>fMHA?i^gu zLg3BzZRx@Ilo%R=chRV>h8CC;&Yvk1{w7?$xpZSKnKuu#;4& z)EQJoqWJfgfq<`H_AgOyWQz~M-UbGX3cx0l-BnaLH*>stlac2}q*aoV2Q})ybUBXC z8+#9t33iFH$XoHw)Vl@a461zCs~8mUcAUFjqknNDuuv|psauTOZ`(A8Zmm&Z0po+5 zJ(WmPerpdqPIGWE0-9e3WbHkgpR&9ql549Kk z*UqPZtA-S2s}j>ZDcJs@ zY|PgLmvd;@czy^Sl-~APkV9-u*FR#;!KmQ*5i5nqYIM?J_(fnp*y$$S<6m83@u|aX zMVcw{bd~8QFj;FMmu#95=?;R)>MQPGZTt|E>l|Wq2u*gpYf^AhZ}XmM>LqinS(aMMzm zbWo_Uy!HsC+jYiTX}-^QAw2t=3!R&yq1?;8k87WhocT~Z@;wWWd={E?v2ry%rDp%v zJ-ecEi3|%~?@%oOb!b+-_U~<*W|JDEx<}NvrHQ%Iy671J_rE-~IIsBEqxEY`lIwrT zYL6ogR?`yvU_hZz{@V97w)Ge-^&bUPbt|Hxcq=?;6)cPQ^Mu}PHmS+HuyMHyT%oR4 zpvB&bz+WQgSeVJob*m)K8s%w1j(XVi#Nc4V`yk|@p3xL7- zf!(g@jV&~)QNOVvD-ko@5NX(3WQSr7_HjLyelApooTW0@kxpQ=7iar)qpRxoUO{!X72*vslds+hf@I`*(y5Pgj727W|yYb<{RB!>ru~U z9lcibC$*)~e#_ZhZP<}^n!}DoHiBq`f@pOA1ALo$4GregjZUifRY%*{$}X7{xSa86 zTkf&q_tqQk_`;+mI{aJ2gw(dc&&C}t%ij7&KPNY~+yA!(WPNqV@}HQGK;r)E!`&G{ z2K9ZAarXDt)46Tz^$_X~P1G<9>T?WJzt}9}!ymxXI^LrpCce9IvtKH}sZ( z`IWSVE72A0n%j>Dw~CC;S0fl75ELK7**D`h`OY5o-c8;C7JG{%3t5!4rN_^_5Gu;S@~q#ap{Wpe^W_U`~%vn9jAVz{+0;aam405F|Z zV8C9sPjTC6yP=Ycp+n5lkgJvX->wKvOM<|)6mUtvi#IRySuw2ysF&z7AfJD>nA-G- z^eb7tz0cnC>Sd!s0l#_ujv8Z;&XVOR?Gax01s^~{5@H?0@jguQmXNHSN8%d+;zI&0 z2ZqgHv`q*IrN*5=k~>d&F4uRn_Nqyu7H1UF#je@rEjm&W1mJz+i9}+L(Tp+@{i(~U z5*ROI`MkOJts}RsN@mt;=>4ruHt5~lqV4vXOuNWCJsxq-VYY?$>{tkTVT>#GFM*%Q zQ`;|Zgbg}bATb8k@V4LwHpPp1o6^(VT zvIT;FawOW=u}hdAr8&LIf&n(+ZSC*Mz!YI;Nu8QG_k^^;6u@YowbYQD;b;Uo17$77 zN}W}?K4c_IV69@NGc~9d=%dEb$e7@jMs}HWnSZ0A&DKwS63Ee29Y(N6g|{qE}MQW-P~oWCS{$!)NPTWTfiJTmHbmI3%`-p7*_CBkrZuo-RUQ za%gSo*@H_Mc5+g1B&evCw8rw~FpdLm+Nym2PU3oIZqlaSI>Sr+{=x?E=F}`T$z|xOS zn%Ig@?*Bf;@zK~Czm?WyI3au24IX+FLRHs!y3||^zrcOMCfl6f$AA=n@-%0;-w^~Rc9eJ^Hc|KEu|gmw{O*6VR`4E-Ba9AFuLdLksc;ARxn)%p)Yt&-Arr7KtntzPtR>7yS zWBq^Ej{hzE#B2Xs_(`$?ga{s{Lg&ea|F7{&CZW_gOSzB4!=)%l&hT>G|6?6+nli@B zKjx=8TNaGCG;Ij?b`UZF`h_5g#jVgZ`q?@BI!|Sk@O?|}!Te`w($ZgZe!PUR4x7X~t7$5=6CT&Kr z51r3`04nu!&-F3*HACk1Oe8*P1PP9Ewy?`jIC+l?57wyUf`bmcc z_r26%(&5x=W1#|~@tc{&EJ3;O)GTD0#%QAV!$ zXsmcr0Lmz83OEkL7%KdObtoyoaUIU2kD(}M)QE@YIquoHS3#21e>Pu)HfR?tO|I?) ze$Vl#QMaN?#6qc)3ygmFN)gMduC*g-B3MK2w?I9ZH5l#VWB)BO`zHhKL87OELBG5A zqdliVJG1EZw5D}&tM|xUQ^r8><3Mo9Qe%Fs;kRLP6LRo79QGvu=C@qs-j5PqK8vi+ zJ*L>ckM1|5s9I|^3}YN>_NlF&r{Ds?uy*RMv@IWWDr-Q3q-42^M<sSX1w!S-S|t3o+I1ne3Jz$3*I2@m3a- zE9J8!@jIZpaf^L1{4o&u4tcw4l!-nz7X<}!X)b0v-a2e1&0+cB$ep4S=%^OuyY$|B znS!{c5y~mS3mc2J1RqgK0U-_JXvUgO%hF=&^Vg!)y+M%QCJ6my4(h}&aq53~JMBM} z`h5;i4GNN%(8&?t@)(|g?!PzW#HHbNUiMv@kMwlqbHC^#U8sBJH)wT)HMvXSCshx# zj1e8{f3$G}Oagw@U>I>It?R&Y7mJ}~yPasco#&fETWd7KqIJJO4lxJu#kpMPzBSYX zc@7krtA9?>J`R&rnwERJBfi05Ad!hju*J>@{>`7JyA=o`3r-(*KTP<9zc^|-4XQ&V zl6q$0mHnE(S4z6z5&Ra2T`{TXC zb`aG=b>3Oam+!HCGTDVMbiW4FZ}oyM5B*At+9{;RHlqbA5p#^Xp7MVBb|hdA^pZ{& z8j&4CH4&rP>flzTz0Qe|+cJBLZ<5Zcy(Q3@?9`f1s zE(*VUafBRxw6UY(;joj-*%k+AS8b89d#EggkGjSMlt5*=zq;#x3qFYFb5m&9oN6_G z@Gf&pX5?3(aQe_7HJU;MFIhno#85`piX*0TWad*XS4tZ+?v)MA|5MzmL-ag=T@-<- z!~ap-N_4jqnA3)bWm64cEIyp`3`A#y;k+JN z3ly15>~op!cj33!Ot+bQejLkn1dJP`aj<`BlNQbun6gIy08|SIpqupj7fnvN1trpq zn%AgN#j~r>tJPSap`jO0Q1%J(u zf2E0q8d;iP4I2(o$UWF$k{DRU`)s5qBM}ww>eEow$1xx{$^s9>#ID~XM68raSlyA+ z?*Mp?PSZdf=9>=P-!a2)9rN`3b;W@lyz*=lIunt^DO84cYq+JdRO7~J+u{Ou@TcL!;>E))QC>l_Dk*6!`id1|JF6( z!QSmMoBE?{;n&~ZDE#U@@)|#3WmtLO*4OSn4Eb6{YTsO{Zn3H`g4)sszV?cTkXr@a z+!mW*$hwDsXB=$bGxys&);poW?zZB|-_-g|>2RcrusrPrBOnL(DButfqwY3m#HB-O z*l?VgSGIe?xqKqT(R%>nLoOJxUI&>a%6Je)7{uzaSJ1Mg%NvJ046HAN(|QX&&*KKOk3^SS*-N;kgp@ zqTGDjh4K-_5#!%)p}1eK^ey9J>jIVYVk;ulk{O6q~Cn?MXR5;;X6B=ce@h;-W(IZb) ztfb3ayY?SXf4;))>hEK>sV0b(&6dUPuu-rIiA+Z z>JzS^m~u-71rMR#13Q^j42{bEQMI#1j^0vz{)Rx^r{0xM&CVcftrJ>G`?P~t2p$*4 zRcx+#NZKoYvDmSjXQ9ap_b|D=sRIM?PeKHFt!CYiSDoy`13#!LFC%V7TGf@x&f3nz zE^BetNxWvgdV9_NWYZ8H+4QE5sOrW{0z$}Gq9hYF1!Oc6cNfm{yy(}Q>`}pvsICu- z7Qq6C@l`*(w~4VQR)wEeNyc>&FeKiwDe9SzMQT+@?a$YS>3c6WYqtA_#;A#Z2+GG> zBa|