From d6c36272b8ba1f08e1d5038f35334231a0dac31c Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sat, 6 Mar 2021 12:08:41 -0500 Subject: [PATCH 01/19] Internals: Align associative parsing with Verilator. --- Parser/VParseBison.y | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y index 73e7662..6a29389 100644 --- a/Parser/VParseBison.y +++ b/Parser/VParseBison.y @@ -1603,9 +1603,10 @@ variable_dimension: // ==IEEE: variable_dimension '[' ']' { $$=$1; $$=""; } // // IEEE: unpacked_dimension | anyrange { $$=$1; $$=$1; } - | '[' constExpr ']' { $$=$1; $$="["+$2+"]"; } - // // IEEE: associative_dimension - | '[' data_type ']' { $$=$1; $$="["+$2+"]"; } + // // IEEE: unpacked_dimension (if const_expr) + // // IEEE: associative_dimension (if data_type) + // // Can't tell which until see if expr is data type or not + | '[' exprOrDataType ']' { $$=$1; $$="["+$2+"]"; } | yP_BRASTAR ']' { $$=$1; $$="[*]"; } | '[' '*' ']' { $$=$1; $$="[*]"; } // // IEEE: queue_dimension From fc65fbd3ee5059b2767d318587a1c36de983a3c3 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Mon, 29 Mar 2021 19:32:53 -0400 Subject: [PATCH 02/19] Add .clang-format --- .clang-format | 111 ++++++++++++++++++++++++++++++++++++++++++++++++++ MANIFEST | 1 + 2 files changed, 112 insertions(+) create mode 100644 .clang-format diff --git a/.clang-format b/.clang-format new file mode 100644 index 0000000..d960295 --- /dev/null +++ b/.clang-format @@ -0,0 +1,111 @@ +--- +Language: Cpp +# BasedOnStyle: LLVM +AccessModifierOffset: -4 +AlignAfterOpenBracket: Align +AlignConsecutiveAssignments: false +AlignConsecutiveDeclarations: false +AlignEscapedNewlines: DontAlign +AlignOperands: true +AlignTrailingComments: false +AllowAllParametersOfDeclarationOnNextLine: true +AllowShortBlocksOnASingleLine: true +AllowShortCaseLabelsOnASingleLine: true +AllowShortFunctionsOnASingleLine: All +AllowShortIfStatementsOnASingleLine: true +AllowShortLoopsOnASingleLine: true +AlwaysBreakAfterDefinitionReturnType: None +AlwaysBreakAfterReturnType: None +AlwaysBreakBeforeMultilineStrings: false +AlwaysBreakTemplateDeclarations: false +BinPackArguments: true +BinPackParameters: true +BraceWrapping: + AfterClass: false + AfterControlStatement: false + AfterEnum: false + AfterFunction: false + AfterNamespace: false + AfterObjCDeclaration: false + AfterStruct: false + AfterUnion: false + AfterExternBlock: false + BeforeCatch: false + BeforeElse: false + IndentBraces: false + SplitEmptyFunction: true + SplitEmptyRecord: true + SplitEmptyNamespace: true +BreakBeforeBinaryOperators: All +BreakBeforeBraces: Attach +BreakBeforeInheritanceComma: false +BreakBeforeTernaryOperators: true +BreakConstructorInitializersBeforeComma: false +BreakConstructorInitializers: BeforeComma +BreakAfterJavaFieldAnnotations: false +BreakStringLiterals: true +ColumnLimit: 99 +CommentPragmas: '^ IWYU pragma:' +CompactNamespaces: false +ConstructorInitializerAllOnOneLineOrOnePerLine: false +ConstructorInitializerIndentWidth: 4 +ContinuationIndentWidth: 4 +Cpp11BracedListStyle: true +DerivePointerAlignment: false +DisableFormat: false +ExperimentalAutoDetectBinPacking: false +FixNamespaceComments: true +ForEachMacros: + - foreach + - Q_FOREACH + - BOOST_FOREACH +IncludeBlocks: Preserve +IncludeCategories: + - Regex: '^"(llvm|llvm-c|clang|clang-c)/' + Priority: 2 + - Regex: '^(<|"(gtest|gmock|isl|json)/)' + Priority: 3 + - Regex: '.*' + Priority: 1 +IncludeIsMainRegex: '(Test)?$' +IndentCaseLabels: false +IndentPPDirectives: None +IndentWidth: 4 +IndentWrappedFunctionNames: false +JavaScriptQuotes: Leave +JavaScriptWrapImports: true +KeepEmptyLinesAtTheStartOfBlocks: true +MacroBlockBegin: '' +MacroBlockEnd: '' +MaxEmptyLinesToKeep: 1 +NamespaceIndentation: None +ObjCBlockIndentWidth: 2 +ObjCSpaceAfterProperty: false +ObjCSpaceBeforeProtocolList: true +PenaltyBreakAssignment: 2 +PenaltyBreakBeforeFirstCallParameter: 19 +PenaltyBreakComment: 300 +PenaltyBreakFirstLessLess: 120 +PenaltyBreakString: 1000 +PenaltyExcessCharacter: 1000000 +PenaltyReturnTypeOnItsOwnLine: 60 +PointerAlignment: Left +ReflowComments: true +SortIncludes: false +SortUsingDeclarations: true +SpaceAfterCStyleCast: false +SpaceAfterTemplateKeyword: true +SpaceBeforeAssignmentOperators: true +SpaceBeforeParens: ControlStatements +SpaceInEmptyParentheses: false +SpacesBeforeTrailingComments: 2 +SpacesInAngles: false +SpacesInContainerLiterals: true +SpacesInCStyleCastParentheses: false +SpacesInParentheses: false +SpacesInSquareBrackets: false +Standard: Cpp03 +TabWidth: 8 +UseTab: Never +... + diff --git a/MANIFEST b/MANIFEST index a29c36f..f117cf8 100644 --- a/MANIFEST +++ b/MANIFEST @@ -1,3 +1,4 @@ +.clang-format .gitignore Changes COPYING From 3842569120c0b686cda5fe9dc3d4b041ddedb6f6 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Mon, 29 Mar 2021 19:42:56 -0400 Subject: [PATCH 03/19] Fix parameter type = struct. --- Changes | 2 ++ Parser/VParseBison.y | 53 +++++++++++++++++++++++++----------------- Parser/VParseGrammar.h | 16 +++++++++---- t/35_sigparser.out | 10 +++++++- t/35_sigparser_ps.out | 10 +++++++- verilog/parser_sv.v | 6 +++++ 6 files changed, 69 insertions(+), 28 deletions(-) diff --git a/Changes b/Changes index f4a8800..be69708 100644 --- a/Changes +++ b/Changes @@ -6,6 +6,8 @@ indicates the contributor was also the author of the fix; Thanks! * Verilog-Perl 3.475 devel +**** Fix parameter type = struct. [Nathan Chrisman] + * Verilog-Perl 3.474 2020-10-29 diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y index 6a29389..342dcff 100644 --- a/Parser/VParseBison.y +++ b/Parser/VParseBison.y @@ -53,15 +53,22 @@ #define NEWSTRING(text) (string((text))) #define SPACED(a,b) ((a)+(((a)=="" || (b)=="")?"":" ")+(b)) +#define VARS_PUSH() { GRAMMARP->m_varStack.push_back(GRAMMARP->m_var); } +#define VARS_POP() { GRAMMARP->m_var = GRAMMARP->m_varStack.back(); GRAMMARP->m_varStack.pop_back(); } + #define VARRESET_LIST(decl) { GRAMMARP->pinNum(1); VARRESET(); VARDECL(decl); } // Start of pinlist #define VARRESET_NONLIST(decl) { GRAMMARP->pinNum(0); VARRESET(); VARDECL(decl); } // Not in a pinlist #define VARRESET() { VARDECL(""); VARIO(""); VARNET(""); VARDTYPE(""); } // Start of one variable decl // VARDECL("") indicates inside a port list or IO list and we shouldn't declare the variable -#define VARDECL(type) { GRAMMARP->m_varDecl = (type); } // genvar, parameter, localparam -#define VARIO(type) { GRAMMARP->m_varIO = (type); } // input, output, inout, ref, const ref -#define VARNET(type) { GRAMMARP->m_varNet = (type); } // supply*,wire,tri -#define VARDTYPE(type) { GRAMMARP->m_varDType = (type); } // "signed", "int", etc +#define VARDECL(type) \ + { GRAMMARP->m_var.m_decl = (type); } // genvar, parameter, localparam +#define VARIO(type) \ + { GRAMMARP->m_var.m_io = (type); } // input, output, inout, ref, const ref +#define VARNET(type) \ + { GRAMMARP->m_var.m_net = (type); } // supply*,wire,tri +#define VARDTYPE(type) \ + { GRAMMARP->m_var.m_dtype = (type); } // "signed", "int", etc #define PINNUMINC() { GRAMMARP->pinNumInc(); } @@ -70,18 +77,19 @@ enum net_idx {NI_NETNAME = 0, NI_MSB, NI_LSB}; -static void VARDONE(VFileLine* fl, const string& name, const string& array, const string& value) { - if (GRAMMARP->m_varIO!="" && GRAMMARP->m_varDecl=="") GRAMMARP->m_varDecl="port"; - if (GRAMMARP->m_varDecl!="") { - PARSEP->varCb(fl, GRAMMARP->m_varDecl, name, PARSEP->symObjofUpward(), GRAMMARP->m_varNet, - GRAMMARP->m_varDType, array, value); +static void VARDONE(VFileLine * fl, const string& name, const string& array, const string& value) { + if (GRAMMARP->m_var.m_io != "" && GRAMMARP->m_var.m_decl == "") + GRAMMARP->m_var.m_decl = "port"; + if (GRAMMARP->m_var.m_decl != "") { + PARSEP->varCb(fl, GRAMMARP->m_var.m_decl, name, PARSEP->symObjofUpward(), + GRAMMARP->m_var.m_net, GRAMMARP->m_var.m_dtype, array, value); } - if (GRAMMARP->m_varIO!="" || GRAMMARP->pinNum()) { - PARSEP->portCb(fl, name, PARSEP->symObjofUpward(), - GRAMMARP->m_varIO, GRAMMARP->m_varDType, array, GRAMMARP->pinNum()); + if (GRAMMARP->m_var.m_io != "" || GRAMMARP->pinNum()) { + PARSEP->portCb(fl, name, PARSEP->symObjofUpward(), GRAMMARP->m_var.m_io, + GRAMMARP->m_var.m_dtype, array, GRAMMARP->pinNum()); } - if (GRAMMARP->m_varDType == "type") { - PARSEP->syms().replaceInsert(VAstType::TYPE,name); + if (GRAMMARP->m_var.m_dtype == "type") { + PARSEP->syms().replaceInsert(VAstType::TYPE, name); } } @@ -89,7 +97,7 @@ static void VARDONETYPEDEF(VFileLine* fl, const string& name, const string& type VARRESET(); VARDECL("typedef"); VARDTYPE(type); VARDONE(fl,name,array,""); // TYPE shouldn't override a more specific node type, as often is forward reference - PARSEP->syms().replaceInsert(VAstType::TYPE,name); + PARSEP->syms().replaceInsert(VAstType::TYPE, name); } static void parse_net_constants(VFileLine* fl, VParseHashElem nets[][3]) { @@ -1543,8 +1551,11 @@ struct_union_memberList: // IEEE: { struct_union_member } ; struct_union_member: // ==IEEE: struct_union_member - random_qualifierE data_type_or_void { VARRESET_NONLIST("member"); VARDTYPE(SPACED($1,$2)); } - /*cont*/ list_of_variable_decl_assignments ';' { } + random_qualifierE data_type_or_void + { VARS_PUSH(); // Structs can be recursive, or under a parameter typs + VARRESET_NONLIST("member"); VARDTYPE(SPACED($1,$2)); } + /*cont*/ list_of_variable_decl_assignments ';' + { VARS_POP(); } ; list_of_variable_decl_assignments: // ==IEEE: list_of_variable_decl_assignments @@ -1739,12 +1750,12 @@ data_declarationVarFrontClass: // IEEE: part of data_declaration (for class_prop // // VARRESET called before this rule // // yCONST is removed, added to memberQual rules // // implicit_type expanded into /*empty*/ or "signingE rangeList" - yVAR lifetimeE data_type { VARDECL("var"); VARDTYPE(SPACED(GRAMMARP->m_varDType,$3)); } - | yVAR lifetimeE { VARDECL("var"); VARDTYPE(GRAMMARP->m_varDType); } - | yVAR lifetimeE signingE rangeList { VARDECL("var"); VARDTYPE(SPACED(GRAMMARP->m_varDType,SPACED($3,$4))); } + yVAR lifetimeE data_type { VARDECL("var"); VARDTYPE(SPACED(GRAMMARP->m_var.m_dtype, $3)); } + | yVAR lifetimeE { VARDECL("var"); VARDTYPE(GRAMMARP->m_var.m_dtype); } + | yVAR lifetimeE signingE rangeList { VARDECL("var"); VARDTYPE(SPACED(GRAMMARP->m_var.m_dtype, SPACED($3, $4))); } // // // Expanded: "constE lifetimeE data_type" - | /**/ data_typeVar { VARDECL("var"); VARDTYPE(SPACED(GRAMMARP->m_varDType,$1)); } + | /**/ data_typeVar { VARDECL("var"); VARDTYPE(SPACED(GRAMMARP->m_var.m_dtype, $1)); } // // lifetime is removed, added to memberQual rules to avoid conflict // // yCONST is removed, added to memberQual rules to avoid conflict // // = class_new is in variable_decl_assignment diff --git a/Parser/VParseGrammar.h b/Parser/VParseGrammar.h index 7ebc839..d821121 100644 --- a/Parser/VParseGrammar.h +++ b/Parser/VParseGrammar.h @@ -54,6 +54,14 @@ struct VParseNet { : m_name(net), m_msb(""), m_lsb("") {} }; +struct VParseVar { + string m_decl; + string m_net; + string m_io; + string m_dtype; + string m_range; +}; + //============================================================================ // We can't use bison's %union as the string type doesn't fit in a union. // It's fine to use a struct though! @@ -74,11 +82,8 @@ class VParseGrammar { public: // Only for VParseBison int m_pinNum; ///< Pin number being parsed - string m_varDecl; - string m_varNet; - string m_varIO; - string m_varDType; - string m_varRange; + + VParseVar m_var; string m_cellMod; bool m_cellParam; @@ -93,6 +98,7 @@ class VParseGrammar { deque m_pinStack; deque m_portStack; + deque m_varStack; public: // But for internal use only static VParseGrammar* staticGrammarp() { return s_grammarp; } diff --git a/t/35_sigparser.out b/t/35_sigparser.out index b29caf6..e699175 100644 --- a/t/35_sigparser.out +++ b/t/35_sigparser.out @@ -947,7 +947,7 @@ verilog/parser_sv.v:348: VAR 'parameter' 'P1' 'module' '' 'logic [31:0]' '[3:0] verilog/parser_sv.v:348: COMMENT '// unpacked array' verilog/parser_sv.v:349: VAR 'member' 'ecc' 'struct' '' 'logic' '' '' verilog/parser_sv.v:349: VAR 'member' 'data' 'struct' '' 'logic [7:0]' '' '' -verilog/parser_sv.v:349: VAR 'member' 'memsig' 'module' '' 'struct' '' '' +verilog/parser_sv.v:349: VAR 'net' 'memsig' 'module' 'wire' 'struct' '' '' verilog/parser_sv.v:350: ENDMODULE 'endmodule' verilog/parser_sv.v:352: MODULE 'module' 'not_a_bug315' undef '0' verilog/parser_sv.v:353: VAR 'typedef' 'supply_net_t' 'module' '' 'int' '' '' @@ -1035,6 +1035,14 @@ verilog/parser_sv.v:417: PORT 'ifmp' 'module' '' '' '' '1' verilog/parser_sv.v:418: INSTANT 'if_bug777' 'ifmp' '' verilog/parser_sv.v:418: ENDCELL '' verilog/parser_sv.v:419: ENDMODULE 'endmodule' +verilog/parser_sv.v:421: MODULE 'module' 'bug_param_struct' undef '0' +verilog/parser_sv.v:422: VAR 'parameter' 'ROWS' 'module' '' 'int' '' '2' +verilog/parser_sv.v:422: PORT 'ROWS' 'module' '' 'int' '' '1' +verilog/parser_sv.v:423: VAR 'member' 'row_id' 'struct' '' 'logic [ROWS-1:0]' '' '' +verilog/parser_sv.v:423: VAR 'parameter' 'data_t' 'module' '' 'type' '' 'struct' +verilog/parser_sv.v:424: VAR 'port' 'd' 'module' '' 'data_t' '' '' +verilog/parser_sv.v:424: PORT 'd' 'module' 'input' 'data_t' '' '1' +verilog/parser_sv.v:425: ENDMODULE 'endmodule' verilog/parser_sv09.v:001: COMMENT '// 1800-2009 mantis1769' verilog/parser_sv09.v:002: MODULE 'module' 'mantis1769' undef '0' verilog/parser_sv09.v:002: VAR 'parameter' 'N' 'module' '' '' '' '1' diff --git a/t/35_sigparser_ps.out b/t/35_sigparser_ps.out index a64cd50..08440c1 100644 --- a/t/35_sigparser_ps.out +++ b/t/35_sigparser_ps.out @@ -990,7 +990,7 @@ verilog/parser_sv.v:348: VAR 'parameter' 'P1' 'module' '' 'logic [31:0]' '[3:0] verilog/parser_sv.v:348: COMMENT '// unpacked array' verilog/parser_sv.v:349: VAR 'member' 'ecc' 'struct' '' 'logic' '' '' verilog/parser_sv.v:349: VAR 'member' 'data' 'struct' '' 'logic [7:0]' '' '' -verilog/parser_sv.v:349: VAR 'member' 'memsig' 'module' '' 'struct' '' '' +verilog/parser_sv.v:349: VAR 'net' 'memsig' 'module' 'wire' 'struct' '' '' verilog/parser_sv.v:350: ENDMODULE 'endmodule' verilog/parser_sv.v:352: MODULE 'module' 'not_a_bug315' undef '0' verilog/parser_sv.v:353: VAR 'typedef' 'supply_net_t' 'module' '' 'int' '' '' @@ -1078,6 +1078,14 @@ verilog/parser_sv.v:417: PORT 'ifmp' 'module' '' '' '' '1' verilog/parser_sv.v:418: INSTANT 'if_bug777' 'ifmp' '' verilog/parser_sv.v:418: ENDCELL '' verilog/parser_sv.v:419: ENDMODULE 'endmodule' +verilog/parser_sv.v:421: MODULE 'module' 'bug_param_struct' undef '0' +verilog/parser_sv.v:422: VAR 'parameter' 'ROWS' 'module' '' 'int' '' '2' +verilog/parser_sv.v:422: PORT 'ROWS' 'module' '' 'int' '' '1' +verilog/parser_sv.v:423: VAR 'member' 'row_id' 'struct' '' 'logic [ROWS-1:0]' '' '' +verilog/parser_sv.v:423: VAR 'parameter' 'data_t' 'module' '' 'type' '' 'struct' +verilog/parser_sv.v:424: VAR 'port' 'd' 'module' '' 'data_t' '' '' +verilog/parser_sv.v:424: PORT 'd' 'module' 'input' 'data_t' '' '1' +verilog/parser_sv.v:425: ENDMODULE 'endmodule' verilog/parser_sv09.v:001: COMMENT '// 1800-2009 mantis1769' verilog/parser_sv09.v:002: MODULE 'module' 'mantis1769' undef '0' verilog/parser_sv09.v:002: VAR 'parameter' 'N' 'module' '' '' '' '1' diff --git a/verilog/parser_sv.v b/verilog/parser_sv.v index 01cf875..f44d696 100644 --- a/verilog/parser_sv.v +++ b/verilog/parser_sv.v @@ -417,3 +417,9 @@ endmodule module bug868 (ifmp); if_bug777.master ifmp; endmodule + +module bug_param_struct + #(int ROWS = 2, + type data_t = struct packed { logic [ROWS-1:0] row_id; }) + (input data_t d); +endmodule From b2fa5406dd6946b717596f4deb35a905e456acac Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Tue, 13 Apr 2021 15:58:29 -0400 Subject: [PATCH 04/19] Version bump --- Changes | 4 ++-- EditFiles.pm | 4 ++-- Getopt.pm | 4 ++-- Language.pm | 4 ++-- META.yml | 2 +- Makefile.PL | 2 +- Netlist.pm | 4 ++-- Netlist/Cell.pm | 4 ++-- Netlist/ContAssign.pm | 4 ++-- Netlist/Defparam.pm | 4 ++-- Netlist/File.pm | 4 ++-- Netlist/Interface.pm | 4 ++-- Netlist/Logger.pm | 4 ++-- Netlist/ModPort.pm | 4 ++-- Netlist/Module.pm | 4 ++-- Netlist/Net.pm | 4 ++-- Netlist/Pin.pm | 4 ++-- Netlist/PinSelection.pm | 2 +- Netlist/Port.pm | 4 ++-- Netlist/Subclass.pm | 4 ++-- Parser/Makefile.PL | 2 +- Parser/Parser.pm | 4 ++-- Parser/Parser.xs | 2 +- Parser/SigParser.pm | 4 ++-- Parser/VAst.cpp | 2 +- Parser/VAst.h | 2 +- Parser/VParse.cpp | 2 +- Parser/VParse.h | 2 +- Parser/VParseBison.y | 2 +- Parser/VParseGrammar.h | 2 +- Parser/VParseLex.h | 2 +- Parser/VParseLex.l | 2 +- Parser/VSymTable.cpp | 2 +- Parser/VSymTable.h | 2 +- Parser/bisonpre | 4 ++-- Parser/callbackgen | 4 ++-- Preproc/Makefile.PL | 2 +- Preproc/Preproc.pm | 4 ++-- Preproc/Preproc.xs | 2 +- Preproc/VFileLine.cpp | 2 +- Preproc/VFileLine.h | 2 +- Preproc/VPreLex.h | 2 +- Preproc/VPreLex.l | 2 +- Preproc/VPreProc.cpp | 2 +- Preproc/VPreProc.h | 2 +- Preproc/flexfix | 2 +- Preproc/toolhash | 2 +- Preproc/xsubppfix | 2 +- README.pod | 2 +- Std.pm | 4 ++-- t/00_pod.t | 2 +- t/01_manifest.t | 2 +- t/02_help.t | 2 +- t/03_spaces.t | 2 +- t/04_critic.t | 2 +- t/05_yaml.t | 2 +- t/10_keywords.t | 2 +- t/12_splitbus.t | 2 +- t/14_numbers.t | 2 +- t/16_std.t | 2 +- t/20_getopt.t | 2 +- t/30_preproc.t | 2 +- t/32_noinc.t | 2 +- t/33_gzip.t | 2 +- t/34_parser.t | 2 +- t/35_sigparser.t | 2 +- t/36_sigmany.t | 2 +- t/40_netlist.t | 2 +- t/41_example.t | 2 +- t/42_dumpcheck.t | 2 +- t/43_storable.t | 2 +- t/44_create.t | 2 +- t/46_link.t | 2 +- t/48_leak.t | 2 +- t/49_largeish.t | 2 +- t/50_vrename.t | 2 +- t/51_vrename_kwd.t | 2 +- t/56_editfiles.t | 2 +- t/58_vsplitmodule.t | 2 +- t/60_vpassert.t | 2 +- t/80_vppreproc.t | 2 +- t/85_vhier.t | 2 +- t/86_vhier_tick.t | 2 +- t/87_vhier_unicode.t | 2 +- t/test_utils.pl | 2 +- verilog/test.vrename | 2 +- vhier | 4 ++-- vpassert | 4 ++-- vppreproc | 4 ++-- vrename | 4 ++-- 90 files changed, 117 insertions(+), 117 deletions(-) diff --git a/Changes b/Changes index be69708..0097d59 100644 --- a/Changes +++ b/Changes @@ -4,7 +4,7 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! -* Verilog-Perl 3.475 devel +* Verilog-Perl 3.476 2021-04-13 **** Fix parameter type = struct. [Nathan Chrisman] @@ -1468,7 +1468,7 @@ DESCRIPTION: Documentation on change history for this package This uses outline mode in Emacs. See C-h m [M-x describe-mode]. -Copyright 2001-2020 by Wilson Snyder. This program is free software; +Copyright 2001-2021 by Wilson Snyder. This program is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/EditFiles.pm b/EditFiles.pm index c13855f..1e60aa0 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.475'; +$VERSION = '3.476'; ####################################################################### # CONSTRUCTORS @@ -382,7 +382,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2006-2020 by Wilson Snyder. This package is free software; you +Copyright 2006-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Getopt.pm b/Getopt.pm index eb4e65f..2a83dd9 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.475'; +$VERSION = '3.476'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance @@ -813,7 +813,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Language.pm b/Language.pm index cd5da48..05aeef6 100644 --- a/Language.pm +++ b/Language.pm @@ -119,7 +119,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index 616bed5..53c6601 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.475 +version: 3.476 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Makefile.PL b/Makefile.PL index a6b7498..e9fd9e9 100755 --- a/Makefile.PL +++ b/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist.pm b/Netlist.pm index d7d4b25..51ca363 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### #### Error Handling @@ -698,7 +698,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index 3190bbe..b505ec0 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::Cell::Struct' @@ -303,7 +303,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index 67e5016..72b0889 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::ContAssign::Struct' @@ -133,7 +133,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index 2246382..48f654d 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::Defparam::Struct' @@ -133,7 +133,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/File.pm b/Netlist/File.pm index 3a37d3b..efb6e70 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::File::Struct' @@ -561,7 +561,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index 049a85a..a15236f 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::Interface::Struct' @@ -395,7 +395,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index b349295..4aa0659 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.475'; +$VERSION = '3.476'; # We don't use Verilog::Netlist::Subclass, as this is called from it! @@ -167,7 +167,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index 6245fe0..0537b95 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::ModPort::Struct' @@ -275,7 +275,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Module.pm b/Netlist/Module.pm index e90663a..c53246a 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('new', 'Verilog::Netlist::Module::Struct' @@ -533,7 +533,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Net.pm b/Netlist/Net.pm index 7b97c57..ce7e694 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; my %_Type_Widths = ( 'bit' => 1, @@ -408,7 +408,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 67bca39..9a5c1ff 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' @@ -384,7 +384,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/PinSelection.pm b/Netlist/PinSelection.pm index 9869d37..ec74243 100644 --- a/Netlist/PinSelection.pm +++ b/Netlist/PinSelection.pm @@ -118,7 +118,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Port.pm b/Netlist/Port.pm index 0c4a0a4..14b99e1 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.475'; +$VERSION = '3.476'; structs('_new_base', 'Verilog::Netlist::Port::Struct' @@ -197,7 +197,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index ccbbe9f..ff32011 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.475'; +$VERSION = '3.476'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves @@ -320,7 +320,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Makefile.PL b/Parser/Makefile.PL index 26b214c..031c075 100644 --- a/Parser/Makefile.PL +++ b/Parser/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Parser.pm b/Parser/Parser.pm index 97b5e43..999651e 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.475'; +$VERSION = '3.476'; #$Debug sets the default value for debug. You're better off with the object method though. @@ -527,7 +527,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Parser.xs b/Parser/Parser.xs index ca664fa..14ea96b 100644 --- a/Parser/Parser.xs +++ b/Parser/Parser.xs @@ -9,7 +9,7 @@ #* #********************************************************************* #* -#* Copyright 2000-2020 by Wilson Snyder. This program is free software; +#* Copyright 2000-2021 by Wilson Snyder. This program is free software; #* you can redistribute it and/or modify it under the terms of either the GNU #* Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. #* diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index 5f3c080..a5ad2bf 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.475'; +$VERSION = '3.476'; our @_Callback_Names = qw( attribute @@ -526,7 +526,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/VAst.cpp b/Parser/VAst.cpp index 08db8f0..e5d28b3 100644 --- a/Parser/VAst.cpp +++ b/Parser/VAst.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2020 by Wilson Snyder. This program is free software; +// Copyright 2009-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VAst.h b/Parser/VAst.h index c4258ee..e94ac6e 100644 --- a/Parser/VAst.h +++ b/Parser/VAst.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2020 by Wilson Snyder. This program is free software; +// Copyright 2009-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VParse.cpp b/Parser/VParse.cpp index 53485ce..4b346f2 100644 --- a/Parser/VParse.cpp +++ b/Parser/VParse.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParse.h b/Parser/VParse.h index f7a386a..5abac95 100644 --- a/Parser/VParse.h +++ b/Parser/VParse.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y index 342dcff..20851f2 100644 --- a/Parser/VParseBison.y +++ b/Parser/VParseBison.y @@ -10,7 +10,7 @@ // //************************************************************************* // -// Copyright 2001-2020 by Wilson Snyder. This program is free software; +// Copyright 2001-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseGrammar.h b/Parser/VParseGrammar.h index d821121..479d3c6 100644 --- a/Parser/VParseGrammar.h +++ b/Parser/VParseGrammar.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseLex.h b/Parser/VParseLex.h index f0f89b2..5cffbb2 100644 --- a/Parser/VParseLex.h +++ b/Parser/VParseLex.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseLex.l b/Parser/VParseLex.l index 2ef0f61..c01a3fc 100644 --- a/Parser/VParseLex.l +++ b/Parser/VParseLex.l @@ -14,7 +14,7 @@ * ************************************************************************** * - * Copyright 2000-2020 by Wilson Snyder. This program is free software; + * Copyright 2000-2021 by Wilson Snyder. This program is free software; * you can redistribute it and/or modify it under the terms of either the * GNU Lesser General Public License Version 3 or the Perl Artistic License * Version 2.0. diff --git a/Parser/VSymTable.cpp b/Parser/VSymTable.cpp index acda704..d175a4f 100644 --- a/Parser/VSymTable.cpp +++ b/Parser/VSymTable.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2020 by Wilson Snyder. This program is free software; +// Copyright 2009-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VSymTable.h b/Parser/VSymTable.h index cefab78..4e97587 100644 --- a/Parser/VSymTable.h +++ b/Parser/VSymTable.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2020 by Wilson Snyder. This program is free software; +// Copyright 2009-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/bisonpre b/Parser/bisonpre index 72eca00..caf91e1 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.475'; +$VERSION = '3.476'; our $Self; @@ -572,7 +572,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2008-2020 by Wilson Snyder. This package is free software; you +Copyright 2008-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/callbackgen b/Parser/callbackgen index 829af54..c2ec526 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.475'; +$VERSION = '3.476'; # xs_manual=>1, -> The .xs file makes the handler itself @@ -369,7 +369,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2008-2020 by Wilson Snyder. This package is free software; you +Copyright 2008-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Makefile.PL b/Preproc/Makefile.PL index fa72fef..4b50cd0 100644 --- a/Preproc/Makefile.PL +++ b/Preproc/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index 6f090ae..c95ac3f 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### #### Configuration Section @@ -467,7 +467,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Preproc.xs b/Preproc/Preproc.xs index 00e441c..935f427 100644 --- a/Preproc/Preproc.xs +++ b/Preproc/Preproc.xs @@ -9,7 +9,7 @@ #* #********************************************************************* #* -#* Copyright 2000-2020 by Wilson Snyder. This program is free software; +#* Copyright 2000-2021 by Wilson Snyder. This program is free software; #* you can redistribute it and/or modify it under the terms of either the GNU #* Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. #* diff --git a/Preproc/VFileLine.cpp b/Preproc/VFileLine.cpp index dc31caa..ae2fe3c 100644 --- a/Preproc/VFileLine.cpp +++ b/Preproc/VFileLine.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VFileLine.h b/Preproc/VFileLine.h index 1c09e20..0f3f8f2 100644 --- a/Preproc/VFileLine.h +++ b/Preproc/VFileLine.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreLex.h b/Preproc/VPreLex.h index 676b8db..550e470 100644 --- a/Preproc/VPreLex.h +++ b/Preproc/VPreLex.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreLex.l b/Preproc/VPreLex.l index 29bf451..d3620d6 100644 --- a/Preproc/VPreLex.l +++ b/Preproc/VPreLex.l @@ -14,7 +14,7 @@ * ****************************************************************************** * - * Copyright 2000-2020 by Wilson Snyder. This program is free software; + * Copyright 2000-2021 by Wilson Snyder. This program is free software; * you can redistribute it and/or modify it under the terms of either the GNU * Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. * diff --git a/Preproc/VPreProc.cpp b/Preproc/VPreProc.cpp index 6c84303..80a32fd 100644 --- a/Preproc/VPreProc.cpp +++ b/Preproc/VPreProc.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreProc.h b/Preproc/VPreProc.h index 8e88040..afb5f5b 100644 --- a/Preproc/VPreProc.h +++ b/Preproc/VPreProc.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2020 by Wilson Snyder. This program is free software; +// Copyright 2000-2021 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/flexfix b/Preproc/flexfix index c815e3d..d8a749d 100755 --- a/Preproc/flexfix +++ b/Preproc/flexfix @@ -1,7 +1,7 @@ #!/usr/bin/perl -w ###################################################################### # -# Copyright 2002-2020 by Wilson Snyder. This program is free software; you +# Copyright 2002-2021 by Wilson Snyder. This program is free software; you # can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. diff --git a/Preproc/toolhash b/Preproc/toolhash index 544e0bb..51a3f9d 100755 --- a/Preproc/toolhash +++ b/Preproc/toolhash @@ -266,7 +266,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2010-2020 by Wilson Snyder. This package is free software; you +Copyright 2010-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/xsubppfix b/Preproc/xsubppfix index 6d48f90..789b7ef 100755 --- a/Preproc/xsubppfix +++ b/Preproc/xsubppfix @@ -1,6 +1,6 @@ #!/usr/bin/perl -w # -# Copyright 2008-2020 by Wilson Snyder. This program is free software; +# Copyright 2008-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. # diff --git a/README.pod b/README.pod index bc0b10d..224cb08 100644 --- a/README.pod +++ b/README.pod @@ -298,7 +298,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Std.pm b/Std.pm index a2e1e51..1cb8a86 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.475'; +$VERSION = '3.476'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have @@ -117,7 +117,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2009-2020 by Wilson Snyder. This package is free software; you +Copyright 2009-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/00_pod.t b/t/00_pod.t index 26d2c33..1ffdfa5 100755 --- a/t/00_pod.t +++ b/t/00_pod.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/01_manifest.t b/t/01_manifest.t index 8936c6c..10fb613 100755 --- a/t/01_manifest.t +++ b/t/01_manifest.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2020 by Wilson Snyder. This program is free software; +# Copyright 2007-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/02_help.t b/t/02_help.t index 6e77703..5e06c56 100755 --- a/t/02_help.t +++ b/t/02_help.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2020 by Wilson Snyder. This program is free software; +# Copyright 2007-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/03_spaces.t b/t/03_spaces.t index b0368b4..7f2469b 100755 --- a/t/03_spaces.t +++ b/t/03_spaces.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2020 by Wilson Snyder. This program is free software; +# Copyright 2007-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/04_critic.t b/t/04_critic.t index f6fe9c1..8f099d5 100755 --- a/t/04_critic.t +++ b/t/04_critic.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/05_yaml.t b/t/05_yaml.t index e726fd8..cd1515a 100755 --- a/t/05_yaml.t +++ b/t/05_yaml.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2010-2020 by Wilson Snyder. This program is free software; +# Copyright 2010-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/10_keywords.t b/t/10_keywords.t index d0f11fb..c886272 100755 --- a/t/10_keywords.t +++ b/t/10_keywords.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/12_splitbus.t b/t/12_splitbus.t index 4138c3d..b503e7e 100755 --- a/t/12_splitbus.t +++ b/t/12_splitbus.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/14_numbers.t b/t/14_numbers.t index 6cee699..a32caa1 100755 --- a/t/14_numbers.t +++ b/t/14_numbers.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/16_std.t b/t/16_std.t index 0d9d3ca..da8493e 100755 --- a/t/16_std.t +++ b/t/16_std.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2009-2020 by Wilson Snyder. This program is free software; +# Copyright 2009-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/20_getopt.t b/t/20_getopt.t index 09f5d72..0e75b6a 100755 --- a/t/20_getopt.t +++ b/t/20_getopt.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/30_preproc.t b/t/30_preproc.t index d900fa5..e4d9fa2 100755 --- a/t/30_preproc.t +++ b/t/30_preproc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/32_noinc.t b/t/32_noinc.t index ebec24f..0a0020b 100755 --- a/t/32_noinc.t +++ b/t/32_noinc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/33_gzip.t b/t/33_gzip.t index 300dd3e..d80c5b9 100755 --- a/t/33_gzip.t +++ b/t/33_gzip.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/34_parser.t b/t/34_parser.t index 442a927..1c333c6 100755 --- a/t/34_parser.t +++ b/t/34_parser.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/35_sigparser.t b/t/35_sigparser.t index cdfab50..e2acf78 100755 --- a/t/35_sigparser.t +++ b/t/35_sigparser.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/36_sigmany.t b/t/36_sigmany.t index 683651a..61824ec 100755 --- a/t/36_sigmany.t +++ b/t/36_sigmany.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. ###################################################################### diff --git a/t/40_netlist.t b/t/40_netlist.t index ad11196..bd76907 100755 --- a/t/40_netlist.t +++ b/t/40_netlist.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/41_example.t b/t/41_example.t index 87f8234..62ec3b8 100755 --- a/t/41_example.t +++ b/t/41_example.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/42_dumpcheck.t b/t/42_dumpcheck.t index c05e8d2..64a39af 100755 --- a/t/42_dumpcheck.t +++ b/t/42_dumpcheck.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/43_storable.t b/t/43_storable.t index 2ff5fc8..e58cc8f 100755 --- a/t/43_storable.t +++ b/t/43_storable.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/44_create.t b/t/44_create.t index d08e805..db3053d 100755 --- a/t/44_create.t +++ b/t/44_create.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/46_link.t b/t/46_link.t index 9bd89b7..1b53dee 100755 --- a/t/46_link.t +++ b/t/46_link.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/48_leak.t b/t/48_leak.t index 2808a93..6fa0af1 100755 --- a/t/48_leak.t +++ b/t/48_leak.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/49_largeish.t b/t/49_largeish.t index 3a39bdd..86879fb 100755 --- a/t/49_largeish.t +++ b/t/49_largeish.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/50_vrename.t b/t/50_vrename.t index 47b51c0..ecd669f 100755 --- a/t/50_vrename.t +++ b/t/50_vrename.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/51_vrename_kwd.t b/t/51_vrename_kwd.t index 8d0a1fb..3fbd11a 100755 --- a/t/51_vrename_kwd.t +++ b/t/51_vrename_kwd.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/56_editfiles.t b/t/56_editfiles.t index 881dd61..aff5cd6 100755 --- a/t/56_editfiles.t +++ b/t/56_editfiles.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2020 by Wilson Snyder. This program is free software; +# Copyright 2007-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/58_vsplitmodule.t b/t/58_vsplitmodule.t index 9534474..2ab7f6a 100755 --- a/t/58_vsplitmodule.t +++ b/t/58_vsplitmodule.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/60_vpassert.t b/t/60_vpassert.t index 141e1de..3122869 100755 --- a/t/60_vpassert.t +++ b/t/60_vpassert.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/80_vppreproc.t b/t/80_vppreproc.t index 7315940..dd7e689 100755 --- a/t/80_vppreproc.t +++ b/t/80_vppreproc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/85_vhier.t b/t/85_vhier.t index 4b849e4..9bd2980 100755 --- a/t/85_vhier.t +++ b/t/85_vhier.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/86_vhier_tick.t b/t/86_vhier_tick.t index 1766a3f..4023ae8 100755 --- a/t/86_vhier_tick.t +++ b/t/86_vhier_tick.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/87_vhier_unicode.t b/t/87_vhier_unicode.t index e5c3120..658088d 100755 --- a/t/87_vhier_unicode.t +++ b/t/87_vhier_unicode.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/test_utils.pl b/t/test_utils.pl index b2296ec..0a9bdbd 100644 --- a/t/test_utils.pl +++ b/t/test_utils.pl @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Common routines required by package tests # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/verilog/test.vrename b/verilog/test.vrename index d948eda..eaa9405 100644 --- a/verilog/test.vrename +++ b/verilog/test.vrename @@ -1,6 +1,6 @@ # DESCRIPTION: vrename: For test.pl testing of vrename # -# Copyright 2000-2020 by Wilson Snyder. This program is free software; +# Copyright 2000-2021 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. ###################################################################### diff --git a/vhier b/vhier index 3af7772..f4532e4 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### # main @@ -587,7 +587,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2005-2020 by Wilson Snyder. This package is free software; you +Copyright 2005-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vpassert b/vpassert index 7e5c212..f1df1a2 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### # configuration @@ -1687,7 +1687,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vppreproc b/vppreproc index c1cfc6b..be89aee 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### # main @@ -276,7 +276,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vrename b/vrename index afcfa51..30df494 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.475'; +$VERSION = '3.476'; ###################################################################### @@ -714,7 +714,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2020 by Wilson Snyder. This package is free software; you +Copyright 2000-2021 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. From 57adb44e25822ed6ad619cb6172de06b1285de06 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Tue, 13 Apr 2021 16:00:30 -0400 Subject: [PATCH 05/19] devel release --- Changes | 4 ++++ EditFiles.pm | 2 +- Getopt.pm | 2 +- Language.pm | 2 +- META.yml | 2 +- Netlist.pm | 2 +- Netlist/Cell.pm | 2 +- Netlist/ContAssign.pm | 2 +- Netlist/Defparam.pm | 2 +- Netlist/File.pm | 2 +- Netlist/Interface.pm | 2 +- Netlist/Logger.pm | 2 +- Netlist/ModPort.pm | 2 +- Netlist/Module.pm | 2 +- Netlist/Net.pm | 2 +- Netlist/Pin.pm | 2 +- Netlist/Port.pm | 2 +- Netlist/Subclass.pm | 2 +- Parser/Parser.pm | 2 +- Parser/SigParser.pm | 2 +- Parser/bisonpre | 2 +- Parser/callbackgen | 2 +- Preproc/Preproc.pm | 2 +- Std.pm | 2 +- vhier | 2 +- vpassert | 2 +- vppreproc | 2 +- vrename | 2 +- 28 files changed, 31 insertions(+), 27 deletions(-) diff --git a/Changes b/Changes index 0097d59..c40a067 100644 --- a/Changes +++ b/Changes @@ -4,6 +4,10 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! +* Verilog-Perl 3.477 devel + + + * Verilog-Perl 3.476 2021-04-13 **** Fix parameter type = struct. [Nathan Chrisman] diff --git a/EditFiles.pm b/EditFiles.pm index 1e60aa0..2ea3a87 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.476'; +$VERSION = '3.477'; ####################################################################### # CONSTRUCTORS diff --git a/Getopt.pm b/Getopt.pm index 2a83dd9..fe20854 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.476'; +$VERSION = '3.477'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance diff --git a/Language.pm b/Language.pm index 05aeef6..9b7468f 100644 --- a/Language.pm +++ b/Language.pm @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index 53c6601..b07b56a 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.476 +version: 3.477 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Netlist.pm b/Netlist.pm index 51ca363..a37dae5 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### #### Error Handling diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index b505ec0..daf36bc 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::Cell::Struct' diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index 72b0889..49f71d3 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::ContAssign::Struct' diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index 48f654d..8d27c07 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::Defparam::Struct' diff --git a/Netlist/File.pm b/Netlist/File.pm index efb6e70..f843e41 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::File::Struct' diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index a15236f..1052c11 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::Interface::Struct' diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index 4aa0659..f1de939 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.476'; +$VERSION = '3.477'; # We don't use Verilog::Netlist::Subclass, as this is called from it! diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index 0537b95..e375262 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::ModPort::Struct' diff --git a/Netlist/Module.pm b/Netlist/Module.pm index c53246a..d697f1b 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('new', 'Verilog::Netlist::Module::Struct' diff --git a/Netlist/Net.pm b/Netlist/Net.pm index ce7e694..17fa855 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; my %_Type_Widths = ( 'bit' => 1, diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 9a5c1ff..b3a4034 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' diff --git a/Netlist/Port.pm b/Netlist/Port.pm index 14b99e1..4a4c3bf 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.476'; +$VERSION = '3.477'; structs('_new_base', 'Verilog::Netlist::Port::Struct' diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index ff32011..21920e5 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.476'; +$VERSION = '3.477'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves diff --git a/Parser/Parser.pm b/Parser/Parser.pm index 999651e..47f46b1 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.476'; +$VERSION = '3.477'; #$Debug sets the default value for debug. You're better off with the object method though. diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index a5ad2bf..7ceefe1 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.476'; +$VERSION = '3.477'; our @_Callback_Names = qw( attribute diff --git a/Parser/bisonpre b/Parser/bisonpre index caf91e1..b1b0cc6 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.476'; +$VERSION = '3.477'; our $Self; diff --git a/Parser/callbackgen b/Parser/callbackgen index c2ec526..d1bf10a 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.476'; +$VERSION = '3.477'; # xs_manual=>1, -> The .xs file makes the handler itself diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index c95ac3f..9eec365 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### #### Configuration Section diff --git a/Std.pm b/Std.pm index 1cb8a86..214a2f3 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.476'; +$VERSION = '3.477'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have diff --git a/vhier b/vhier index f4532e4..8cd9772 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### # main diff --git a/vpassert b/vpassert index f1df1a2..ba23374 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### # configuration diff --git a/vppreproc b/vppreproc index be89aee..7c21da3 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### # main diff --git a/vrename b/vrename index 30df494..9059c86 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.476'; +$VERSION = '3.477'; ###################################################################### From 8193772ca8fc7bb551c4e61e7a78c58fbd84fd2b Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sat, 5 Jun 2021 07:33:22 -0400 Subject: [PATCH 06/19] Fix ${ENVVAR} expansion (#1671). --- Changes | 1 + Getopt.pm | 11 ++++++----- 2 files changed, 7 insertions(+), 5 deletions(-) diff --git a/Changes b/Changes index c40a067..0b858c3 100644 --- a/Changes +++ b/Changes @@ -6,6 +6,7 @@ indicates the contributor was also the author of the fix; Thanks! * Verilog-Perl 3.477 devel +**** Fix ${ENVVAR} expansion (#1671). [Henry Hsieh] * Verilog-Perl 3.476 2021-04-13 diff --git a/Getopt.pm b/Getopt.pm index fe20854..4438a2a 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -386,12 +386,13 @@ sub file_substitute { my $self = shift; my $filename = shift; my $out = $filename; - while ($filename =~ /\$([A-Za-z_0-9]+)\b/g - || $filename =~ /\$\{[A-Za-z_0-9]+\}\b/g) { + while ($filename =~ /\$([A-Za-z_0-9]+)\b/g) { my $var = $1; - if (defined $ENV{$var}) { - $out =~ s/\$$var\b/$ENV{$var}/g; - } + $out =~ s/\$$var\b/$ENV{$var}/g if defined $ENV{$var}; + } + while ($filename =~ /\$\{([A-Za-z_0-9]+)\}/g) { + my $var = $1; + $out =~ s/\$\{$var\}/$ENV{$var}/g if defined $ENV{$var}; } $out =~ s!^~!$ENV{HOME}/!; return $out; From ce2f7d27259ed3793493b03dbef144984cf286b8 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sun, 6 Jun 2021 09:44:21 -0400 Subject: [PATCH 07/19] Version bump --- Changes | 2 +- EditFiles.pm | 2 +- Getopt.pm | 2 +- Language.pm | 2 +- META.yml | 2 +- Netlist.pm | 2 +- Netlist/Cell.pm | 2 +- Netlist/ContAssign.pm | 2 +- Netlist/Defparam.pm | 2 +- Netlist/File.pm | 2 +- Netlist/Interface.pm | 2 +- Netlist/Logger.pm | 2 +- Netlist/ModPort.pm | 2 +- Netlist/Module.pm | 2 +- Netlist/Net.pm | 2 +- Netlist/Pin.pm | 2 +- Netlist/Port.pm | 2 +- Netlist/Subclass.pm | 2 +- Parser/Parser.pm | 2 +- Parser/SigParser.pm | 2 +- Parser/bisonpre | 2 +- Parser/callbackgen | 2 +- Preproc/Preproc.pm | 2 +- Std.pm | 2 +- vhier | 2 +- vpassert | 2 +- vppreproc | 2 +- vrename | 2 +- 28 files changed, 28 insertions(+), 28 deletions(-) diff --git a/Changes b/Changes index 0b858c3..421b3c6 100644 --- a/Changes +++ b/Changes @@ -4,7 +4,7 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! -* Verilog-Perl 3.477 devel +* Verilog-Perl 3.478 2021-06-06 **** Fix ${ENVVAR} expansion (#1671). [Henry Hsieh] diff --git a/EditFiles.pm b/EditFiles.pm index 2ea3a87..5988fe2 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.477'; +$VERSION = '3.478'; ####################################################################### # CONSTRUCTORS diff --git a/Getopt.pm b/Getopt.pm index 4438a2a..e21e9d6 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.477'; +$VERSION = '3.478'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance diff --git a/Language.pm b/Language.pm index 9b7468f..88a3a44 100644 --- a/Language.pm +++ b/Language.pm @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index b07b56a..067e154 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.477 +version: 3.478 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Netlist.pm b/Netlist.pm index a37dae5..97e4e07 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### #### Error Handling diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index daf36bc..07052b5 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::Cell::Struct' diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index 49f71d3..04f5fce 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::ContAssign::Struct' diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index 8d27c07..537225e 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::Defparam::Struct' diff --git a/Netlist/File.pm b/Netlist/File.pm index f843e41..f36f63d 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::File::Struct' diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index 1052c11..ab1c12c 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::Interface::Struct' diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index f1de939..3e76642 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.477'; +$VERSION = '3.478'; # We don't use Verilog::Netlist::Subclass, as this is called from it! diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index e375262..dd2aedc 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::ModPort::Struct' diff --git a/Netlist/Module.pm b/Netlist/Module.pm index d697f1b..e983047 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('new', 'Verilog::Netlist::Module::Struct' diff --git a/Netlist/Net.pm b/Netlist/Net.pm index 17fa855..ffbe4be 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; my %_Type_Widths = ( 'bit' => 1, diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index b3a4034..43b6a69 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' diff --git a/Netlist/Port.pm b/Netlist/Port.pm index 4a4c3bf..c368b49 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.477'; +$VERSION = '3.478'; structs('_new_base', 'Verilog::Netlist::Port::Struct' diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index 21920e5..7543b3f 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.477'; +$VERSION = '3.478'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves diff --git a/Parser/Parser.pm b/Parser/Parser.pm index 47f46b1..058b8a9 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.477'; +$VERSION = '3.478'; #$Debug sets the default value for debug. You're better off with the object method though. diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index 7ceefe1..8600f5e 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.477'; +$VERSION = '3.478'; our @_Callback_Names = qw( attribute diff --git a/Parser/bisonpre b/Parser/bisonpre index b1b0cc6..61ae5a9 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.477'; +$VERSION = '3.478'; our $Self; diff --git a/Parser/callbackgen b/Parser/callbackgen index d1bf10a..cdb64d0 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.477'; +$VERSION = '3.478'; # xs_manual=>1, -> The .xs file makes the handler itself diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index 9eec365..2b3ffdf 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### #### Configuration Section diff --git a/Std.pm b/Std.pm index 214a2f3..4c7c2ab 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.477'; +$VERSION = '3.478'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have diff --git a/vhier b/vhier index 8cd9772..c823b33 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### # main diff --git a/vpassert b/vpassert index ba23374..50a1202 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### # configuration diff --git a/vppreproc b/vppreproc index 7c21da3..3b0d92f 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### # main diff --git a/vrename b/vrename index 9059c86..c7be63c 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.477'; +$VERSION = '3.478'; ###################################################################### From f03853c6b0524b8a18f7315590f8abdd6c264eab Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sun, 6 Jun 2021 09:45:36 -0400 Subject: [PATCH 08/19] devel release --- Changes | 4 ++++ EditFiles.pm | 2 +- Getopt.pm | 2 +- Language.pm | 2 +- META.yml | 2 +- Netlist.pm | 2 +- Netlist/Cell.pm | 2 +- Netlist/ContAssign.pm | 2 +- Netlist/Defparam.pm | 2 +- Netlist/File.pm | 2 +- Netlist/Interface.pm | 2 +- Netlist/Logger.pm | 2 +- Netlist/ModPort.pm | 2 +- Netlist/Module.pm | 2 +- Netlist/Net.pm | 2 +- Netlist/Pin.pm | 2 +- Netlist/Port.pm | 2 +- Netlist/Subclass.pm | 2 +- Parser/Parser.pm | 2 +- Parser/SigParser.pm | 2 +- Parser/bisonpre | 2 +- Parser/callbackgen | 2 +- Preproc/Preproc.pm | 2 +- Std.pm | 2 +- vhier | 2 +- vpassert | 2 +- vppreproc | 2 +- vrename | 2 +- 28 files changed, 31 insertions(+), 27 deletions(-) diff --git a/Changes b/Changes index 421b3c6..19d145e 100644 --- a/Changes +++ b/Changes @@ -4,6 +4,10 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! +* Verilog-Perl 3.479 devel + + + * Verilog-Perl 3.478 2021-06-06 **** Fix ${ENVVAR} expansion (#1671). [Henry Hsieh] diff --git a/EditFiles.pm b/EditFiles.pm index 5988fe2..9c635bf 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.478'; +$VERSION = '3.479'; ####################################################################### # CONSTRUCTORS diff --git a/Getopt.pm b/Getopt.pm index e21e9d6..c064cb9 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.478'; +$VERSION = '3.479'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance diff --git a/Language.pm b/Language.pm index 88a3a44..4d795ba 100644 --- a/Language.pm +++ b/Language.pm @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index 067e154..4874513 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.478 +version: 3.479 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Netlist.pm b/Netlist.pm index 97e4e07..a543661 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### #### Error Handling diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index 07052b5..1931702 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::Cell::Struct' diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index 04f5fce..ab4215b 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::ContAssign::Struct' diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index 537225e..d3641ae 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::Defparam::Struct' diff --git a/Netlist/File.pm b/Netlist/File.pm index f36f63d..2602a6e 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::File::Struct' diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index ab1c12c..0de7478 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::Interface::Struct' diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index 3e76642..d1ec07c 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.478'; +$VERSION = '3.479'; # We don't use Verilog::Netlist::Subclass, as this is called from it! diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index dd2aedc..e78d8df 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::ModPort::Struct' diff --git a/Netlist/Module.pm b/Netlist/Module.pm index e983047..5a3fffc 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('new', 'Verilog::Netlist::Module::Struct' diff --git a/Netlist/Net.pm b/Netlist/Net.pm index ffbe4be..1f7aebe 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; my %_Type_Widths = ( 'bit' => 1, diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 43b6a69..46c5af5 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' diff --git a/Netlist/Port.pm b/Netlist/Port.pm index c368b49..94aa5d3 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.478'; +$VERSION = '3.479'; structs('_new_base', 'Verilog::Netlist::Port::Struct' diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index 7543b3f..0d0a39c 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.478'; +$VERSION = '3.479'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves diff --git a/Parser/Parser.pm b/Parser/Parser.pm index 058b8a9..088ecbe 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.478'; +$VERSION = '3.479'; #$Debug sets the default value for debug. You're better off with the object method though. diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index 8600f5e..800c3d0 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.478'; +$VERSION = '3.479'; our @_Callback_Names = qw( attribute diff --git a/Parser/bisonpre b/Parser/bisonpre index 61ae5a9..b7d4a2b 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.478'; +$VERSION = '3.479'; our $Self; diff --git a/Parser/callbackgen b/Parser/callbackgen index cdb64d0..6670cb6 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.478'; +$VERSION = '3.479'; # xs_manual=>1, -> The .xs file makes the handler itself diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index 2b3ffdf..559e9ff 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### #### Configuration Section diff --git a/Std.pm b/Std.pm index 4c7c2ab..5056416 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.478'; +$VERSION = '3.479'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have diff --git a/vhier b/vhier index c823b33..8d43fcd 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### # main diff --git a/vpassert b/vpassert index 50a1202..2f8ad5b 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### # configuration diff --git a/vppreproc b/vppreproc index 3b0d92f..a1f6a05 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### # main diff --git a/vrename b/vrename index c7be63c..eff4c53 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.478'; +$VERSION = '3.479'; ###################################################################### From 465242251b06588c862afb22f76baadb51864ff8 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Tue, 15 Jun 2021 17:31:56 -0400 Subject: [PATCH 09/19] Commentary --- README.pod | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.pod b/README.pod index 224cb08..b980a3d 100644 --- a/README.pod +++ b/README.pod @@ -232,7 +232,7 @@ development on Verilog-Perl, use the sources. Option 1: Easiest installation is using the "CPAN" command line that comes with Perl. After configuring CPAN the first time, simply - cpan install Verilog-Perl + cpan install Verilog::Language # And see the documentation man Verilog-Perl # Or, sometimes the capitalization is changed From 8f8e6201f50276ee02ecdea1446d71438ad782f7 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Wed, 31 Aug 2022 18:58:58 -0400 Subject: [PATCH 10/19] Fix vrename ignoring % (#1674). --- Changes | 1 + vrename | 2 +- 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/Changes b/Changes index 19d145e..026add8 100644 --- a/Changes +++ b/Changes @@ -6,6 +6,7 @@ indicates the contributor was also the author of the fix; Thanks! * Verilog-Perl 3.479 devel +**** Fix vrename ignoring % (#1674). [Wenjun] * Verilog-Perl 3.478 2021-06-06 diff --git a/vrename b/vrename index eff4c53..45421b6 100755 --- a/vrename +++ b/vrename @@ -451,7 +451,7 @@ sub verilog_change_sig { $hit = 1 if $filestrg =~ s/$sig_quoted(?=[ \t\n\r])/$magic/g; } else { # Unescaped my $sig_quoted = quotemeta $signs; - $hit = 1 if $filestrg =~ s/([^a-zA-Z0-9_\$\%\'\\])$sig_quoted(?=[^a-zA-Z0-9_])/$1$magic/g; + $hit = 1 if $filestrg =~ s/([^a-zA-Z0-9_\$\'\\])$sig_quoted(?=[^a-zA-Z0-9_])/$1$magic/g; # Consume leading \; either getting removed or part of replacement $hit = 1 if $filestrg =~ s/(\\)$sig_quoted(?=[ \t\n\r])/$magic/g; } From ed24ac52678b3fca25c4ca922b0ce02e204bfdcc Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Thu, 1 Sep 2022 15:07:20 -0400 Subject: [PATCH 11/19] Version bump --- Changes | 4 ++-- EditFiles.pm | 4 ++-- Getopt.pm | 4 ++-- Language.pm | 4 ++-- META.yml | 2 +- Makefile.PL | 2 +- Netlist.pm | 4 ++-- Netlist/Cell.pm | 4 ++-- Netlist/ContAssign.pm | 4 ++-- Netlist/Defparam.pm | 4 ++-- Netlist/File.pm | 4 ++-- Netlist/Interface.pm | 4 ++-- Netlist/Logger.pm | 4 ++-- Netlist/ModPort.pm | 4 ++-- Netlist/Module.pm | 4 ++-- Netlist/Net.pm | 4 ++-- Netlist/Pin.pm | 4 ++-- Netlist/PinSelection.pm | 2 +- Netlist/Port.pm | 4 ++-- Netlist/Subclass.pm | 4 ++-- Parser/Makefile.PL | 2 +- Parser/Parser.pm | 4 ++-- Parser/Parser.xs | 2 +- Parser/SigParser.pm | 4 ++-- Parser/VAst.cpp | 2 +- Parser/VAst.h | 2 +- Parser/VParse.cpp | 2 +- Parser/VParse.h | 2 +- Parser/VParseBison.y | 2 +- Parser/VParseGrammar.h | 2 +- Parser/VParseLex.h | 2 +- Parser/VParseLex.l | 2 +- Parser/VSymTable.cpp | 2 +- Parser/VSymTable.h | 2 +- Parser/bisonpre | 4 ++-- Parser/callbackgen | 4 ++-- Preproc/Makefile.PL | 2 +- Preproc/Preproc.pm | 4 ++-- Preproc/Preproc.xs | 2 +- Preproc/VFileLine.cpp | 2 +- Preproc/VFileLine.h | 2 +- Preproc/VPreLex.h | 2 +- Preproc/VPreLex.l | 2 +- Preproc/VPreProc.cpp | 2 +- Preproc/VPreProc.h | 2 +- Preproc/flexfix | 2 +- Preproc/toolhash | 2 +- Preproc/xsubppfix | 2 +- README.pod | 2 +- Std.pm | 4 ++-- t/00_pod.t | 2 +- t/01_manifest.t | 2 +- t/02_help.t | 2 +- t/03_spaces.t | 2 +- t/04_critic.t | 2 +- t/05_yaml.t | 2 +- t/10_keywords.t | 2 +- t/12_splitbus.t | 2 +- t/14_numbers.t | 2 +- t/16_std.t | 2 +- t/20_getopt.t | 2 +- t/30_preproc.t | 2 +- t/32_noinc.t | 2 +- t/33_gzip.t | 2 +- t/34_parser.t | 2 +- t/35_sigparser.t | 2 +- t/36_sigmany.t | 2 +- t/40_netlist.t | 2 +- t/41_example.t | 2 +- t/42_dumpcheck.t | 2 +- t/43_storable.t | 2 +- t/44_create.t | 2 +- t/46_link.t | 2 +- t/48_leak.t | 2 +- t/49_largeish.t | 2 +- t/50_vrename.t | 2 +- t/51_vrename_kwd.t | 2 +- t/56_editfiles.t | 2 +- t/58_vsplitmodule.t | 2 +- t/60_vpassert.t | 2 +- t/80_vppreproc.t | 2 +- t/85_vhier.t | 2 +- t/86_vhier_tick.t | 2 +- t/87_vhier_unicode.t | 2 +- t/test_utils.pl | 2 +- verilog/test.vrename | 2 +- vhier | 4 ++-- vpassert | 4 ++-- vppreproc | 4 ++-- vrename | 4 ++-- 90 files changed, 117 insertions(+), 117 deletions(-) diff --git a/Changes b/Changes index 026add8..0afae88 100644 --- a/Changes +++ b/Changes @@ -4,7 +4,7 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! -* Verilog-Perl 3.479 devel +* Verilog-Perl 3.480 2022-09-01 **** Fix vrename ignoring % (#1674). [Wenjun] @@ -1478,7 +1478,7 @@ DESCRIPTION: Documentation on change history for this package This uses outline mode in Emacs. See C-h m [M-x describe-mode]. -Copyright 2001-2021 by Wilson Snyder. This program is free software; +Copyright 2001-2022 by Wilson Snyder. This program is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/EditFiles.pm b/EditFiles.pm index 9c635bf..63fd4cf 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.479'; +$VERSION = '3.480'; ####################################################################### # CONSTRUCTORS @@ -382,7 +382,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2006-2021 by Wilson Snyder. This package is free software; you +Copyright 2006-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Getopt.pm b/Getopt.pm index c064cb9..34e80f0 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.479'; +$VERSION = '3.480'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance @@ -814,7 +814,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Language.pm b/Language.pm index 4d795ba..3d2c172 100644 --- a/Language.pm +++ b/Language.pm @@ -119,7 +119,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index 4874513..b9d4c00 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.479 +version: 3.480 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Makefile.PL b/Makefile.PL index e9fd9e9..c937b56 100755 --- a/Makefile.PL +++ b/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist.pm b/Netlist.pm index a543661..737b16b 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### #### Error Handling @@ -698,7 +698,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index 1931702..6bd6d96 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::Cell::Struct' @@ -303,7 +303,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index ab4215b..ce741a4 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::ContAssign::Struct' @@ -133,7 +133,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index d3641ae..4c1e2de 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::Defparam::Struct' @@ -133,7 +133,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/File.pm b/Netlist/File.pm index 2602a6e..640912e 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::File::Struct' @@ -561,7 +561,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index 0de7478..e1432db 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::Interface::Struct' @@ -395,7 +395,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index d1ec07c..ee66961 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.479'; +$VERSION = '3.480'; # We don't use Verilog::Netlist::Subclass, as this is called from it! @@ -167,7 +167,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index e78d8df..3c09fb8 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::ModPort::Struct' @@ -275,7 +275,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Module.pm b/Netlist/Module.pm index 5a3fffc..a89dcce 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('new', 'Verilog::Netlist::Module::Struct' @@ -533,7 +533,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Net.pm b/Netlist/Net.pm index 1f7aebe..41878bc 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; my %_Type_Widths = ( 'bit' => 1, @@ -408,7 +408,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 46c5af5..6055acf 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' @@ -384,7 +384,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/PinSelection.pm b/Netlist/PinSelection.pm index ec74243..dcbf13e 100644 --- a/Netlist/PinSelection.pm +++ b/Netlist/PinSelection.pm @@ -118,7 +118,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Port.pm b/Netlist/Port.pm index 94aa5d3..743973a 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.479'; +$VERSION = '3.480'; structs('_new_base', 'Verilog::Netlist::Port::Struct' @@ -197,7 +197,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index 0d0a39c..dbb11da 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.479'; +$VERSION = '3.480'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves @@ -320,7 +320,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Makefile.PL b/Parser/Makefile.PL index 031c075..dac96aa 100644 --- a/Parser/Makefile.PL +++ b/Parser/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Parser.pm b/Parser/Parser.pm index 088ecbe..e7bd76a 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.479'; +$VERSION = '3.480'; #$Debug sets the default value for debug. You're better off with the object method though. @@ -527,7 +527,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Parser.xs b/Parser/Parser.xs index 14ea96b..4283bad 100644 --- a/Parser/Parser.xs +++ b/Parser/Parser.xs @@ -9,7 +9,7 @@ #* #********************************************************************* #* -#* Copyright 2000-2021 by Wilson Snyder. This program is free software; +#* Copyright 2000-2022 by Wilson Snyder. This program is free software; #* you can redistribute it and/or modify it under the terms of either the GNU #* Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. #* diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index 800c3d0..a57c96e 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.479'; +$VERSION = '3.480'; our @_Callback_Names = qw( attribute @@ -526,7 +526,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/VAst.cpp b/Parser/VAst.cpp index e5d28b3..f9b7b96 100644 --- a/Parser/VAst.cpp +++ b/Parser/VAst.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2021 by Wilson Snyder. This program is free software; +// Copyright 2009-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VAst.h b/Parser/VAst.h index e94ac6e..69f723a 100644 --- a/Parser/VAst.h +++ b/Parser/VAst.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2021 by Wilson Snyder. This program is free software; +// Copyright 2009-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VParse.cpp b/Parser/VParse.cpp index 4b346f2..29f5943 100644 --- a/Parser/VParse.cpp +++ b/Parser/VParse.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParse.h b/Parser/VParse.h index 5abac95..08eb4be 100644 --- a/Parser/VParse.h +++ b/Parser/VParse.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y index 20851f2..0ccca4c 100644 --- a/Parser/VParseBison.y +++ b/Parser/VParseBison.y @@ -10,7 +10,7 @@ // //************************************************************************* // -// Copyright 2001-2021 by Wilson Snyder. This program is free software; +// Copyright 2001-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseGrammar.h b/Parser/VParseGrammar.h index 479d3c6..60f187d 100644 --- a/Parser/VParseGrammar.h +++ b/Parser/VParseGrammar.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseLex.h b/Parser/VParseLex.h index 5cffbb2..413c6a5 100644 --- a/Parser/VParseLex.h +++ b/Parser/VParseLex.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseLex.l b/Parser/VParseLex.l index c01a3fc..6d79899 100644 --- a/Parser/VParseLex.l +++ b/Parser/VParseLex.l @@ -14,7 +14,7 @@ * ************************************************************************** * - * Copyright 2000-2021 by Wilson Snyder. This program is free software; + * Copyright 2000-2022 by Wilson Snyder. This program is free software; * you can redistribute it and/or modify it under the terms of either the * GNU Lesser General Public License Version 3 or the Perl Artistic License * Version 2.0. diff --git a/Parser/VSymTable.cpp b/Parser/VSymTable.cpp index d175a4f..038b6d1 100644 --- a/Parser/VSymTable.cpp +++ b/Parser/VSymTable.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2021 by Wilson Snyder. This program is free software; +// Copyright 2009-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VSymTable.h b/Parser/VSymTable.h index 4e97587..d2ff3f3 100644 --- a/Parser/VSymTable.h +++ b/Parser/VSymTable.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2021 by Wilson Snyder. This program is free software; +// Copyright 2009-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/bisonpre b/Parser/bisonpre index b7d4a2b..cb83f29 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.479'; +$VERSION = '3.480'; our $Self; @@ -572,7 +572,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2008-2021 by Wilson Snyder. This package is free software; you +Copyright 2008-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/callbackgen b/Parser/callbackgen index 6670cb6..366ad8a 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.479'; +$VERSION = '3.480'; # xs_manual=>1, -> The .xs file makes the handler itself @@ -369,7 +369,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2008-2021 by Wilson Snyder. This package is free software; you +Copyright 2008-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Makefile.PL b/Preproc/Makefile.PL index 4b50cd0..d87629d 100644 --- a/Preproc/Makefile.PL +++ b/Preproc/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index 559e9ff..4b9d687 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### #### Configuration Section @@ -467,7 +467,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Preproc.xs b/Preproc/Preproc.xs index 935f427..17bbd9f 100644 --- a/Preproc/Preproc.xs +++ b/Preproc/Preproc.xs @@ -9,7 +9,7 @@ #* #********************************************************************* #* -#* Copyright 2000-2021 by Wilson Snyder. This program is free software; +#* Copyright 2000-2022 by Wilson Snyder. This program is free software; #* you can redistribute it and/or modify it under the terms of either the GNU #* Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. #* diff --git a/Preproc/VFileLine.cpp b/Preproc/VFileLine.cpp index ae2fe3c..958a05f 100644 --- a/Preproc/VFileLine.cpp +++ b/Preproc/VFileLine.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VFileLine.h b/Preproc/VFileLine.h index 0f3f8f2..8491b78 100644 --- a/Preproc/VFileLine.h +++ b/Preproc/VFileLine.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreLex.h b/Preproc/VPreLex.h index 550e470..c8d8343 100644 --- a/Preproc/VPreLex.h +++ b/Preproc/VPreLex.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreLex.l b/Preproc/VPreLex.l index d3620d6..fe30908 100644 --- a/Preproc/VPreLex.l +++ b/Preproc/VPreLex.l @@ -14,7 +14,7 @@ * ****************************************************************************** * - * Copyright 2000-2021 by Wilson Snyder. This program is free software; + * Copyright 2000-2022 by Wilson Snyder. This program is free software; * you can redistribute it and/or modify it under the terms of either the GNU * Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. * diff --git a/Preproc/VPreProc.cpp b/Preproc/VPreProc.cpp index 80a32fd..997d5fe 100644 --- a/Preproc/VPreProc.cpp +++ b/Preproc/VPreProc.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreProc.h b/Preproc/VPreProc.h index afb5f5b..dd399d1 100644 --- a/Preproc/VPreProc.h +++ b/Preproc/VPreProc.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2021 by Wilson Snyder. This program is free software; +// Copyright 2000-2022 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/flexfix b/Preproc/flexfix index d8a749d..56fe6aa 100755 --- a/Preproc/flexfix +++ b/Preproc/flexfix @@ -1,7 +1,7 @@ #!/usr/bin/perl -w ###################################################################### # -# Copyright 2002-2021 by Wilson Snyder. This program is free software; you +# Copyright 2002-2022 by Wilson Snyder. This program is free software; you # can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. diff --git a/Preproc/toolhash b/Preproc/toolhash index 51a3f9d..aed1cf1 100755 --- a/Preproc/toolhash +++ b/Preproc/toolhash @@ -266,7 +266,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2010-2021 by Wilson Snyder. This package is free software; you +Copyright 2010-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/xsubppfix b/Preproc/xsubppfix index 789b7ef..8c52e7c 100755 --- a/Preproc/xsubppfix +++ b/Preproc/xsubppfix @@ -1,6 +1,6 @@ #!/usr/bin/perl -w # -# Copyright 2008-2021 by Wilson Snyder. This program is free software; +# Copyright 2008-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. # diff --git a/README.pod b/README.pod index b980a3d..c597df5 100644 --- a/README.pod +++ b/README.pod @@ -298,7 +298,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Std.pm b/Std.pm index 5056416..30f0167 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.479'; +$VERSION = '3.480'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have @@ -117,7 +117,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2009-2021 by Wilson Snyder. This package is free software; you +Copyright 2009-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/00_pod.t b/t/00_pod.t index 1ffdfa5..fbf2376 100755 --- a/t/00_pod.t +++ b/t/00_pod.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/01_manifest.t b/t/01_manifest.t index 10fb613..c8262c6 100755 --- a/t/01_manifest.t +++ b/t/01_manifest.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2021 by Wilson Snyder. This program is free software; +# Copyright 2007-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/02_help.t b/t/02_help.t index 5e06c56..edeaafe 100755 --- a/t/02_help.t +++ b/t/02_help.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2021 by Wilson Snyder. This program is free software; +# Copyright 2007-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/03_spaces.t b/t/03_spaces.t index 7f2469b..64b0043 100755 --- a/t/03_spaces.t +++ b/t/03_spaces.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2021 by Wilson Snyder. This program is free software; +# Copyright 2007-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/04_critic.t b/t/04_critic.t index 8f099d5..e0ba7c1 100755 --- a/t/04_critic.t +++ b/t/04_critic.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/05_yaml.t b/t/05_yaml.t index cd1515a..021d334 100755 --- a/t/05_yaml.t +++ b/t/05_yaml.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2010-2021 by Wilson Snyder. This program is free software; +# Copyright 2010-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/10_keywords.t b/t/10_keywords.t index c886272..49760f2 100755 --- a/t/10_keywords.t +++ b/t/10_keywords.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/12_splitbus.t b/t/12_splitbus.t index b503e7e..13da43f 100755 --- a/t/12_splitbus.t +++ b/t/12_splitbus.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/14_numbers.t b/t/14_numbers.t index a32caa1..6536fa8 100755 --- a/t/14_numbers.t +++ b/t/14_numbers.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/16_std.t b/t/16_std.t index da8493e..3638032 100755 --- a/t/16_std.t +++ b/t/16_std.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2009-2021 by Wilson Snyder. This program is free software; +# Copyright 2009-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/20_getopt.t b/t/20_getopt.t index 0e75b6a..a0f8a5f 100755 --- a/t/20_getopt.t +++ b/t/20_getopt.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/30_preproc.t b/t/30_preproc.t index e4d9fa2..03088b8 100755 --- a/t/30_preproc.t +++ b/t/30_preproc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/32_noinc.t b/t/32_noinc.t index 0a0020b..cad3684 100755 --- a/t/32_noinc.t +++ b/t/32_noinc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/33_gzip.t b/t/33_gzip.t index d80c5b9..10b8d06 100755 --- a/t/33_gzip.t +++ b/t/33_gzip.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/34_parser.t b/t/34_parser.t index 1c333c6..9a0dde7 100755 --- a/t/34_parser.t +++ b/t/34_parser.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/35_sigparser.t b/t/35_sigparser.t index e2acf78..09bc5e9 100755 --- a/t/35_sigparser.t +++ b/t/35_sigparser.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/36_sigmany.t b/t/36_sigmany.t index 61824ec..75c2f90 100755 --- a/t/36_sigmany.t +++ b/t/36_sigmany.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. ###################################################################### diff --git a/t/40_netlist.t b/t/40_netlist.t index bd76907..f74398f 100755 --- a/t/40_netlist.t +++ b/t/40_netlist.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/41_example.t b/t/41_example.t index 62ec3b8..a5890b1 100755 --- a/t/41_example.t +++ b/t/41_example.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/42_dumpcheck.t b/t/42_dumpcheck.t index 64a39af..4f258ad 100755 --- a/t/42_dumpcheck.t +++ b/t/42_dumpcheck.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/43_storable.t b/t/43_storable.t index e58cc8f..9896d1b 100755 --- a/t/43_storable.t +++ b/t/43_storable.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/44_create.t b/t/44_create.t index db3053d..36bc085 100755 --- a/t/44_create.t +++ b/t/44_create.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/46_link.t b/t/46_link.t index 1b53dee..00db61f 100755 --- a/t/46_link.t +++ b/t/46_link.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/48_leak.t b/t/48_leak.t index 6fa0af1..201f5e7 100755 --- a/t/48_leak.t +++ b/t/48_leak.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/49_largeish.t b/t/49_largeish.t index 86879fb..38dfd05 100755 --- a/t/49_largeish.t +++ b/t/49_largeish.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/50_vrename.t b/t/50_vrename.t index ecd669f..0e040fb 100755 --- a/t/50_vrename.t +++ b/t/50_vrename.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/51_vrename_kwd.t b/t/51_vrename_kwd.t index 3fbd11a..3bd4685 100755 --- a/t/51_vrename_kwd.t +++ b/t/51_vrename_kwd.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/56_editfiles.t b/t/56_editfiles.t index aff5cd6..e79f8e5 100755 --- a/t/56_editfiles.t +++ b/t/56_editfiles.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2021 by Wilson Snyder. This program is free software; +# Copyright 2007-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/58_vsplitmodule.t b/t/58_vsplitmodule.t index 2ab7f6a..b39868d 100755 --- a/t/58_vsplitmodule.t +++ b/t/58_vsplitmodule.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/60_vpassert.t b/t/60_vpassert.t index 3122869..a0e776b 100755 --- a/t/60_vpassert.t +++ b/t/60_vpassert.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/80_vppreproc.t b/t/80_vppreproc.t index dd7e689..28cfd8c 100755 --- a/t/80_vppreproc.t +++ b/t/80_vppreproc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/85_vhier.t b/t/85_vhier.t index 9bd2980..a5831df 100755 --- a/t/85_vhier.t +++ b/t/85_vhier.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/86_vhier_tick.t b/t/86_vhier_tick.t index 4023ae8..e0d1315 100755 --- a/t/86_vhier_tick.t +++ b/t/86_vhier_tick.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/87_vhier_unicode.t b/t/87_vhier_unicode.t index 658088d..e768d44 100755 --- a/t/87_vhier_unicode.t +++ b/t/87_vhier_unicode.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/test_utils.pl b/t/test_utils.pl index 0a9bdbd..77e850a 100644 --- a/t/test_utils.pl +++ b/t/test_utils.pl @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Common routines required by package tests # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/verilog/test.vrename b/verilog/test.vrename index eaa9405..5a8bd4b 100644 --- a/verilog/test.vrename +++ b/verilog/test.vrename @@ -1,6 +1,6 @@ # DESCRIPTION: vrename: For test.pl testing of vrename # -# Copyright 2000-2021 by Wilson Snyder. This program is free software; +# Copyright 2000-2022 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. ###################################################################### diff --git a/vhier b/vhier index 8d43fcd..e121a7b 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### # main @@ -587,7 +587,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2005-2021 by Wilson Snyder. This package is free software; you +Copyright 2005-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vpassert b/vpassert index 2f8ad5b..d9210c4 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### # configuration @@ -1687,7 +1687,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vppreproc b/vppreproc index a1f6a05..5ed580c 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### # main @@ -276,7 +276,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vrename b/vrename index 45421b6..c695dcf 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.479'; +$VERSION = '3.480'; ###################################################################### @@ -714,7 +714,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2021 by Wilson Snyder. This package is free software; you +Copyright 2000-2022 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. From 6177f94a0de6ae15296f816f7aedb201007146f6 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Thu, 1 Sep 2022 15:08:51 -0400 Subject: [PATCH 12/19] devel release --- Changes | 3 +++ EditFiles.pm | 2 +- Getopt.pm | 2 +- Language.pm | 2 +- META.yml | 2 +- Netlist.pm | 2 +- Netlist/Cell.pm | 2 +- Netlist/ContAssign.pm | 2 +- Netlist/Defparam.pm | 2 +- Netlist/File.pm | 2 +- Netlist/Interface.pm | 2 +- Netlist/Logger.pm | 2 +- Netlist/ModPort.pm | 2 +- Netlist/Module.pm | 2 +- Netlist/Net.pm | 2 +- Netlist/Pin.pm | 2 +- Netlist/Port.pm | 2 +- Netlist/Subclass.pm | 2 +- Parser/Parser.pm | 2 +- Parser/SigParser.pm | 2 +- Parser/bisonpre | 2 +- Parser/callbackgen | 2 +- Preproc/Preproc.pm | 2 +- Std.pm | 2 +- vhier | 2 +- vpassert | 2 +- vppreproc | 2 +- vrename | 2 +- 28 files changed, 30 insertions(+), 27 deletions(-) diff --git a/Changes b/Changes index 0afae88..4023616 100644 --- a/Changes +++ b/Changes @@ -4,6 +4,9 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! +* Verilog-Perl 3.481 devel + + * Verilog-Perl 3.480 2022-09-01 **** Fix vrename ignoring % (#1674). [Wenjun] diff --git a/EditFiles.pm b/EditFiles.pm index 63fd4cf..3e995e8 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.480'; +$VERSION = '3.481'; ####################################################################### # CONSTRUCTORS diff --git a/Getopt.pm b/Getopt.pm index 34e80f0..f81cdbf 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.480'; +$VERSION = '3.481'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance diff --git a/Language.pm b/Language.pm index 3d2c172..ea73e8a 100644 --- a/Language.pm +++ b/Language.pm @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index b9d4c00..dabe11b 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.480 +version: 3.481 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Netlist.pm b/Netlist.pm index 737b16b..12f2406 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### #### Error Handling diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index 6bd6d96..91bb182 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::Cell::Struct' diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index ce741a4..6f18610 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::ContAssign::Struct' diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index 4c1e2de..70c521f 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::Defparam::Struct' diff --git a/Netlist/File.pm b/Netlist/File.pm index 640912e..6fbf483 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::File::Struct' diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index e1432db..cd879f9 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::Interface::Struct' diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index ee66961..c068b26 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.480'; +$VERSION = '3.481'; # We don't use Verilog::Netlist::Subclass, as this is called from it! diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index 3c09fb8..9d86b01 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::ModPort::Struct' diff --git a/Netlist/Module.pm b/Netlist/Module.pm index a89dcce..7a505a0 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('new', 'Verilog::Netlist::Module::Struct' diff --git a/Netlist/Net.pm b/Netlist/Net.pm index 41878bc..258d395 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; my %_Type_Widths = ( 'bit' => 1, diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 6055acf..1ea70ea 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' diff --git a/Netlist/Port.pm b/Netlist/Port.pm index 743973a..11acdb9 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.480'; +$VERSION = '3.481'; structs('_new_base', 'Verilog::Netlist::Port::Struct' diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index dbb11da..85983b0 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.480'; +$VERSION = '3.481'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves diff --git a/Parser/Parser.pm b/Parser/Parser.pm index e7bd76a..ecc5910 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.480'; +$VERSION = '3.481'; #$Debug sets the default value for debug. You're better off with the object method though. diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index a57c96e..3249aaf 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.480'; +$VERSION = '3.481'; our @_Callback_Names = qw( attribute diff --git a/Parser/bisonpre b/Parser/bisonpre index cb83f29..334f955 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.480'; +$VERSION = '3.481'; our $Self; diff --git a/Parser/callbackgen b/Parser/callbackgen index 366ad8a..0f032c8 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.480'; +$VERSION = '3.481'; # xs_manual=>1, -> The .xs file makes the handler itself diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index 4b9d687..f1e8d92 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### #### Configuration Section diff --git a/Std.pm b/Std.pm index 30f0167..ea12efc 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.480'; +$VERSION = '3.481'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have diff --git a/vhier b/vhier index e121a7b..775e535 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### # main diff --git a/vpassert b/vpassert index d9210c4..b3ac602 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### # configuration diff --git a/vppreproc b/vppreproc index 5ed580c..178c57c 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### # main diff --git a/vrename b/vrename index c695dcf..1ba8a39 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.480'; +$VERSION = '3.481'; ###################################################################### From 580b991424cbbab54c5b16d7f954b9bc34d62b89 Mon Sep 17 00:00:00 2001 From: gregor herrmann Date: Mon, 5 Sep 2022 08:54:10 -0400 Subject: [PATCH 13/19] **** Fix t/03_spaces.t test for Debian (#1675). --- Changes | 2 ++ t/03_spaces.t | 6 ++++-- 2 files changed, 6 insertions(+), 2 deletions(-) diff --git a/Changes b/Changes index 4023616..90cf269 100644 --- a/Changes +++ b/Changes @@ -6,6 +6,8 @@ indicates the contributor was also the author of the fix; Thanks! * Verilog-Perl 3.481 devel +**** Fix t/03_spaces.t test for Debian (#1675). [Gregor Herrmann] + * Verilog-Perl 3.480 2022-09-01 diff --git a/t/03_spaces.t b/t/03_spaces.t index 64b0043..fbe0788 100755 --- a/t/03_spaces.t +++ b/t/03_spaces.t @@ -15,6 +15,10 @@ plan tests => (1 + (keys %{$manifest})); ok(1); foreach my $filename (sort keys %{$manifest}) { + if (!$ENV{VERILATOR_AUTHOR_SITE}) { + skip("author only test (harmless)",1); + next; + } if ($filename =~ /README/) { # May not even exist skip("File doesn't need check (harmless)",1); next; @@ -28,8 +32,6 @@ foreach my $filename (sort keys %{$manifest}) { } elsif ($filename =~ m!\.out! || $filename =~ m!/gen/!) { skip("File doesn't need check (harmless)",1); - } elsif (!$ENV{VERILATOR_AUTHOR_SITE}) { - skip("author only test (harmless)",1); } else { warn "%Error: $filename: Bad indentation\n"; ok(0); From 87d48f4c285a61f268b78f176f3b0682545ab204 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Tue, 27 Sep 2022 22:17:58 -0400 Subject: [PATCH 14/19] Fix GCC 11 false free-nonheap-obj warning. --- Changes | 2 ++ Parser/VParseBison.y | 5 +++++ 2 files changed, 7 insertions(+) diff --git a/Changes b/Changes index 90cf269..6d7cec1 100644 --- a/Changes +++ b/Changes @@ -8,6 +8,8 @@ indicates the contributor was also the author of the fix; Thanks! **** Fix t/03_spaces.t test for Debian (#1675). [Gregor Herrmann] +**** Fix GCC 11 false free-nonheap-obj warning. + * Verilog-Perl 3.480 2022-09-01 diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y index 0ccca4c..a9a2acd 100644 --- a/Parser/VParseBison.y +++ b/Parser/VParseBison.y @@ -325,6 +325,11 @@ static void NEED_S09(VFileLine*, const string&) { //fileline->error((string)"Advanced feature: \""+tokname+"\" is a 1800-2009 construct, but used under --language 1800-2005 or earlier."); } +// gcc-11 https://gcc.gnu.org/bugzilla/show_bug.cgi?id=98753 +#if defined(__GNUC__) && __GNUC__ == 11 +#pragma GCC diagnostic ignored "-Wfree-nonheap-object" +#endif + %} BISONPRE_VERSION(0.0, 2.999, %pure_parser) From 7aec4cc5ec3ff3ca178fc43344718f4924af715a Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Wed, 28 Sep 2022 08:08:53 -0400 Subject: [PATCH 15/19] Commentary --- README.pod | 33 +++++++++++++++++++++++++++++---- 1 file changed, 29 insertions(+), 4 deletions(-) diff --git a/README.pod b/README.pod index c597df5..df1e8f0 100644 --- a/README.pod +++ b/README.pod @@ -202,10 +202,7 @@ writing a parser from scratch). The Verilator program also contains a very similar front end as Verilog-Perl and can create XML output showing the abstract syntax tree. Verilator also understands how to elaborate and connect complex pins and -types, but supports mostly only the synthesis subset of SystemVerilog. - -If you're looking to parse only synthesizable code this is the recommended -route. +types, but supports a subset of SystemVerilog. =item Verilog-Mode for Emacs @@ -215,6 +212,34 @@ a better solution to this problem, as it results in completely portable code; the program (Verilog-Mode) isn't needed for others to update the design. It's also in very common usage, including by many IP providers. +=item slang + +SystemVerilog Language Services (slang) L provides +various components for lexing, parsing, type checking, and elaborating +SystemVerilog code. It's fast and supports UVM. + +=item Surelog + +Surelog L is a SystemVerilog 2017 +Pre-processor, Parser, Elaborator, and UHDM Compiler. It handles UVM and +provides an IEEE Design/TB C/C++ VPI and Python AST API. + +=item SV-Parser + +Sv-parser L is a SystemVerilog parser +library fully compliant with IEEE 1800-2017. that returns a concrete +syntax tree. + +=item Tree-Sitter-Verilog + +Tree-Sitter-Verilog L +is a verilog grammar for the JavaScript tree-sitter parser. + +=item Verible + +Verible L parses IEEE 1800-2017, +with the ability to parse un-preprocessed source files. + =back =head1 INSTALLATION From 7091debd21c92500bdf28b9720b6514fe31d21b6 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Wed, 28 Sep 2022 08:13:39 -0400 Subject: [PATCH 16/19] Commentary --- README.pod | 34 ++++++++++++++++++---------------- 1 file changed, 18 insertions(+), 16 deletions(-) diff --git a/README.pod b/README.pod index df1e8f0..bcb002c 100644 --- a/README.pod +++ b/README.pod @@ -208,37 +208,39 @@ types, but supports a subset of SystemVerilog. Although not a parser, a common requested use of Verilog-Perl is to automatically make shell modules and interconnect modules. Verilog-Mode is -a better solution to this problem, as it results in completely portable -code; the program (Verilog-Mode) isn't needed for others to update the -design. It's also in very common usage, including by many IP providers. +a project with a better solution to this problem, as it results in +completely portable code; the program (Verilog-Mode) isn't needed for +others to update the design. It's also in very common usage, including by +many IP providers. =item slang -SystemVerilog Language Services (slang) L provides -various components for lexing, parsing, type checking, and elaborating -SystemVerilog code. It's fast and supports UVM. +The SystemVerilog Language Services (slang) project L +provides various components for lexing, parsing, type checking, and +elaborating SystemVerilog code. It's fast and supports UVM. =item Surelog -Surelog L is a SystemVerilog 2017 -Pre-processor, Parser, Elaborator, and UHDM Compiler. It handles UVM and -provides an IEEE Design/TB C/C++ VPI and Python AST API. +The Surelog project L is a +SystemVerilog 2017 Pre-processor, Parser, Elaborator, and UHDM Compiler. It +handles UVM and provides an IEEE Design/TB C/C++ VPI and Python AST API. =item SV-Parser -Sv-parser L is a SystemVerilog parser -library fully compliant with IEEE 1800-2017. that returns a concrete -syntax tree. +The Sv-parser project L is a +SystemVerilog parser library fully compliant with IEEE 1800-2017. that +returns a concrete syntax tree. =item Tree-Sitter-Verilog -Tree-Sitter-Verilog L -is a verilog grammar for the JavaScript tree-sitter parser. +The Tree-Sitter-Verilog project +L is a verilog grammar +for the JavaScript tree-sitter parser. =item Verible -Verible L parses IEEE 1800-2017, -with the ability to parse un-preprocessed source files. +The Verible project L parses IEEE +1800-2017, with the ability to parse un-preprocessed source files. =back From 7c0f8d9d96cae12ac560482850bf783c4e894910 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Mon, 22 Jan 2024 21:47:09 -0500 Subject: [PATCH 17/19] Support IEEE 1800-2023 language keywords. (No other 2023 support yet.) --- Changes | 2 ++ Language.pm | 17 ++++++++++++----- Parser/VParseLex.l | 40 +++++++++++++++++++++------------------- README.pod | 2 +- t/10_keywords.t | 7 ++++--- vhier | 6 +++--- vpassert | 2 +- vrename | 2 +- 8 files changed, 45 insertions(+), 33 deletions(-) diff --git a/Changes b/Changes index 6d7cec1..64c82e8 100644 --- a/Changes +++ b/Changes @@ -6,6 +6,8 @@ indicates the contributor was also the author of the fix; Thanks! * Verilog-Perl 3.481 devel +*** Support IEEE 1800-2023 language keywords. (No other 2023 support yet.) + **** Fix t/03_spaces.t test for Debian (#1675). [Gregor Herrmann] **** Fix GCC 11 false free-nonheap-obj warning. diff --git a/Language.pm b/Language.pm index ea73e8a..8ad9ae7 100644 --- a/Language.pm +++ b/Language.pm @@ -35,7 +35,7 @@ General functions will be added as needed. Return true if the given symbol string is a Verilog reserved keyword. Value indicates the language standard as per the `begin_keywords macro, '1364-1995', '1364-2001', '1364-2005', '1800-2005', '1800-2009', -'1800-2012', '1800-2017' or 'VAMS'. +'1800-2012', '1800-2017', '1800-2023', or 'VAMS'. =item Verilog::Language::is_compdirect($symbol_string) @@ -56,12 +56,12 @@ value of the hash is the standard in which it was defined. Sets the language standard to indicate what are keywords. If undef, all standards apply. The year is indicates the language standard as per the `begin_keywords macro, '1364-1995', '1364-2001', '1364-2005', '1800-2005' -'1800-2009', '1800-2012' or '1800-2017'. +'1800-2009', '1800-2012', '1800-2017', or '1800-2023'. =item Verilog::Language::language_maximum Returns the greatest language currently standardized, presently -'1800-2017'. +'1800-2023'. =item Verilog::Language::number_bigint($number_string) @@ -219,6 +219,9 @@ foreach my $kwd (qw( foreach my $kwd (qw( )) { $Keywords{'1800-2017'}{$kwd} = '1800-2017'; } +foreach my $kwd (qw( + )) { $Keywords{'1800-2023'}{$kwd} = '1800-2023'; } + foreach my $kwd (qw( above abs absdelay abstol ac_stim access acos acosh aliasparam analog analysis asin asinh assert atan atan2 @@ -304,7 +307,7 @@ foreach my $kwd (qw( #### Keyword utilities sub language_maximum { - return "1800-2017"; + return "1800-2023"; } sub _language_kwd_hash { @@ -333,10 +336,14 @@ sub _language_kwd_hash { $Standard = '1800-2012'; @subsets = ('1800-2012', '1800-2009', '1800-2005', '1364-2005', '1364-2001', '1364-1995'); - } elsif ($standard eq 'latest' || $standard eq '1800-2017') { + } elsif ($standard eq '1800-2017') { $Standard = '1800-2017'; @subsets = ('1800-2017', '1800-2012', '1800-2009', '1800-2005', '1364-2005', '1364-2001', '1364-1995'); + } elsif ($standard eq 'latest' || $standard eq '1800-2023') { + $Standard = '1800-2023'; + @subsets = ('1800-2023', '1800-2017', '1800-2012', '1800-2009', '1800-2005', + '1364-2005', '1364-2001', '1364-1995'); } elsif ($standard =~ /^V?AMS/) { $Standard = 'VAMS'; @subsets = ('VAMS', diff --git a/Parser/VParseLex.l b/Parser/VParseLex.l index 6d79899..81e86e1 100644 --- a/Parser/VParseLex.l +++ b/Parser/VParseLex.l @@ -40,7 +40,7 @@ #define YY_SKIP_YYWRAP -#define STATE_VERILOG_RECENT S17 // State name for most recent Verilog Version +#define STATE_VERILOG_RECENT S23 // State name for most recent Verilog Version // Flex 2.5.35 has compile warning in ECHO, so we'll default our own rule #define ECHO yyerrorf("Missing VParseLex.l rule: ECHO rule invoked in state %d: %s", YY_START, yytext); @@ -88,7 +88,7 @@ void yyerrorf(const char* format, ...) { /**********************************************************************/ %} -%s V95 V01 V05 S05 S09 S12 S17 +%s V95 V01 V05 S05 S09 S12 S17 S23 %s STRING ATTRMODE %s CMTMODE PROTMODE %s DUMMY_TO_AVOID_WARNING @@ -114,7 +114,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} .|\n {BEGIN STATE_VERILOG_RECENT; yyless(0); } /* Verilog 1995 */ -{ +{ {ws} { StashPrefix; } /* otherwise ignore white-space */ {crnl} { StashPrefix; NEXTLINE(); } /* Count line numbers */ /* Keywords */ @@ -224,7 +224,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* Verilog 2001 */ -{ +{ /* Keywords*/ "automatic" { FL; VALTEXT; CALLBACK(keywordCb); return yAUTOMATIC; } "endgenerate" { FL; VALTEXT; CALLBACK(keywordCb); return yENDGENERATE; } @@ -252,13 +252,13 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* Verilog 2005 */ -{ +{ /* Keywords */ "uwire" { FL; VALTEXT; CALLBACK(keywordCb); return yWIRE; } } /* System Verilog 2005 */ -{ +{ /* System Tasks */ "$error" { FL; VALTEXT; CALLBACK(keywordCb); return yD_ERROR; } "$fatal" { FL; VALTEXT; CALLBACK(keywordCb); return yD_FATAL; } @@ -367,7 +367,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* System Verilog 2009 */ -{ +{ /* Keywords */ "accept_on" { FL; VALTEXT; CALLBACK(keywordCb); return yACCEPT_ON; } "checker" { FL; VALTEXT; CALLBACK(keywordCb); return yCHECKER; } @@ -395,7 +395,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* System Verilog 2012 */ -{ +{ /* Keywords */ "implements" { FL; VALTEXT; CALLBACK(keywordCb); return yIMPLEMENTS; } "interconnect" { FL; VALTEXT; CALLBACK(keywordCb); return yINTERCONNECT; } @@ -407,18 +407,18 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} /* No new keywords */ /* Default PLI rule */ -{ +{ "$"[a-zA-Z_$][a-zA-Z0-9_$]* { FL; VALTEXT; CALLBACK(sysfuncCb); return ygenSYSCALL; } } /************************************************************************/ /* Single character operator thingies */ -{ +{ "{" { FL; VALTEXT; CALLBACK(operatorCb); return yytext[0]; } "}" { FL; VALTEXT; CALLBACK(operatorCb); return yytext[0]; } } -{ +{ "!" { FL; VALTEXT; CALLBACK(operatorCb); return yytext[0]; } "#" { FL; VALTEXT; CALLBACK(operatorCb); return yytext[0]; } "$" { FL; VALTEXT; CALLBACK(operatorCb); return yytext[0]; } @@ -450,7 +450,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} /* Operators and multi-character symbols */ /* Verilog 1995 Operators */ -{ +{ "&&" { FL; VALTEXT; CALLBACK(operatorCb); return yP_ANDAND; } "||" { FL; VALTEXT; CALLBACK(operatorCb); return yP_OROR; } "<=" { FL; VALTEXT; CALLBACK(operatorCb); return yP_LTE; } @@ -472,7 +472,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* Verilog 2001 Operators */ -{ +{ "<<<" { FL; VALTEXT; CALLBACK(operatorCb); return yP_SLEFT; } ">>>" { FL; VALTEXT; CALLBACK(operatorCb); return yP_SSRIGHT; } "**" { FL; VALTEXT; CALLBACK(operatorCb); return yP_POW; } @@ -482,7 +482,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* SystemVerilog 2005 Operators */ -{ +{ "'" { FL; VALTEXT; CALLBACK(operatorCb); return yP_TICK; } "'{" { FL; VALTEXT; CALLBACK(operatorCb); return yP_TICKBRA; } "==?" { FL; VALTEXT; CALLBACK(operatorCb); return yP_WILDEQUAL; } @@ -517,12 +517,12 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} } /* SystemVerilog 2009 Operators */ -{ +{ "<->" { FL; VALTEXT; CALLBACK(operatorCb); return yP_LTMINUSGT; } } /* Identifiers and numbers */ -{ +{ /* Consume a following space, as we're going to add one to the symbol, we'd like to avoid inserting an extra */ {escid}{space} { if (VParseLex::symEscapeless(yytext+1,yyleng-1-1)) { string sym = string(yytext+1,yyleng-1-1); @@ -619,13 +619,13 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} /************************************************************************/ /* Attributes */ /* Note simulators vary in support for "(* /_*something*_/ foo*)" where _ doesn't exist */ -{ +{ "(*"({ws}|{crnl})*({id}|{escid}) { yymore(); yy_push_state(ATTRMODE); } /* Doesn't match (*), but (* attr_spec */ } /************************************************************************/ /* Preprocessor */ -{ +{ "`accelerate" { FL; VALTEXT; CALLBACK(preprocCb); } // Verilog-XL compatibility "`autoexpand_vectornets" { FL; VALTEXT; CALLBACK(preprocCb); } // Verilog-XL compatibility "`celldefine" { FL; VALTEXT; CALLBACK(preprocCb); LEXP->m_inCellDefine=true; } @@ -669,13 +669,14 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5} "`begin_keywords"[ \t]*\"1800-2009\" { yy_push_state(S09); CALLBACK(preprocCb); } "`begin_keywords"[ \t]*\"1800-2012\" { yy_push_state(S12); CALLBACK(preprocCb); } "`begin_keywords"[ \t]*\"1800-2017\" { yy_push_state(S17); CALLBACK(preprocCb); } + "`begin_keywords"[ \t]*\"1800-2023\" { yy_push_state(S23); CALLBACK(preprocCb); } "`end_keywords" { yy_pop_state(); CALLBACK(preprocCb); } } /************************************************************************/ /* Default rules - leave last */ -{ +{ "`"[a-zA-Z_0-9]+ { FL; VALTEXT; if (LPARSEP->sigParser()) { yyerrorf("Define or directive not defined: %s",yytext); } else { CALLBACK(preprocCb); } } @@ -882,6 +883,7 @@ void VParseLex::language(const char* value) { else if (0==strcmp(value,"1800-2009")) { BEGIN S09; } else if (0==strcmp(value,"1800-2012")) { BEGIN S12; } else if (0==strcmp(value,"1800-2017")) { BEGIN S17; } + else if (0==strcmp(value,"1800-2023")) { BEGIN S23; } else yyerrorf("Unknown setLanguage code: %s", value); } diff --git a/README.pod b/README.pod index bcb002c..261daec 100644 --- a/README.pod +++ b/README.pod @@ -154,7 +154,7 @@ It understands and implements all preprocessor features of SystemVerilog Verilog::Parser is useful when you need to tokenize or write source filters (where you need everything including whitespace). It can take raw files, or preprocessed input, and generates callbacks. It understands all -SystemVerilog 2017 keywords. +SystemVerilog 2023 keywords. =item Abstract Syntax Tree diff --git a/t/10_keywords.t b/t/10_keywords.t index 49760f2..90ff086 100755 --- a/t/10_keywords.t +++ b/t/10_keywords.t @@ -8,7 +8,7 @@ use strict; use Test::More; -BEGIN { plan tests => 26 } +BEGIN { plan tests => 27 } BEGIN { require "./t/test_utils.pl"; } use Verilog::Language; @@ -18,7 +18,8 @@ ok (Verilog::Language::is_keyword("input")); ok (!Verilog::Language::is_keyword("not_input")); ok (Verilog::Language::is_compdirect("`define")); -is (Verilog::Language::language_standard(), '1800-2017'); +is (Verilog::Language::language_standard(), '1800-2023'); +is (Verilog::Language::language_standard('1800-2023'), '1800-2023'); is (Verilog::Language::language_standard('1800-2017'), '1800-2017'); is (Verilog::Language::language_standard('1800-2012'), '1800-2012'); is (Verilog::Language::language_standard('1800-2009'), '1800-2009'); @@ -34,7 +35,7 @@ ok (Verilog::Language::is_keyword("generate")); is (Verilog::Language::language_standard(1995), '1364-1995'); ok (!Verilog::Language::is_keyword("generate")); -is (Verilog::Language::language_maximum(), '1800-2017', 'language_maximum'); +is (Verilog::Language::language_maximum(), '1800-2023', 'language_maximum'); is (Verilog::Language::strip_comments("he/**/l/**/lo"), "hello"); is (Verilog::Language::strip_comments("he//xx/*\nllo"), "he\nllo"); diff --git a/vhier b/vhier index 775e535..4c6875a 100755 --- a/vhier +++ b/vhier @@ -63,7 +63,7 @@ if (! GetOptions ( "input-files!" => \$Opt_InFiles, "resolve-files!" => \$Opt_ResolveFiles, "skiplist=s" => \$opt_skiplist, - "sv!" => sub { shift; Verilog::Language::language_standard("1800-2017"); }, + "sv!" => sub { shift; Verilog::Language::language_standard("1800-2023"); }, "language=s" => sub { shift; Verilog::Language::language_standard(shift); }, "missing!" => \$Opt_Missing, "missing-modules!" => \$Opt_Missing_Modules, @@ -468,7 +468,7 @@ example module I is referenced, look in I.I. =item -sv Specifies SystemVerilog language features should be enabled; equivalent to -"--language 1800-2017". This option is selected by default, it exists for +"--language 1800-2023". This option is selected by default, it exists for compatibility with other simulators. =item -y I @@ -513,7 +513,7 @@ necessary to resolve it into an absolute path for further processing. With --cells or --forest, show module instance names. -=item --language <1364-1995|1364-2001|1364-2005|1800-2005|1800-2009|1800-2012|1800-2017> +=item --language <1364-1995|1364-2001|1364-2005|1800-2005|1800-2009|1800-2012|1800-2017|1800-2023> Set the language standard for the files. This determines which tokens are signals versus keywords, such as the ever-common "do" (data-out signal, diff --git a/vpassert b/vpassert index b3ac602..4eaddf9 100755 --- a/vpassert +++ b/vpassert @@ -1495,7 +1495,7 @@ Exclude processing any files which begin with the specified prefix. Displays this message and program version and exits. -=item --language <1364-1995|1364-2001|1364-2005|1800-2005|1800-2009|1800-2012|1800-2017> +=item --language <1364-1995|1364-2001|1364-2005|1800-2005|1800-2009|1800-2012|1800-2017|1800-2023> Set the language standard for the files. This determines which tokens are signals versus keywords, such as the ever-common "do" (data-out signal, diff --git a/vrename b/vrename index 1ba8a39..fb4bb12 100755 --- a/vrename +++ b/vrename @@ -677,7 +677,7 @@ changing a keyword will probably result in unrunnable code, however, occasionally it may be necessary to rename signals which happen to match the name of keywords recently added to the language (such as 'bit'). -=item --language <1364-1995|1364-2001|1364-2005|1800-2005|1800-2009|1800-2012|1800-2017> +=item --language <1364-1995|1364-2001|1364-2005|1800-2005|1800-2009|1800-2012|1800-2017|1800-2023> Set the language standard for the files. This determines which tokens are signals versus keywords, such as the ever-common "do" (data-out signal, From 13b1268472511200657338cf507bae39d791cfa2 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Mon, 22 Jan 2024 21:48:55 -0500 Subject: [PATCH 18/19] Version bump --- Changes | 4 ++-- EditFiles.pm | 4 ++-- Getopt.pm | 4 ++-- Language.pm | 4 ++-- META.yml | 2 +- Makefile.PL | 2 +- Netlist.pm | 4 ++-- Netlist/Cell.pm | 4 ++-- Netlist/ContAssign.pm | 4 ++-- Netlist/Defparam.pm | 4 ++-- Netlist/File.pm | 4 ++-- Netlist/Interface.pm | 4 ++-- Netlist/Logger.pm | 4 ++-- Netlist/ModPort.pm | 4 ++-- Netlist/Module.pm | 4 ++-- Netlist/Net.pm | 4 ++-- Netlist/Pin.pm | 4 ++-- Netlist/PinSelection.pm | 2 +- Netlist/Port.pm | 4 ++-- Netlist/Subclass.pm | 4 ++-- Parser/Makefile.PL | 2 +- Parser/Parser.pm | 4 ++-- Parser/Parser.xs | 2 +- Parser/SigParser.pm | 4 ++-- Parser/VAst.cpp | 2 +- Parser/VAst.h | 2 +- Parser/VParse.cpp | 2 +- Parser/VParse.h | 2 +- Parser/VParseBison.y | 2 +- Parser/VParseGrammar.h | 2 +- Parser/VParseLex.h | 2 +- Parser/VParseLex.l | 2 +- Parser/VSymTable.cpp | 2 +- Parser/VSymTable.h | 2 +- Parser/bisonpre | 4 ++-- Parser/callbackgen | 4 ++-- Preproc/Makefile.PL | 2 +- Preproc/Preproc.pm | 4 ++-- Preproc/Preproc.xs | 2 +- Preproc/VFileLine.cpp | 2 +- Preproc/VFileLine.h | 2 +- Preproc/VPreLex.h | 2 +- Preproc/VPreLex.l | 2 +- Preproc/VPreProc.cpp | 2 +- Preproc/VPreProc.h | 2 +- Preproc/flexfix | 2 +- Preproc/toolhash | 2 +- Preproc/xsubppfix | 2 +- README.pod | 2 +- Std.pm | 4 ++-- t/00_pod.t | 2 +- t/01_manifest.t | 2 +- t/02_help.t | 2 +- t/03_spaces.t | 2 +- t/04_critic.t | 2 +- t/05_yaml.t | 2 +- t/10_keywords.t | 2 +- t/12_splitbus.t | 2 +- t/14_numbers.t | 2 +- t/16_std.t | 2 +- t/20_getopt.t | 2 +- t/30_preproc.t | 2 +- t/32_noinc.t | 2 +- t/33_gzip.t | 2 +- t/34_parser.t | 2 +- t/35_sigparser.t | 2 +- t/36_sigmany.t | 2 +- t/40_netlist.t | 2 +- t/41_example.t | 2 +- t/42_dumpcheck.t | 2 +- t/43_storable.t | 2 +- t/44_create.t | 2 +- t/46_link.t | 2 +- t/48_leak.t | 2 +- t/49_largeish.t | 2 +- t/50_vrename.t | 2 +- t/51_vrename_kwd.t | 2 +- t/56_editfiles.t | 2 +- t/58_vsplitmodule.t | 2 +- t/60_vpassert.t | 2 +- t/80_vppreproc.t | 2 +- t/85_vhier.t | 2 +- t/86_vhier_tick.t | 2 +- t/87_vhier_unicode.t | 2 +- t/test_utils.pl | 2 +- verilog/test.vrename | 2 +- vhier | 4 ++-- vpassert | 4 ++-- vppreproc | 4 ++-- vrename | 4 ++-- 90 files changed, 117 insertions(+), 117 deletions(-) diff --git a/Changes b/Changes index 64c82e8..4d239a3 100644 --- a/Changes +++ b/Changes @@ -4,7 +4,7 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! -* Verilog-Perl 3.481 devel +* Verilog-Perl 3.482 2024-01-22 *** Support IEEE 1800-2023 language keywords. (No other 2023 support yet.) @@ -1487,7 +1487,7 @@ DESCRIPTION: Documentation on change history for this package This uses outline mode in Emacs. See C-h m [M-x describe-mode]. -Copyright 2001-2022 by Wilson Snyder. This program is free software; +Copyright 2001-2024 by Wilson Snyder. This program is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/EditFiles.pm b/EditFiles.pm index 3e995e8..a49c339 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.481'; +$VERSION = '3.482'; ####################################################################### # CONSTRUCTORS @@ -382,7 +382,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2006-2022 by Wilson Snyder. This package is free software; you +Copyright 2006-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Getopt.pm b/Getopt.pm index f81cdbf..7bbfb6f 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.481'; +$VERSION = '3.482'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance @@ -814,7 +814,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Language.pm b/Language.pm index 8ad9ae7..5e1a272 100644 --- a/Language.pm +++ b/Language.pm @@ -119,7 +119,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index dabe11b..2e655ba 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.481 +version: 3.482 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Makefile.PL b/Makefile.PL index c937b56..39de6b5 100755 --- a/Makefile.PL +++ b/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist.pm b/Netlist.pm index 12f2406..2516cc5 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### #### Error Handling @@ -698,7 +698,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index 91bb182..9120e5e 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::Cell::Struct' @@ -303,7 +303,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index 6f18610..7a0c6b9 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::ContAssign::Struct' @@ -133,7 +133,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index 70c521f..c73e36a 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::Defparam::Struct' @@ -133,7 +133,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/File.pm b/Netlist/File.pm index 6fbf483..0c93d14 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::File::Struct' @@ -561,7 +561,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index cd879f9..b349929 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::Interface::Struct' @@ -395,7 +395,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index c068b26..e11f572 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.481'; +$VERSION = '3.482'; # We don't use Verilog::Netlist::Subclass, as this is called from it! @@ -167,7 +167,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index 9d86b01..c865b79 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::ModPort::Struct' @@ -275,7 +275,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Module.pm b/Netlist/Module.pm index 7a505a0..d8a154f 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('new', 'Verilog::Netlist::Module::Struct' @@ -533,7 +533,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Net.pm b/Netlist/Net.pm index 258d395..4596772 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; my %_Type_Widths = ( 'bit' => 1, @@ -408,7 +408,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 1ea70ea..0086e0b 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' @@ -384,7 +384,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/PinSelection.pm b/Netlist/PinSelection.pm index dcbf13e..87e6aee 100644 --- a/Netlist/PinSelection.pm +++ b/Netlist/PinSelection.pm @@ -118,7 +118,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Port.pm b/Netlist/Port.pm index 11acdb9..f9c714c 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.481'; +$VERSION = '3.482'; structs('_new_base', 'Verilog::Netlist::Port::Struct' @@ -197,7 +197,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index 85983b0..c82f1b7 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.481'; +$VERSION = '3.482'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves @@ -320,7 +320,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Makefile.PL b/Parser/Makefile.PL index dac96aa..d28f424 100644 --- a/Parser/Makefile.PL +++ b/Parser/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Parser.pm b/Parser/Parser.pm index ecc5910..910d8f4 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.481'; +$VERSION = '3.482'; #$Debug sets the default value for debug. You're better off with the object method though. @@ -527,7 +527,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/Parser.xs b/Parser/Parser.xs index 4283bad..78f4b33 100644 --- a/Parser/Parser.xs +++ b/Parser/Parser.xs @@ -9,7 +9,7 @@ #* #********************************************************************* #* -#* Copyright 2000-2022 by Wilson Snyder. This program is free software; +#* Copyright 2000-2024 by Wilson Snyder. This program is free software; #* you can redistribute it and/or modify it under the terms of either the GNU #* Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. #* diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index 3249aaf..258db80 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.481'; +$VERSION = '3.482'; our @_Callback_Names = qw( attribute @@ -526,7 +526,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/VAst.cpp b/Parser/VAst.cpp index f9b7b96..162123b 100644 --- a/Parser/VAst.cpp +++ b/Parser/VAst.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2022 by Wilson Snyder. This program is free software; +// Copyright 2009-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VAst.h b/Parser/VAst.h index 69f723a..21316df 100644 --- a/Parser/VAst.h +++ b/Parser/VAst.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2022 by Wilson Snyder. This program is free software; +// Copyright 2009-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VParse.cpp b/Parser/VParse.cpp index 29f5943..a006344 100644 --- a/Parser/VParse.cpp +++ b/Parser/VParse.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParse.h b/Parser/VParse.h index 08eb4be..8c4c21f 100644 --- a/Parser/VParse.h +++ b/Parser/VParse.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y index a9a2acd..ab65691 100644 --- a/Parser/VParseBison.y +++ b/Parser/VParseBison.y @@ -10,7 +10,7 @@ // //************************************************************************* // -// Copyright 2001-2022 by Wilson Snyder. This program is free software; +// Copyright 2001-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseGrammar.h b/Parser/VParseGrammar.h index 60f187d..2a94a5c 100644 --- a/Parser/VParseGrammar.h +++ b/Parser/VParseGrammar.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseLex.h b/Parser/VParseLex.h index 413c6a5..96699a6 100644 --- a/Parser/VParseLex.h +++ b/Parser/VParseLex.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Parser/VParseLex.l b/Parser/VParseLex.l index 81e86e1..79adc42 100644 --- a/Parser/VParseLex.l +++ b/Parser/VParseLex.l @@ -14,7 +14,7 @@ * ************************************************************************** * - * Copyright 2000-2022 by Wilson Snyder. This program is free software; + * Copyright 2000-2024 by Wilson Snyder. This program is free software; * you can redistribute it and/or modify it under the terms of either the * GNU Lesser General Public License Version 3 or the Perl Artistic License * Version 2.0. diff --git a/Parser/VSymTable.cpp b/Parser/VSymTable.cpp index 038b6d1..08468f6 100644 --- a/Parser/VSymTable.cpp +++ b/Parser/VSymTable.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2022 by Wilson Snyder. This program is free software; +// Copyright 2009-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/VSymTable.h b/Parser/VSymTable.h index d2ff3f3..a33764a 100644 --- a/Parser/VSymTable.h +++ b/Parser/VSymTable.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2009-2022 by Wilson Snyder. This program is free software; +// Copyright 2009-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the // GNU Lesser General Public License Version 3 or the Perl Artistic License // Version 2.0. diff --git a/Parser/bisonpre b/Parser/bisonpre index 334f955..79b1a3b 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.481'; +$VERSION = '3.482'; our $Self; @@ -572,7 +572,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2008-2022 by Wilson Snyder. This package is free software; you +Copyright 2008-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Parser/callbackgen b/Parser/callbackgen index 0f032c8..b43dd6e 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.481'; +$VERSION = '3.482'; # xs_manual=>1, -> The .xs file makes the handler itself @@ -369,7 +369,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2008-2022 by Wilson Snyder. This package is free software; you +Copyright 2008-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Makefile.PL b/Preproc/Makefile.PL index d87629d..191926e 100644 --- a/Preproc/Makefile.PL +++ b/Preproc/Makefile.PL @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Type 'perl Makefile.PL' to create a Makefile for this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index f1e8d92..3918a0b 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### #### Configuration Section @@ -467,7 +467,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/Preproc.xs b/Preproc/Preproc.xs index 17bbd9f..0771539 100644 --- a/Preproc/Preproc.xs +++ b/Preproc/Preproc.xs @@ -9,7 +9,7 @@ #* #********************************************************************* #* -#* Copyright 2000-2022 by Wilson Snyder. This program is free software; +#* Copyright 2000-2024 by Wilson Snyder. This program is free software; #* you can redistribute it and/or modify it under the terms of either the GNU #* Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. #* diff --git a/Preproc/VFileLine.cpp b/Preproc/VFileLine.cpp index 958a05f..9c06639 100644 --- a/Preproc/VFileLine.cpp +++ b/Preproc/VFileLine.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VFileLine.h b/Preproc/VFileLine.h index 8491b78..4b5bf31 100644 --- a/Preproc/VFileLine.h +++ b/Preproc/VFileLine.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreLex.h b/Preproc/VPreLex.h index c8d8343..3f5b086 100644 --- a/Preproc/VPreLex.h +++ b/Preproc/VPreLex.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreLex.l b/Preproc/VPreLex.l index fe30908..99340c7 100644 --- a/Preproc/VPreLex.l +++ b/Preproc/VPreLex.l @@ -14,7 +14,7 @@ * ****************************************************************************** * - * Copyright 2000-2022 by Wilson Snyder. This program is free software; + * Copyright 2000-2024 by Wilson Snyder. This program is free software; * you can redistribute it and/or modify it under the terms of either the GNU * Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. * diff --git a/Preproc/VPreProc.cpp b/Preproc/VPreProc.cpp index 997d5fe..f9a0726 100644 --- a/Preproc/VPreProc.cpp +++ b/Preproc/VPreProc.cpp @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/VPreProc.h b/Preproc/VPreProc.h index dd399d1..8661c76 100644 --- a/Preproc/VPreProc.h +++ b/Preproc/VPreProc.h @@ -1,7 +1,7 @@ // -*- C++ -*- //************************************************************************* // -// Copyright 2000-2022 by Wilson Snyder. This program is free software; +// Copyright 2000-2024 by Wilson Snyder. This program is free software; // you can redistribute it and/or modify it under the terms of either the GNU // Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. // diff --git a/Preproc/flexfix b/Preproc/flexfix index 56fe6aa..7376b55 100755 --- a/Preproc/flexfix +++ b/Preproc/flexfix @@ -1,7 +1,7 @@ #!/usr/bin/perl -w ###################################################################### # -# Copyright 2002-2022 by Wilson Snyder. This program is free software; you +# Copyright 2002-2024 by Wilson Snyder. This program is free software; you # can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. diff --git a/Preproc/toolhash b/Preproc/toolhash index aed1cf1..d110805 100755 --- a/Preproc/toolhash +++ b/Preproc/toolhash @@ -266,7 +266,7 @@ This is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2010-2022 by Wilson Snyder. This package is free software; you +Copyright 2010-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Preproc/xsubppfix b/Preproc/xsubppfix index 8c52e7c..07f19c2 100755 --- a/Preproc/xsubppfix +++ b/Preproc/xsubppfix @@ -1,6 +1,6 @@ #!/usr/bin/perl -w # -# Copyright 2008-2022 by Wilson Snyder. This program is free software; +# Copyright 2008-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. # diff --git a/README.pod b/README.pod index 261daec..9d22771 100644 --- a/README.pod +++ b/README.pod @@ -325,7 +325,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/Std.pm b/Std.pm index ea12efc..de03e78 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.481'; +$VERSION = '3.482'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have @@ -117,7 +117,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2009-2022 by Wilson Snyder. This package is free software; you +Copyright 2009-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/00_pod.t b/t/00_pod.t index fbf2376..4b4596d 100755 --- a/t/00_pod.t +++ b/t/00_pod.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/01_manifest.t b/t/01_manifest.t index c8262c6..12e8e38 100755 --- a/t/01_manifest.t +++ b/t/01_manifest.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2022 by Wilson Snyder. This program is free software; +# Copyright 2007-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/02_help.t b/t/02_help.t index edeaafe..c5ce006 100755 --- a/t/02_help.t +++ b/t/02_help.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2022 by Wilson Snyder. This program is free software; +# Copyright 2007-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/03_spaces.t b/t/03_spaces.t index fbe0788..f0082d8 100755 --- a/t/03_spaces.t +++ b/t/03_spaces.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2022 by Wilson Snyder. This program is free software; +# Copyright 2007-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/04_critic.t b/t/04_critic.t index e0ba7c1..240d221 100755 --- a/t/04_critic.t +++ b/t/04_critic.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/05_yaml.t b/t/05_yaml.t index 021d334..a82c9e0 100755 --- a/t/05_yaml.t +++ b/t/05_yaml.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2010-2022 by Wilson Snyder. This program is free software; +# Copyright 2010-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/10_keywords.t b/t/10_keywords.t index 90ff086..54ac11c 100755 --- a/t/10_keywords.t +++ b/t/10_keywords.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/12_splitbus.t b/t/12_splitbus.t index 13da43f..5ce6279 100755 --- a/t/12_splitbus.t +++ b/t/12_splitbus.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/14_numbers.t b/t/14_numbers.t index 6536fa8..cc29f11 100755 --- a/t/14_numbers.t +++ b/t/14_numbers.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/16_std.t b/t/16_std.t index 3638032..a6e6db9 100755 --- a/t/16_std.t +++ b/t/16_std.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2009-2022 by Wilson Snyder. This program is free software; +# Copyright 2009-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/20_getopt.t b/t/20_getopt.t index a0f8a5f..ad259e4 100755 --- a/t/20_getopt.t +++ b/t/20_getopt.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/30_preproc.t b/t/30_preproc.t index 03088b8..686954d 100755 --- a/t/30_preproc.t +++ b/t/30_preproc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/32_noinc.t b/t/32_noinc.t index cad3684..6dcd7fd 100755 --- a/t/32_noinc.t +++ b/t/32_noinc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/33_gzip.t b/t/33_gzip.t index 10b8d06..ade4381 100755 --- a/t/33_gzip.t +++ b/t/33_gzip.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/34_parser.t b/t/34_parser.t index 9a0dde7..b58cc66 100755 --- a/t/34_parser.t +++ b/t/34_parser.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/35_sigparser.t b/t/35_sigparser.t index 09bc5e9..7063733 100755 --- a/t/35_sigparser.t +++ b/t/35_sigparser.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/36_sigmany.t b/t/36_sigmany.t index 75c2f90..96b407d 100755 --- a/t/36_sigmany.t +++ b/t/36_sigmany.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. ###################################################################### diff --git a/t/40_netlist.t b/t/40_netlist.t index f74398f..cc730f4 100755 --- a/t/40_netlist.t +++ b/t/40_netlist.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/41_example.t b/t/41_example.t index a5890b1..766ecc6 100755 --- a/t/41_example.t +++ b/t/41_example.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/42_dumpcheck.t b/t/42_dumpcheck.t index 4f258ad..3f85393 100755 --- a/t/42_dumpcheck.t +++ b/t/42_dumpcheck.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/43_storable.t b/t/43_storable.t index 9896d1b..b6d1903 100755 --- a/t/43_storable.t +++ b/t/43_storable.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/44_create.t b/t/44_create.t index 36bc085..dd5ab6c 100755 --- a/t/44_create.t +++ b/t/44_create.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/46_link.t b/t/46_link.t index 00db61f..9668afb 100755 --- a/t/46_link.t +++ b/t/46_link.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/48_leak.t b/t/48_leak.t index 201f5e7..de6f42a 100755 --- a/t/48_leak.t +++ b/t/48_leak.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/49_largeish.t b/t/49_largeish.t index 38dfd05..4ef0270 100755 --- a/t/49_largeish.t +++ b/t/49_largeish.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/50_vrename.t b/t/50_vrename.t index 0e040fb..3da9013 100755 --- a/t/50_vrename.t +++ b/t/50_vrename.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/51_vrename_kwd.t b/t/51_vrename_kwd.t index 3bd4685..10fa64d 100755 --- a/t/51_vrename_kwd.t +++ b/t/51_vrename_kwd.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/56_editfiles.t b/t/56_editfiles.t index e79f8e5..c776c38 100755 --- a/t/56_editfiles.t +++ b/t/56_editfiles.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2007-2022 by Wilson Snyder. This program is free software; +# Copyright 2007-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/58_vsplitmodule.t b/t/58_vsplitmodule.t index b39868d..2729683 100755 --- a/t/58_vsplitmodule.t +++ b/t/58_vsplitmodule.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/60_vpassert.t b/t/60_vpassert.t index a0e776b..e3e159b 100755 --- a/t/60_vpassert.t +++ b/t/60_vpassert.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/80_vppreproc.t b/t/80_vppreproc.t index 28cfd8c..b805d0d 100755 --- a/t/80_vppreproc.t +++ b/t/80_vppreproc.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/85_vhier.t b/t/85_vhier.t index a5831df..176461b 100755 --- a/t/85_vhier.t +++ b/t/85_vhier.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/86_vhier_tick.t b/t/86_vhier_tick.t index e0d1315..9d55812 100755 --- a/t/86_vhier_tick.t +++ b/t/86_vhier_tick.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/87_vhier_unicode.t b/t/87_vhier_unicode.t index e768d44..dc49e76 100755 --- a/t/87_vhier_unicode.t +++ b/t/87_vhier_unicode.t @@ -1,7 +1,7 @@ #!/usr/bin/perl -w # DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/t/test_utils.pl b/t/test_utils.pl index 77e850a..56aefa4 100644 --- a/t/test_utils.pl +++ b/t/test_utils.pl @@ -1,6 +1,6 @@ # DESCRIPTION: Perl ExtUtils: Common routines required by package tests # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/verilog/test.vrename b/verilog/test.vrename index 5a8bd4b..3d8d1bb 100644 --- a/verilog/test.vrename +++ b/verilog/test.vrename @@ -1,6 +1,6 @@ # DESCRIPTION: vrename: For test.pl testing of vrename # -# Copyright 2000-2022 by Wilson Snyder. This program is free software; +# Copyright 2000-2024 by Wilson Snyder. This program is free software; # you can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. ###################################################################### diff --git a/vhier b/vhier index 4c6875a..9b72bae 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### # main @@ -587,7 +587,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2005-2022 by Wilson Snyder. This package is free software; you +Copyright 2005-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vpassert b/vpassert index 4eaddf9..cfd1187 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### # configuration @@ -1687,7 +1687,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vppreproc b/vppreproc index 178c57c..2bce74e 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### # main @@ -276,7 +276,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. diff --git a/vrename b/vrename index fb4bb12..7bd7f52 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.481'; +$VERSION = '3.482'; ###################################################################### @@ -714,7 +714,7 @@ Verilog-Perl is part of the L free Verilog EDA software tool suite. The latest version is available from CPAN and from L. -Copyright 2000-2022 by Wilson Snyder. This package is free software; you +Copyright 2000-2024 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. From 1188082772ebc4715797178128c1154e7be66e81 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Mon, 22 Jan 2024 21:50:50 -0500 Subject: [PATCH 19/19] devel release --- Changes | 3 +++ EditFiles.pm | 2 +- Getopt.pm | 2 +- Language.pm | 2 +- META.yml | 2 +- Netlist.pm | 2 +- Netlist/Cell.pm | 2 +- Netlist/ContAssign.pm | 2 +- Netlist/Defparam.pm | 2 +- Netlist/File.pm | 2 +- Netlist/Interface.pm | 2 +- Netlist/Logger.pm | 2 +- Netlist/ModPort.pm | 2 +- Netlist/Module.pm | 2 +- Netlist/Net.pm | 2 +- Netlist/Pin.pm | 2 +- Netlist/Port.pm | 2 +- Netlist/Subclass.pm | 2 +- Parser/Parser.pm | 2 +- Parser/SigParser.pm | 2 +- Parser/bisonpre | 2 +- Parser/callbackgen | 2 +- Preproc/Preproc.pm | 2 +- Std.pm | 2 +- vhier | 2 +- vpassert | 2 +- vppreproc | 2 +- vrename | 2 +- 28 files changed, 30 insertions(+), 27 deletions(-) diff --git a/Changes b/Changes index 4d239a3..153e0ca 100644 --- a/Changes +++ b/Changes @@ -4,6 +4,9 @@ The contributors that suggested a given feature are shown in []. [by ...] indicates the contributor was also the author of the fix; Thanks! +* Verilog-Perl 3.483 devel + + * Verilog-Perl 3.482 2024-01-22 *** Support IEEE 1800-2023 language keywords. (No other 2023 support yet.) diff --git a/EditFiles.pm b/EditFiles.pm index a49c339..2b8fd73 100644 --- a/EditFiles.pm +++ b/EditFiles.pm @@ -13,7 +13,7 @@ use vars qw($VERSION $Debug); ###################################################################### #### Configuration Section -$VERSION = '3.482'; +$VERSION = '3.483'; ####################################################################### # CONSTRUCTORS diff --git a/Getopt.pm b/Getopt.pm index 7bbfb6f..ecb5967 100644 --- a/Getopt.pm +++ b/Getopt.pm @@ -16,7 +16,7 @@ use Cwd; ###################################################################### #### Configuration Section -$VERSION = '3.482'; +$VERSION = '3.483'; # Basenames we should ignore when recursing directories, # Because they contain large files of no relevance diff --git a/Language.pm b/Language.pm index 5e1a272..50b514d 100644 --- a/Language.pm +++ b/Language.pm @@ -151,7 +151,7 @@ use Carp; ###################################################################### #### Configuration Section -$VERSION = '3.482'; +$VERSION = '3.483'; ###################################################################### #### Internal Variables diff --git a/META.yml b/META.yml index 2e655ba..fdd82ab 100644 --- a/META.yml +++ b/META.yml @@ -1,6 +1,6 @@ --- #YAML:1.0 name: Verilog-Perl -version: 3.482 +version: 3.483 version_from: Language.pm abstract: Verilog language utilities and parsing license: perl diff --git a/Netlist.pm b/Netlist.pm index 2516cc5..a808d50 100644 --- a/Netlist.pm +++ b/Netlist.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Netlist::Subclass); use strict; use vars qw($Debug $Verbose $VERSION); -$VERSION = '3.482'; +$VERSION = '3.483'; ###################################################################### #### Error Handling diff --git a/Netlist/Cell.pm b/Netlist/Cell.pm index 9120e5e..5bdd519 100644 --- a/Netlist/Cell.pm +++ b/Netlist/Cell.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Cell::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::Cell::Struct' diff --git a/Netlist/ContAssign.pm b/Netlist/ContAssign.pm index 7a0c6b9..394f21d 100644 --- a/Netlist/ContAssign.pm +++ b/Netlist/ContAssign.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::ContAssign::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::ContAssign::Struct' diff --git a/Netlist/Defparam.pm b/Netlist/Defparam.pm index c73e36a..000e4f9 100644 --- a/Netlist/Defparam.pm +++ b/Netlist/Defparam.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Defparam::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::Defparam::Struct' diff --git a/Netlist/File.pm b/Netlist/File.pm index 0c93d14..13af30d 100644 --- a/Netlist/File.pm +++ b/Netlist/File.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::File::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::File::Struct' diff --git a/Netlist/Interface.pm b/Netlist/Interface.pm index b349929..28ef33c 100644 --- a/Netlist/Interface.pm +++ b/Netlist/Interface.pm @@ -14,7 +14,7 @@ use strict; @ISA = qw(Verilog::Netlist::Interface::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::Interface::Struct' diff --git a/Netlist/Logger.pm b/Netlist/Logger.pm index e11f572..090f9b7 100644 --- a/Netlist/Logger.pm +++ b/Netlist/Logger.pm @@ -7,7 +7,7 @@ require Exporter; use vars qw($VERSION); use strict; -$VERSION = '3.482'; +$VERSION = '3.483'; # We don't use Verilog::Netlist::Subclass, as this is called from it! diff --git a/Netlist/ModPort.pm b/Netlist/ModPort.pm index c865b79..e60f2c9 100644 --- a/Netlist/ModPort.pm +++ b/Netlist/ModPort.pm @@ -12,7 +12,7 @@ use strict; @ISA = qw(Verilog::Netlist::ModPort::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::ModPort::Struct' diff --git a/Netlist/Module.pm b/Netlist/Module.pm index d8a154f..b84183f 100644 --- a/Netlist/Module.pm +++ b/Netlist/Module.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Module::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('new', 'Verilog::Netlist::Module::Struct' diff --git a/Netlist/Net.pm b/Netlist/Net.pm index 4596772..62095dc 100644 --- a/Netlist/Net.pm +++ b/Netlist/Net.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Net::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; my %_Type_Widths = ( 'bit' => 1, diff --git a/Netlist/Pin.pm b/Netlist/Pin.pm index 0086e0b..0b044d6 100644 --- a/Netlist/Pin.pm +++ b/Netlist/Pin.pm @@ -17,7 +17,7 @@ use strict; @ISA = qw(Verilog::Netlist::Pin::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('_new_base', 'Verilog::Netlist::Pin::Struct' diff --git a/Netlist/Port.pm b/Netlist/Port.pm index f9c714c..0f9d933 100644 --- a/Netlist/Port.pm +++ b/Netlist/Port.pm @@ -11,7 +11,7 @@ use strict; @ISA = qw(Verilog::Netlist::Port::Struct Verilog::Netlist::Subclass); -$VERSION = '3.482'; +$VERSION = '3.483'; structs('_new_base', 'Verilog::Netlist::Port::Struct' diff --git a/Netlist/Subclass.pm b/Netlist/Subclass.pm index c82f1b7..0625dae 100644 --- a/Netlist/Subclass.pm +++ b/Netlist/Subclass.pm @@ -12,7 +12,7 @@ use base qw(Exporter); use vars qw($VERSION @EXPORT); use strict; -$VERSION = '3.482'; +$VERSION = '3.483'; @EXPORT = qw(structs); # Maybe in the future. For now all users of this must do it themselves diff --git a/Parser/Parser.pm b/Parser/Parser.pm index 910d8f4..3124f12 100644 --- a/Parser/Parser.pm +++ b/Parser/Parser.pm @@ -14,7 +14,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION $Debug); -$VERSION = '3.482'; +$VERSION = '3.483'; #$Debug sets the default value for debug. You're better off with the object method though. diff --git a/Parser/SigParser.pm b/Parser/SigParser.pm index 258db80..f21ab61 100644 --- a/Parser/SigParser.pm +++ b/Parser/SigParser.pm @@ -14,7 +14,7 @@ use base qw(Verilog::Parser); ###################################################################### #### Configuration Section -$VERSION = '3.482'; +$VERSION = '3.483'; our @_Callback_Names = qw( attribute diff --git a/Parser/bisonpre b/Parser/bisonpre index 79b1a3b..58c8a65 100755 --- a/Parser/bisonpre +++ b/Parser/bisonpre @@ -9,7 +9,7 @@ use Pod::Usage; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.482'; +$VERSION = '3.483'; our $Self; diff --git a/Parser/callbackgen b/Parser/callbackgen index b43dd6e..9f6974b 100755 --- a/Parser/callbackgen +++ b/Parser/callbackgen @@ -14,7 +14,7 @@ use vars qw($Debug $VERSION); require "../Language.pm"; package main; -$VERSION = '3.482'; +$VERSION = '3.483'; # xs_manual=>1, -> The .xs file makes the handler itself diff --git a/Preproc/Preproc.pm b/Preproc/Preproc.pm index 3918a0b..c8d269d 100644 --- a/Preproc/Preproc.pm +++ b/Preproc/Preproc.pm @@ -11,7 +11,7 @@ use base qw(DynaLoader); use strict; use vars qw($VERSION); -$VERSION = '3.482'; +$VERSION = '3.483'; ###################################################################### #### Configuration Section diff --git a/Std.pm b/Std.pm index de03e78..473854e 100644 --- a/Std.pm +++ b/Std.pm @@ -14,7 +14,7 @@ use vars qw($VERSION); ###################################################################### #### Configuration Section -$VERSION = '3.482'; +$VERSION = '3.483'; ####################################################################### # It's a PITRA to have pure datafiles get installed properly, so we have diff --git a/vhier b/vhier index 9b72bae..a1b3d3c 100755 --- a/vhier +++ b/vhier @@ -17,7 +17,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.482'; +$VERSION = '3.483'; ###################################################################### # main diff --git a/vpassert b/vpassert index cfd1187..fd8bde3 100755 --- a/vpassert +++ b/vpassert @@ -36,7 +36,7 @@ use vars qw ($VERSION $Debug $Opt %Vpassert_Conversions %Files %Files_Read %File_Dest ); -$VERSION = '3.482'; +$VERSION = '3.483'; ###################################################################### # configuration diff --git a/vppreproc b/vppreproc index 2bce74e..115f195 100755 --- a/vppreproc +++ b/vppreproc @@ -16,7 +16,7 @@ use Verilog::Getopt; use strict; use vars qw($Debug $VERSION); -$VERSION = '3.482'; +$VERSION = '3.483'; ###################################################################### # main diff --git a/vrename b/vrename index 7bd7f52..1857b9b 100755 --- a/vrename +++ b/vrename @@ -23,7 +23,7 @@ use vars qw($VERSION %Vrename_Dont_Crypt %Vrename_Left_Edge_Define $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords @Files); -$VERSION = '3.482'; +$VERSION = '3.483'; ######################################################################