Skip to content

Commit 67a5737

Browse files
committed
ad9083_vna: Init commit
Compatible with RevB
1 parent 28ace64 commit 67a5737

File tree

8 files changed

+574
-0
lines changed

8 files changed

+574
-0
lines changed

projects/ad9083_vna/Makefile

Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
####################################################################################
2+
## Copyright (c) 2018 - 2021 Analog Devices, Inc.
3+
### SPDX short identifier: BSD-1-Clause
4+
## Auto-generated, do not modify!
5+
####################################################################################
6+
7+
include ../scripts/project-toplevel.mk

projects/ad9083_vna/Readme.md

Lines changed: 15 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
# AD9083-VNA HDL Project
2+
3+
Here are some pointers to help you:
4+
* [Board Product Page](https://www.analog.com/eval-ad9083-vna)
5+
* Part : [AD9083 - 16-Channel, 125 MHz Bandwidth, JESD204B Analog-to-Digital Converter](https://www.analog.com/ad9083)
6+
* Part : [ADMV8818 - 2 GHz to 18 GHz, Digitally Tunable, High-Pass and Low-Pass Filter](https://www.analog.com/admv8818)
7+
* Part : [ADRF5021 - 9 kHz to 30 GHz, Silicon SPDT Switch](https://www.analog.com/adrf5021)
8+
* Part : [ADRF5045 - 9 kHz to 30 GHz, Silicon, SP4T Switch](https://www.analog.com/adrf5045)
9+
* Part : [ADF4371S - Microwave Wideband Synthesizer with Integrated VCO](https://www.analog.com/adf4371)
10+
* Part : [ADL5960 - 10 MHz to 20 GHz, Integrated Vector Network Analyzer Front-End](https://www.analog.com/adl5960)
11+
* Part : [HMC8411 - Low Noise Amplifier, 0.01 GHz to 10 GHz](https://www.analog.com/hmc8411)
12+
* Part : [HMC994 - 0.5 Watt Power Amplifier, DC - 30 GHz](https://www.analog.com/hmc994)
13+
* Project Doc: https://wiki.analog.com/resources/eval/ad9083-vna
14+
* HDL Doc: https://wiki.analog.com/resources/eval/user-guides/ad9083_vna/ad9083_vna_reference_hdl
15+
* Linux Drivers: https://wiki.analog.com/resources/tools-software/linux-drivers/iio-adc/ad9083
Lines changed: 92 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,92 @@
1+
2+
# RX parameters
3+
set RX_NUM_OF_LANES 1 ; # L
4+
set RX_NUM_OF_CONVERTERS 32 ; # M
5+
set RX_SAMPLES_PER_FRAME 1 ; # S
6+
set RX_SAMPLE_WIDTH 16 ; # N/NP
7+
8+
source ../../ad9083_evb/common/ad9083_evb_bd.tcl
9+
10+
# add spi interfaces
11+
12+
create_bd_port -dir O -from 1 -to 0 spi_bus1_csn_o
13+
create_bd_port -dir I -from 1 -to 0 spi_bus1_csn_i
14+
create_bd_port -dir I spi_bus1_clk_i
15+
create_bd_port -dir O spi_bus1_clk_o
16+
create_bd_port -dir I spi_bus1_sdo_i
17+
create_bd_port -dir O spi_bus1_sdo_o
18+
create_bd_port -dir I spi_bus1_sdi_i
19+
20+
create_bd_port -dir O -from 3 -to 0 spi_adl5960_1_csn_o
21+
create_bd_port -dir I -from 3 -to 0 spi_adl5960_1_csn_i
22+
create_bd_port -dir I spi_adl5960_1_clk_i
23+
create_bd_port -dir O spi_adl5960_1_clk_o
24+
create_bd_port -dir I spi_adl5960_1_sdo_i
25+
create_bd_port -dir O spi_adl5960_1_sdo_o
26+
create_bd_port -dir I spi_adl5960_1_sdi_i
27+
28+
create_bd_port -dir O -from 3 -to 0 spi_adl5960_2_csn_o
29+
create_bd_port -dir I -from 3 -to 0 spi_adl5960_2_csn_i
30+
create_bd_port -dir I spi_adl5960_2_clk_i
31+
create_bd_port -dir O spi_adl5960_2_clk_o
32+
create_bd_port -dir I spi_adl5960_2_sdo_i
33+
create_bd_port -dir O spi_adl5960_2_sdo_o
34+
create_bd_port -dir I spi_adl5960_2_sdi_i
35+
36+
# spi instances
37+
38+
ad_ip_instance axi_quad_spi axi_spi_bus1
39+
ad_ip_parameter axi_spi_bus1 CONFIG.C_USE_STARTUP 0
40+
ad_ip_parameter axi_spi_bus1 CONFIG.C_NUM_SS_BITS 2
41+
ad_ip_parameter axi_spi_bus1 CONFIG.C_SCK_RATIO 8
42+
43+
ad_ip_instance axi_quad_spi axi_spi_adl5960_1
44+
ad_ip_parameter axi_spi_adl5960_1 CONFIG.C_USE_STARTUP 0
45+
ad_ip_parameter axi_spi_adl5960_1 CONFIG.C_NUM_SS_BITS 4
46+
ad_ip_parameter axi_spi_adl5960_1 CONFIG.C_SCK_RATIO 16
47+
ad_ip_parameter axi_spi_adl5960_1 CONFIG.Multiples16 8
48+
49+
ad_ip_instance axi_quad_spi axi_spi_adl5960_2
50+
ad_ip_parameter axi_spi_adl5960_2 CONFIG.C_USE_STARTUP 0
51+
ad_ip_parameter axi_spi_adl5960_2 CONFIG.C_NUM_SS_BITS 4
52+
ad_ip_parameter axi_spi_adl5960_2 CONFIG.C_SCK_RATIO 16
53+
ad_ip_parameter axi_spi_adl5960_2 CONFIG.Multiples16 8
54+
55+
# spi connections
56+
57+
ad_connect sys_cpu_clk axi_spi_bus1/ext_spi_clk
58+
ad_connect spi_bus1_csn_i axi_spi_bus1/ss_i
59+
ad_connect spi_bus1_csn_o axi_spi_bus1/ss_o
60+
ad_connect spi_bus1_clk_i axi_spi_bus1/sck_i
61+
ad_connect spi_bus1_clk_o axi_spi_bus1/sck_o
62+
ad_connect spi_bus1_sdo_i axi_spi_bus1/io0_i
63+
ad_connect spi_bus1_sdo_o axi_spi_bus1/io0_o
64+
ad_connect spi_bus1_sdi_i axi_spi_bus1/io1_i
65+
66+
ad_connect sys_cpu_clk axi_spi_adl5960_1/ext_spi_clk
67+
ad_connect spi_adl5960_1_csn_i axi_spi_adl5960_1/ss_i
68+
ad_connect spi_adl5960_1_csn_o axi_spi_adl5960_1/ss_o
69+
ad_connect spi_adl5960_1_clk_i axi_spi_adl5960_1/sck_i
70+
ad_connect spi_adl5960_1_clk_o axi_spi_adl5960_1/sck_o
71+
ad_connect spi_adl5960_1_sdo_i axi_spi_adl5960_1/io0_i
72+
ad_connect spi_adl5960_1_sdo_o axi_spi_adl5960_1/io0_o
73+
ad_connect spi_adl5960_1_sdi_i axi_spi_adl5960_1/io1_i
74+
75+
ad_connect sys_cpu_clk axi_spi_adl5960_2/ext_spi_clk
76+
ad_connect spi_adl5960_2_csn_i axi_spi_adl5960_2/ss_i
77+
ad_connect spi_adl5960_2_csn_o axi_spi_adl5960_2/ss_o
78+
ad_connect spi_adl5960_2_clk_i axi_spi_adl5960_2/sck_i
79+
ad_connect spi_adl5960_2_clk_o axi_spi_adl5960_2/sck_o
80+
ad_connect spi_adl5960_2_sdo_i axi_spi_adl5960_2/io0_i
81+
ad_connect spi_adl5960_2_sdo_o axi_spi_adl5960_2/io0_o
82+
ad_connect spi_adl5960_2_sdi_i axi_spi_adl5960_2/io1_i
83+
84+
ad_cpu_interconnect 0x48000000 axi_spi_bus1
85+
ad_cpu_interconnect 0x48100000 axi_spi_adl5960_1
86+
ad_cpu_interconnect 0x48200000 axi_spi_adl5960_2
87+
88+
# interrupts
89+
90+
ad_cpu_interrupt ps-9 mb-8 axi_spi_bus1/ip2intc_irpt
91+
ad_cpu_interrupt ps-10 mb-15 axi_spi_adl5960_1/ip2intc_irpt
92+
ad_cpu_interrupt ps-11 mb-14 axi_spi_adl5960_2/ip2intc_irpt
Lines changed: 28 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,28 @@
1+
####################################################################################
2+
## Copyright (c) 2018 - 2021 Analog Devices, Inc.
3+
### SPDX short identifier: BSD-1-Clause
4+
## Auto-generated, do not modify!
5+
####################################################################################
6+
7+
PROJECT_NAME := ad9083_vna_zcu102
8+
9+
M_DEPS += ../common/ad9083_vna_bd.tcl
10+
M_DEPS += ../../scripts/adi_pd.tcl
11+
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
12+
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
13+
M_DEPS += ../../ad9083_evb/common/ad9083_evb_bd.tcl
14+
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
15+
M_DEPS += ../../../library/common/ad_iobuf.v
16+
M_DEPS += ../../../library/common/ad_3w_spi.v
17+
18+
LIB_DEPS += axi_dmac
19+
LIB_DEPS += axi_sysid
20+
LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc
21+
LIB_DEPS += jesd204/axi_jesd204_rx
22+
LIB_DEPS += jesd204/jesd204_rx
23+
LIB_DEPS += sysid_rom
24+
LIB_DEPS += util_pack/util_cpack2
25+
LIB_DEPS += xilinx/axi_adxcvr
26+
LIB_DEPS += xilinx/util_adxcvr
27+
28+
include ../../scripts/project-xilinx.mk
Lines changed: 12 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,12 @@
1+
2+
source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl
3+
source $ad_hdl_dir/projects/scripts/adi_pd.tcl
4+
5+
source ../common/ad9083_vna_bd.tcl
6+
7+
#system ID
8+
ad_ip_parameter axi_sysid_0 CONFIG.ROM_ADDR_BITS 9
9+
ad_ip_parameter rom_sys_0 CONFIG.PATH_TO_FILE "[pwd]/mem_init_sys.txt"
10+
ad_ip_parameter rom_sys_0 CONFIG.ROM_ADDR_BITS 9
11+
sysid_gen_sys_init_file
12+
Lines changed: 109 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,109 @@
1+
2+
# ad9083
3+
4+
set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 DQS_BIAS TRUE} [get_ports glblclk_p] ; ## G6 FMC_HPC0_LA00_CC_P
5+
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 DQS_BIAS TRUE} [get_ports glblclk_n] ; ## G7 FMC_HPC0_LA00_CC_N
6+
7+
set_property -dict {PACKAGE_PIN G8} [get_ports ref_clk0_p] ; ## D4 FMC_HPC0 GBTCLK0 M2C_C_P
8+
set_property -dict {PACKAGE_PIN G7} [get_ports ref_clk0_n] ; ## D5 FMC_HPC0_GBTCLK0_M2C_C_N
9+
10+
set_property -dict {PACKAGE_PIN H2} [get_ports rx_data_p[0]] ; ## C6 FMC_HPC0_DP0_M2C_P
11+
set_property -dict {PACKAGE_PIN H1} [get_ports rx_data_n[0]] ; ## C7 FMC_HPC0_DP0_M2C_N
12+
set_property -dict {PACKAGE_PIN J4} [get_ports rx_data_p[1]] ; ## A2 FMC_HPC0_DP1_M2C_P
13+
set_property -dict {PACKAGE_PIN J3} [get_ports rx_data_n[1]] ; ## A3 FMC_HPC0_DP1_M2C_N
14+
set_property -dict {PACKAGE_PIN F2} [get_ports rx_data_p[2]] ; ## A6 FMC_HPC0_DP2_M2C_P
15+
set_property -dict {PACKAGE_PIN F1} [get_ports rx_data_n[2]] ; ## A7 FMC_HPC0_DP2_M2C_N
16+
set_property -dict {PACKAGE_PIN K2} [get_ports rx_data_p[3]] ; ## A10 FMC_HPC0_DP3_M2C_P
17+
set_property -dict {PACKAGE_PIN K1} [get_ports rx_data_n[3]] ; ## A11 FMC_HPC0_DP3_M2C_N
18+
19+
set_property -dict {PACKAGE_PIN U4 IOSTANDARD LVCMOS18} [get_ports spi_csn_clk] ; ## H14 FMC_HPC0_LA07_N
20+
set_property -dict {PACKAGE_PIN V2 IOSTANDARD LVCMOS18} [get_ports spi_csn_adc] ; ## H7 FMC_HPC0_LA02_P
21+
set_property -dict {PACKAGE_PIN AB4 IOSTANDARD LVCMOS18} [get_ports spi_clk] ; ## D8 FMC_HPC0_LA01_CC_P
22+
set_property -dict {PACKAGE_PIN AC4 IOSTANDARD LVCMOS18} [get_ports spi_sdio] ; ## D9 FMC_HPC0_LA01_CC_N
23+
set_property -dict {PACKAGE_PIN M10 IOSTANDARD LVCMOS18} [get_ports spi_sdo] ; ## C26 FMC_HPC0_LA27_P
24+
25+
26+
set_property -dict {PACKAGE_PIN V1 IOSTANDARD LVCMOS18} [get_ports pwdn] ; ## H8 FMC_HPC0_LA02_N
27+
set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS18} [get_ports rstb] ; ## H13 FMC_HPC0_LA07_P
28+
set_property -dict {PACKAGE_PIN Y2 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adc_lvsft_en] ; ## G9 FMC_HPC0_LA03_P
29+
30+
set_property -dict {PACKAGE_PIN AA2 IOSTANDARD LVDS} [get_ports rx_sync_p] ; ## H10 FMC_HPC0_LA04_P
31+
set_property -dict {PACKAGE_PIN AA1 IOSTANDARD LVDS} [get_ports rx_sync_n] ; ## H11 FMC_HPC0_LA04_N
32+
33+
set_property -dict {PACKAGE_PIN V4 IOSTANDARD LVDS} [get_ports sysref_p] ; ## G12 FMC_HPC0_LA08_P
34+
set_property -dict {PACKAGE_PIN V3 IOSTANDARD LVDS} [get_ports sysref_n] ; ## G13 FMC_HPC0_LA08_N
35+
36+
set_property -dict {PACKAGE_PIN AB6 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_1] ; ## H16 FMC_HPC0_LA11_P
37+
set_property -dict {PACKAGE_PIN AB5 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_2] ; ## H17 FMC_HPC0_LA11_N
38+
set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_3] ; ## H19 FMC_HPC0_LA15_P
39+
set_property -dict {PACKAGE_PIN Y9 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_4] ; ## H20 FMC_HPC0_LA15_N
40+
set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_5] ; ## H22 FMC_HPC0_LA19_P
41+
set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_6] ; ## H23 FMC_HPC0_LA19_N
42+
set_property -dict {PACKAGE_PIN P12 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_7] ; ## H25 FMC_HPC0_LA21_P
43+
set_property -dict {PACKAGE_PIN N12 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports adl5960_temp_8] ; ## H26 FMC_HPC0_LA21_N
44+
45+
set_property -dict {PACKAGE_PIN AB3 IOSTANDARD LVCMOS18} [get_ports gpio_sw0 ] ; ## D11 FMC_HPC0_LA05_P
46+
set_property -dict {PACKAGE_PIN AC3 IOSTANDARD LVCMOS18} [get_ports gpio_sw1 ] ; ## D12 FMC_HPC0_LA05_N
47+
set_property -dict {PACKAGE_PIN W2 IOSTANDARD LVCMOS18} [get_ports gpio_sw2 ] ; ## D14 FMC_HPC0_LA09_P
48+
set_property -dict {PACKAGE_PIN W1 IOSTANDARD LVCMOS18} [get_ports gpio_sw3_v1] ; ## D15 FMC_HPC0_LA09_N
49+
set_property -dict {PACKAGE_PIN AB8 IOSTANDARD LVCMOS18} [get_ports gpio_sw3_v2] ; ## D17 FMC_HPC0_LA13_P
50+
set_property -dict {PACKAGE_PIN AC8 IOSTANDARD LVCMOS18} [get_ports gpio_sw4_v1] ; ## D18 FMC_HPC0_LA13_N
51+
set_property -dict {PACKAGE_PIN P11 IOSTANDARD LVCMOS18} [get_ports gpio_sw4_v2] ; ## D20 FMC_HPC0_LA17_P_CC
52+
53+
set_property -dict {PACKAGE_PIN N11 IOSTANDARD LVCMOS18} [get_ports adl5960x_sync1] ; ## D21 FMC_HPC0_LA17_N_CC
54+
55+
set_property -dict {PACKAGE_PIN Y12 IOSTANDARD LVCMOS18} [get_ports spi_bus0_sck] ; ## G18 FMC_HPC0_LA16_P
56+
set_property -dict {PACKAGE_PIN W7 IOSTANDARD LVCMOS18} [get_ports spi_bus0_sdi] ; ## G15 FMC_HPC0_LA12_P
57+
set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS18} [get_ports spi_bus0_sdo] ; ## G16 FMC_HPC0_LA12_N
58+
set_property -dict {PACKAGE_PIN AA12 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports clkd_lvsft_en] ; ## G19 FMC_HPC0_LA16_N
59+
60+
set_property -dict {PACKAGE_PIN N13 IOSTANDARD LVCMOS18} [get_ports spi_bus0_csn_f2] ; ## G21 FMC_HPC0_LA20_P
61+
set_property -dict {PACKAGE_PIN M13 IOSTANDARD LVCMOS18} [get_ports spi_bus0_csn_sen] ; ## G22 FMC_HPC0_LA20_N
62+
63+
set_property -dict {PACKAGE_PIN M11 IOSTANDARD LVCMOS18} [get_ports spi_bus1_sck] ; ## G27 FMC_HPC0_LA25_P
64+
set_property -dict {PACKAGE_PIN M15 IOSTANDARD LVCMOS18} [get_ports spi_bus1_sdi] ; ## G24 FMC_HPC0_LA22_P
65+
set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS18} [get_ports spi_bus1_sdo] ; ## G25 FMC_HPC0_LA22_N
66+
set_property -dict {PACKAGE_PIN L11 IOSTANDARD LVCMOS18} [get_ports spi_bus1_csn_dat1] ; ## G28 FMC_HPC0_LA25_N
67+
set_property -dict {PACKAGE_PIN U9 IOSTANDARD LVCMOS18} [get_ports spi_bus1_csn_dat2] ; ## G30 FMC_HPC0_LA29_P
68+
69+
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_1_sck] ; ## D23 FMC_HPC0_LA23_P
70+
set_property -dict {PACKAGE_PIN K16 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_1_sdio] ; ## D24 FMC_HPC0_LA23_N
71+
set_property -dict {PACKAGE_PIN L15 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_1_csn1] ; ## D26 FMC_HPC0_LA26_P
72+
set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_1_csn2] ; ## D27 FMC_HPC0_LA26_N
73+
set_property -dict {PACKAGE_PIN AC2 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_1_csn3] ; ## C10 FMC_HPC0_LA06_P
74+
set_property -dict {PACKAGE_PIN AC1 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_1_csn4] ; ## C11 FMC_HPC0_LA06_N
75+
76+
set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_2_sck] ; ## C14 FMC_HPC0_LA10_P
77+
set_property -dict {PACKAGE_PIN W4 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_2_sdio] ; ## C15 FMC_HPC0_LA10_N
78+
set_property -dict {PACKAGE_PIN AC7 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_2_csn5] ; ## C18 FMC_HPC0_LA14_P
79+
set_property -dict {PACKAGE_PIN AC6 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_2_csn6] ; ## C19 FMC_HPC0_LA14_N
80+
set_property -dict {PACKAGE_PIN N9 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_2_csn7] ; ## C22 FMC_HPC0_LA18_P_CC
81+
set_property -dict {PACKAGE_PIN N8 IOSTANDARD LVCMOS18} [get_ports spi_adl5960_2_csn8] ; ## C23 FMC_HPC0_LA18_N_CC
82+
83+
set_property -dict {PACKAGE_PIN L12 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[0]] ; ## H28 FMC_HPC0_LA24_P
84+
set_property -dict {PACKAGE_PIN K12 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[1]] ; ## H29 FMC_HPC0_LA24_N
85+
set_property -dict {PACKAGE_PIN T7 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[2]] ; ## H31 FMC_HPC0_LA28_P
86+
set_property -dict {PACKAGE_PIN T6 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[3]] ; ## H32 FMC_HPC0_LA28_N
87+
set_property -dict {PACKAGE_PIN V6 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[4]] ; ## H34 FMC_HPC0_LA30_P
88+
set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[5]] ; ## H35 FMC_HPC0_LA30_N
89+
set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[6]] ; ## H37 FMC_HPC0_LA32_P
90+
set_property -dict {PACKAGE_PIN T11 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports prten[7]] ; ## H38 FMC_HPC0_LA32_N
91+
92+
# clocks
93+
create_clock -period 2 -name rx_ref_clk [get_ports ref_clk0_p]
94+
create_clock -period 8 -name rx_ref_clk2 [get_ports glblclk_p]
95+
96+
set_input_delay -clock [get_clocks rx_ref_clk2] [get_property PERIOD [get_clocks rx_ref_clk2]] \
97+
[get_ports -regexp -filter { NAME =~ ".*sysref.*" && DIRECTION == "IN" }]
98+
99+
create_generated_clock -name clk_sck0 \
100+
-source [get_pins i_system_wrapper/system_i/axi_spi_bus1/ext_spi_clk] \
101+
-divide_by 2 [get_pins i_system_wrapper/system_i/axi_spi_bus1/sck_o]
102+
103+
create_generated_clock -name clk_sck1 \
104+
-source [get_pins i_system_wrapper/system_i/axi_spi_adl5960_1/ext_spi_clk] \
105+
-divide_by 2 [get_pins i_system_wrapper/system_i/axi_spi_adl5960_1/sck_o]
106+
107+
create_generated_clock -name clk_sck2 \
108+
-source [get_pins i_system_wrapper/system_i/axi_spi_adl5960_2/ext_spi_clk] \
109+
-divide_by 2 [get_pins i_system_wrapper/system_i/axi_spi_adl5960_2/sck_o]
Lines changed: 14 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,14 @@
1+
2+
source ../../../scripts/adi_env.tcl
3+
source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl
4+
source $ad_hdl_dir/projects/scripts/adi_board.tcl
5+
6+
adi_project ad9083_vna_zcu102
7+
adi_project_files ad9083_fmc_zcu102 [list \
8+
"system_top.v" \
9+
"system_constr.xdc" \
10+
"$ad_hdl_dir/library/common/ad_3w_spi.v" \
11+
"$ad_hdl_dir/library/common/ad_iobuf.v" \
12+
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]
13+
14+
adi_project_run ad9083_vna_zcu102

0 commit comments

Comments
 (0)